WorldWideScience

Sample records for chemo-mechanical polishing cmp

  1. Models of nanoparticles movement, collision, and friction in chemical mechanical polishing (CMP)

    Energy Technology Data Exchange (ETDEWEB)

    Ilie, Filip, E-mail: filip@meca.omtr.pub.ro [Polytechnic University of Bucharest, Department of Machine Elements and Tribology (Romania)

    2012-03-15

    Nanoparticles have been widely used in polishing slurry such as chemical mechanical polishing (CMP) process. The movement of nanoparticles in polishing slurry and the interaction between nanoparticles and solid surface are very important to obtain an atomic smooth surface in CMP process. Polishing slurry contains abrasive nanoparticles (with the size range of about 10-100 nm) and chemical reagents. Abrasive nanoparticles and hydrodynamic pressure are considered to cause the polishing effect. Nanoparticles behavior in the slurry with power-law viscosity shows great effect on the wafer surface in polishing process. CMP is now a standard process of integrated circuit manufacturing at nanoscale. Various models can dynamically predict the evolution of surface topography for any time point during CMP. To research, using a combination of individual nanoscale friction measurements for CMP of SiO{sub 2}, in an analytical model, to sum these effects, and the results scale CMP experiments, can guide the research and validate the model. CMP endpoint measurements, such as those from motor current traces, enable verification of model predictions, relating to friction and wear in CMP and surface topography evolution for different types of CMP processes and patterned chips. In this article, we explore models of the microscopic frictional force based on the surface topography and present both experimental and theoretical studies on the movement of nanoparticles in polishing slurry and collision between nanoparticles, as well as between the particles and solid surfaces in time of process CMP. Experimental results have proved that the nanoparticle size and slurry properties have great effects on the polishing results. The effects of the nanoparticle size and the slurry film thickness are also discussed.

  2. Development of clean chemical mechanical polishing systems; Clean CMP system

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Hosokawa, M. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are clean chemical mechanical polishing (CMP) systems developed by Ebara. A CMP system needs advanced peripheral techniques, in addition to those for grinding adopted by the conventional system, in order to fully exhibit its inherent functions. An integrated design concept is essential for the CMP steps, including slurry supplying, polishing, washing, process controlling and waste fluid treatment. The Ebara has adopted a standard concept `Clean CMP, dry-in and dry-out of wafers,` and provided world`s highest grades of techniques for inter-layer insulating film, shallow trench isolation, plug and wiring. The head for the polishing module is specially designed by FEM, to improve homogeneity of wafers from the center to edges. The dresser is also specially designed, to improve pad surface topolody after dressing. A slurry dipsersing method is developed to reduce slurry consumption. Various washing modules, designed to have the same external shape, can be allocated to various functions. 10 figs.

  3. XPS, UV–vis spectroscopy and AFM studies on removal mechanisms of Si-face SiC wafer chemical mechanical polishing (CMP)

    International Nuclear Information System (INIS)

    Zhou, Yan; Pan, Guoshun; Shi, Xiaolei; Xu, Li; Zou, Chunli; Gong, Hua; Luo, Guihai

    2014-01-01

    Highlights: • CMP removal mechanism of Si-face SiC wafer is investigated through XPS analysis. • UV–vis spectroscopy is used to study CMP removal mechanisms. • CMP removal model of Si-face SiC wafer is proposed. • The variations of atomic step morphology on ultra-smooth surface via AFM is studied. - Abstract: Chemical mechanical polishing (CMP) removal mechanisms of on-axis Si-face SiC wafer have been investigated through X-ray photoelectron spectroscopy (XPS), UV–visible (UV–vis) spectroscopy and atomic force microscopy (AFM). XPS results indicate that silicon oxide is formed on Si-face surface polished by the slurry including oxidant H 2 O 2 , but not that after immersing in H 2 O 2 solution. UV–vis spectroscopy curves prove that • OH hydroxyl radical could be generated only under CMP polishing by the slurry including H 2 O 2 and abrasive, so as to promote oxidation of Si-face to realize the effective removal; meanwhile, alkali KOH during CMP could induce the production of more radicals to improve the removal. On the other side, ultra-smooth polished surface with atomic step structure morphology and extremely low Ra of about 0.06 nm (through AFM) is obtained using the developed slurry with silica nanoparticle abrasive. Through investigating the variations of the atomic step morphology on the surface polished by different slurries, it's reveals that CMP removal mechanism involves a simultaneous process of surface chemical reaction and nanoparticle atomic scale abrasion

  4. Evaluation of environmental impacts during chemical mechanical polishing (CMP) for sustainable manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Hyun Seop; Park, Sun Joon; Jeong, Hae Do [Pusan National University, Busan (Korea, Republic of)

    2013-02-15

    Reducing energy consumption has become a critical issue in manufacturing. The semiconductor industry in particular is confronted with environmental regulations on pollution associated with electric energy, chemical, and ultrapure water (UPW) consumptions. This paper presents the results of an evaluation of the environmental impacts during chemical mechanical polishing (CMP), a key process for planarization of dielectrics and metal films in ultra-large-scale integrated circuits. The steps in the CMP process are idling, conditioning, wetting, wafer loading/unloading, head dropping, polishing, and rinsing. The electric energy, CMP slurry, and UPW consumptions associated with the process and their impacts on global warming are evaluated from an environmental standpoint. The estimates of electric energy, slurry, and UPW consumptions as well as the associated greenhouse gas emissions presented in this paper will provide a technical aid for reducing the environmental burden associated with electricity consumption during the CMP process.

  5. Characterization of chemical interactions during chemical mechanical polishing (CMP) of copper

    Science.gov (United States)

    Lee, Seung-Mahn

    2003-10-01

    Chemical mechanical polishing (CMP) has received much attention as an unique technique to provide a wafer level planarization in semiconductor manufacturing. However, despite the extensive use of CMP, it still remains one of the least understood areas in semiconductor processing. The lack of the fundamental understanding is a significant barrier to further advancements in CMP technology. One critical aspect of metal CMP is the formation of a thin surface layer on the metal surface. The formation and removal of this layer controls all the aspects of the CMP process, including removal rate, surface finish, etc. In this dissertation, we focus on the characterization of the formation and removal of the thin surface layer on the copper surface. The formation dynamics was investigated using static and dynamic electrochemical techniques, including potentiodynamic scans and chronoamperometry. The results were validated using XPS measurements. The mechanical properties of the surface layer were investigated using nanoindentation measurements. The electrochemical investigation showed that the thickness of the surface layer is controlled by the chemicals such as an oxidizer (hydrogen peroxide), a corrosion inhibitor (benzotriazole), a complexing agent (citric acid), and their concentrations. The dynamic electrochemical measurements indicated that the initial layer formation kinetics is unaffected by the corrosion inhibitors. The passivation due to the corrosion inhibitor becomes important only on large time scales (>200 millisecond). The porosity and the density of the chemically modified surface layer can be affected by additives of other chemicals such as citric acid. An optimum density of the surface layer is required for high polishing rate while at the same time maintaining a high degree of surface finish. Nanoindentation measurements indicated that the mechanical properties of the surface layer are strongly dependent on the chemical additives in the slurry. The CMP

  6. Material removal mechanisms in electrochemical-mechanical polishing of tantalum

    International Nuclear Information System (INIS)

    Gao, F.; Liang, H.

    2009-01-01

    Material removal mechanisms in tantalum chemical-mechanical polishing (CMP) and electrochemical-mechanical polishing (ECMP) were investigated using the single frequency electrochemical impedance spectroscopy (EIS). Through measuring the impedance of the tantalum surface, the single frequency EIS scan made it possible to observe the CMP and ECMP processes in situ. The impedance results presented competing mechanisms of removal and formation of a surface oxide layer of tantalum. Analysis indicated that the thickness of the oxide layer formed during polishing was related to the mechanical power correlated to the friction force and the rotating speed. Furthermore, the rate of growth and removal of the oxide film was a function of the mechanical power. This understanding is beneficial for optimization of CMP and ECMP processes.

  7. Mechanistic, kinetic, and processing aspects of tungsten chemical mechanical polishing

    Science.gov (United States)

    Stein, David

    This dissertation presents an investigation into tungsten chemical mechanical polishing (CMP). CMP is the industrially predominant unit operation that removes excess tungsten after non-selective chemical vapor deposition (CVD) during sub-micron integrated circuit (IC) manufacture. This work explores the CMP process from process engineering and fundamental mechanistic perspectives. The process engineering study optimized an existing CMP process to address issues of polish pad and wafer carrier life. Polish rates, post-CMP metrology of patterned wafers, electrical test data, and synergy with a thermal endpoint technique were used to determine the optimal process. The oxidation rate of tungsten during CMP is significantly lower than the removal rate under identical conditions. Tungsten polished without inhibition during cathodic potentiostatic control. Hertzian indenter model calculations preclude colloids of the size used in tungsten CMP slurries from indenting the tungsten surface. AFM surface topography maps and TEM images of post-CMP tungsten do not show evidence of plow marks or intergranular fracture. Polish rate is dependent on potassium iodate concentration; process temperature is not. The colloid species significantly affects the polish rate and process temperature. Process temperature is not a predictor of polish rate. A process energy balance indicates that the process temperature is predominantly due to shaft work, and that any heat of reaction evolved during the CMP process is negligible. Friction and adhesion between alumina and tungsten were studied using modified AFM techniques. Friction was constant with potassium iodate concentration, but varied with applied pressure. This corroborates the results from the energy balance. Adhesion between the alumina and the tungsten was proportional to the potassium iodate concentration. A heuristic mechanism, which captures the relationship between polish rate, pressure, velocity, and slurry chemistry, is presented

  8. Combined Ultrasonic Elliptical Vibration and Chemical Mechanical Polishing of Monocrystalline Silicon

    Directory of Open Access Journals (Sweden)

    Liu Defu

    2016-01-01

    Full Text Available An ultrasonic elliptical vibration assisted chemical mechanical polishing(UEV-CMP is employed to achieve high material removal rate and high surface quality in the finishing of hard and brittle materials such as monocrystalline silicon, which combines the functions of conventional CMP and ultrasonic machining. In theultrasonic elliptical vibration aided chemical mechanical polishingexperimental setup developed by ourselves, the workpiece attached at the end of horn can vibrate simultaneously in both horizontal and vertical directions. Polishing experiments are carried out involving monocrystalline silicon to confirm the performance of the proposed UEV-CMP. The experimental results reveal that the ultrasonic elliptical vibration can increase significantly the material removal rate and reduce dramatically the surface roughness of monocrystalline silicon. It is found that the removal rate of monocrystalline silicon polished by UEV-CMP is increased by approximately 110% relative to that of conventional CMP because a passive layer on the monocrystalline silicon surface, formed by the chemical action of the polishing slurry, will be removed not only by the mechanical action of CMP but also by ultrasonic vibration action. It indicates that the high efficiency and high quality CMP of monocrystalline silicon can be performed with the proposed UEV-CMP technique.

  9. Tribochemical interaction between nanoparticles and surfaces of selective layer during chemical mechanical polishing

    International Nuclear Information System (INIS)

    Ilie, Filip

    2013-01-01

    Nanoparticles have been widely used in polish slurries such as those in the chemical mechanical polishing (CMP) process. For understanding the mechanisms of CMP, an atomic force microscope (AFM) is used to characterize polished surfaces of selective layers, after a set of polishing experiments. To optimize the CMP polishing process, one needs to get information on the interaction between the nano-abrasive slurry nanoparticles and the surface of selective layer being polished. The slurry used in CMP process of the solid surfaces is slurry with large nanoparticle size colloidal silica sol nano-abrasives. Silica sol nano-abrasives with large nanoparticle are prepared and characterized by transmission electron microscopy, particles colloidal size, and Zeta potential in this paper. The movement of nanoparticles in liquid and the interaction between nanoparticles and solid surfaces coating with selective layer are very important to obtain an atomic alloy smooth surface in the CMP process. We investigate the nanoparticle adhesion and removal processes during CMP and post-CMP cleaning. The mechanical interaction between nanoparticles and the wafer surface was studied using a microcontact wear model. This model considers the nanoparticle effects between the polishing interfaces during load balancing. Experimental results on polishing and cleaning are compared with numerical analysis. This paper suggests that during post-CMP cleaning, a combined effort in chemical and mechanical interaction (tribochemical interactions) would be effective in removal of small nanoparticles during cleaning. For large nanoparticles, more mechanical forces would be more effective. CMP results show that the removal rate has been improved to 367 nm/min and root mean square (RMS) of roughness has been reduced from 4.4 to 0.80 nm. Also, the results show that the silica sol nano-abrasives about 100 nm are of higher stability (Zeta potential is −65 mV) and narrow distribution of nanoparticle

  10. Chemical mechanical polisher technology for 300mm/0.18-0.13{mu}m semiconductor devices; 300mm/0.18-0.-0.13{mu}m sedai no CMP gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Tsujimura, M.; Kobayashi, F. [Ebara Corp., Tokyo (Japan)

    1998-10-20

    Described herein are problems involved in, and development points and measures for chemical mechanical polisher (CMP) technology for the generation of 300mm/0.18 to 0.13{mu}m semiconductor devices. Ebara has developed a CMP system for 300mm devices for I300I and Selete (semiconductor high-technologies). The polishing process conditions are set for the time being based on those for the 200mm devices, and the driver and machine structures are set at 2.25 times larger than those for the 200mm devices. Its space requirement is compacter at 1.3 times increase. The company has adopted a concept of `dry-in and dry-out,` which is not common for a CMP. This needs integration of the washer with the polisher, and aerodynamic designs for dust-free conditions. These are already developed for the 200mm devices, and applicable to the 300mm devices without causing any problem. The special chamber for the conventional CMP can be dispensed with, reducing cost. Expendables, such as slurry pad, are being developed to double their service lives and halve their consumption. 8 figs.

  11. Study on chemical mechanical polishing of silicon wafer with megasonic vibration assisted.

    Science.gov (United States)

    Zhai, Ke; He, Qing; Li, Liang; Ren, Yi

    2017-09-01

    Chemical mechanical polishing (CMP) is the primary method to realize the global planarization of silicon wafer. In order to improve this process, a novel method which combined megasonic vibration to assist chemical mechanical polishing (MA-CMP) is developed in this paper. A matching layer structure of polishing head was calculated and designed. Silicon wafers are polished by megasonic assisted chemical mechanical polishing and traditional chemical mechanical polishing respectively, both coarse polishing and precision polishing experiments were carried out. With the use of megasonic vibration, the surface roughness values Ra reduced from 22.260nm to 17.835nm in coarse polishing, and the material removal rate increased by approximately 15-25% for megasonic assisted chemical mechanical polishing relative to traditional chemical mechanical polishing. Average Surface roughness values Ra reduced from 0.509nm to 0.387nm in precision polishing. The results show that megasonic assisted chemical mechanical polishing is a feasible method to improve polishing efficiency and surface quality. The material removal and finishing mechanisms of megasonic vibration assisted polishing are investigated too. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Surface qualities after chemical-mechanical polishing on thin films

    International Nuclear Information System (INIS)

    Fu, Wei-En; Lin, Tzeng-Yow; Chen, Meng-Ke; Chen, Chao-Chang A.

    2009-01-01

    Demands for substrate and film surface planarizations significantly increase as the feature sizes of Integrated Circuit (IC) components continue to shrink. Chemical Mechanical Polishing (CMP), incorporating chemical and mechanical interactions to planarize chemically modified surface layers, has been one of the major manufacturing processes to provide global and local surface planarizations in IC fabrications. Not only is the material removal rate a concern, the qualities of the CMP produced surface are critical as well, such as surface finish, defects and surface stresses. This paper is to examine the CMP produced surface roughness on tungsten or W thin films based on the CMP process conditions. The W thin films with thickness below 1000 nm on silicon wafer were chemical-mechanical polished at different down pressures and platen speeds to produce different surface roughness. The surface roughness measurements were performed by an atomic force microscope (DI D3100). Results show that the quality of surface finish (R a value) is determined by the combined effects of down pressures and platen speeds. An optimal polishing condition is, then, possible for selecting the down pressures and platen speeds.

  13. Chemical Mechanical Polishing Optimization for 4H-SiC

    National Research Council Canada - National Science Library

    Neslen, Craig

    2000-01-01

    .... Preliminary chemical mechanical polishing (CMP) studies of 1 3/8" 4H-SiC wafers were performed in an attempt to identify the polishing parameter values that result in a maximum material removal rate and thus reduce substrate polishing time...

  14. Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives: Synthesis and the effects on chemical mechanical polishing (CMP) performances of sapphire wafers

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tingting; Lei, Hong, E-mail: hong_lei2005@aliyun.com

    2017-08-15

    Highlights: • The novel Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives were synthesized by seed-introduced method. • The Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives exhibited lower Ra and higher MRR on sapphire during CMP. • The cores SiO{sub 2} were coated by the shells (SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds. • XPS analysis revealed the solid-state chemical reaction between Nd{sup 3+}-doped colloidal SiO{sub 2} abrasives and sapphire during CMP. - Abstract: Abrasive is one of the most important factors in chemical mechanical polishing (CMP). In order to improve the polishing qualities of sapphire substrates, the novel Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were prepared by seed-induced growth method. In this work, there were a series of condensation reactions during the synthesis process of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the silica cores were coated by shells (which contains SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) via chemical bonds and hydrogen bonds in the Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives, which made the composite abrasives’ core-shell structure more sTable Scanning electron microscopy (SEM) showed that Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives were spherical and uniform in size. And the acting mechanisms of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives on sapphire in CMP were investigated. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis and X-ray photoelectron spectroscopy (XPS) analysis demonstrated that the solid-state chemical reactions between the shells (which contained SiO{sub 2}, Nd{sub 2}Si{sub 2}O{sub 7} and Nd(OH){sub 3}) of Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives and the sapphire occurred during the CMP process. Furthermore, Nd{sup 3+}-doped colloidal SiO{sub 2} composite abrasives exhibited lower surface roughness and

  15. Role of crystal orientation on chemical mechanical polishing of single crystal copper

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Aibin, E-mail: abzhu@mail.xjtu.edu.cn; He, Dayong; Luo, Wencheng; Liu, Yangyang

    2016-11-15

    Highlights: • The role of crystal orientation in cooper CMP by quasi-continuum was studied. • The atom displacement diagrams were obtained and analyzed. • The stress distribution diagrams and load-displacement curves were analyzed. • This research is helpful to revealing the material removal mechanism of CMP. - Abstract: The material removal mechanism of single crystal copper in chemical mechanical polishing (CMP) has not been intensively investigated. And the role of crystal orientation in CMP of single crystal cooper is not quite clear yet. Quasi-continuum method was adopted in this paper to simulate the process of nano-particles grinding on single crystal copper in CMP process. Three different crystal orientations, i.e. x[100]y[001], x[001]y[110] and x[–211]y[111], were chosen for analysis. The atom displacement diagrams, stress distribution diagrams and load-displacement curves were obtained. After analyzing the deformation mechanism, residual stress of the work piece material and cutting force, results showed that, the crystal orientation of work piece has great influence on the deformation characteristics and surface quality of work piece during polishing. In the A(001)[100] orientation, the residual stress distribution after polishing is deeper, and the stress is larger than that in the B(110)[001] and C(111)[–211] orientations. And the average tangential cutting force in the A(001)[100] orientation is much larger than those in the other two crystal orientation. This research is helpful to revealing the material removal mechanism of CMP process.

  16. Novel ceria-polymer microcomposites for chemical mechanical polishing

    International Nuclear Information System (INIS)

    Coutinho, Cecil A.; Mudhivarthi, Subrahmanya R.; Kumar, Ashok; Gupta, Vinay K.

    2008-01-01

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of ∼100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP

  17. Novel ceria-polymer microcomposites for chemical mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Coutinho, Cecil A. [Department of Chemical and Biomedical Engineering, University of South Florida (United States); Mudhivarthi, Subrahmanya R.; Kumar, Ashok [Nanomaterials and Nanomanufacturing Research Center, University of South Florida (United States); Department of Mechanical Engineering, University of South Florida (United States); Gupta, Vinay K. [Department of Chemical and Biomedical Engineering, University of South Florida (United States)], E-mail: vkgupta@eng.usf.edu

    2008-12-30

    Abrasive particles are key components in slurries for chemical mechanical polishing (CMP). Since the particle characteristics determine surface quality of wafers during polishing, in this research, novel abrasive composite particles have been developed. These composite particles contain nanoparticles of ceria dispersed within cross-linked, polymeric microspheres such that the average mass fraction of ceria is approximately 50% in the particles. The microspheres are formed by co-polymerization of N-isopropylacrylamide (NIPAM) with 3-(trimethoxysilyl)propyl methacrylate (MPS) and contain interpenetrating (IP) chains of poly(acrylic acid) (PAAc). Infrared spectroscopy, dynamic light scattering, and transmission electron microscopy are employed to characterize the composite particles. Planarization of silicon dioxide wafers is studied on a bench-top CMP tester and the polished surfaces are characterized by ellipsometry, atomic force and optical microscopy. Slurries formed from the composite ceria-polymer particles lead to lower topographical variations and surface roughness than slurries of only ceria nanoparticles even though both slurries achieve similar removal rates of {approx}100 nm/min for similar ceria content. Polishing with the novel composite particles gives surfaces devoid of scratches and particle deposition, which makes these particles suitable for the next generation slurries in CMP.

  18. Model analysis and experimental investigation of the friction torque during the CMP process

    International Nuclear Information System (INIS)

    Guo Dongming; Xu Chi; Kang Renke; Jin Zhuji

    2011-01-01

    A model for calculating friction torque during the chemical mechanical polishing (CMP) process is presented, and the friction force and torque detection experiments during the CMP process are carried out to verify the model. The results show that the model can well describe the feature of friction torque during CMP processing. The research results provide a theoretical foundation for the CMP endpoint detection method based on the change of the torque of the polishing head rotational spindle. (semiconductor technology)

  19. Study on the CMP characteristics of a copper passivity layer formed by dipping in an oxidizer

    International Nuclear Information System (INIS)

    Choi, Youn-Ok; Lee, Woo-Sun; Choi, Gwon-Woo; Lee, Kang-Yeon; Kim, Nam-Oh

    2011-01-01

    Copper has been the material for ultra-large-scale integrated circuits owing to its excellent electromigration resistance and low electrical resistance. The polishing mechanism of metal chemical mechanical polishing (CMP) has been reported to be a repeated process of passive oxide layer formation through the use of on oxidizer and then the abrasion action of the slurry. However, because copper is softer and more sensitive to corrosion than tungsten, the slurry composition and the polishing mechanism during the copper CMP process may be more complicated. In a general Cu-CMP process, a mixture of an alumina-based slurry and an oxidizer in proper proportion is used in order to form a passive oxide layer such as CuO and CuO 2 . However, a conventional CMP process consumes an unnecessary amount of slurry to formed the passive layer. Therefore, in this paper, we propose a new method. The copper samples were oxidized by dipping in an oxidizer for an appropriate time to minimize the consumption of slurry before the CMP process. Then, we performed the CMP process. In order to compare the polishing characteristics of the copper thin film, we discuss the CMP removal rate and non-uniformity, as well as the microstructure of the surface and a layer cross-section based on a scanning.

  20. Design of an ultraprecision computerized numerical control chemical mechanical polishing machine and its implementation

    Science.gov (United States)

    Zhang, Chupeng; Zhao, Huiying; Zhu, Xueliang; Zhao, Shijie; Jiang, Chunye

    2018-01-01

    The chemical mechanical polishing (CMP) is a key process during the machining route of plane optics. To improve the polishing efficiency and accuracy, a CMP model and machine tool were developed. Based on the Preston equation and the axial run-out error measurement results of the m circles on the tin plate, a CMP model that could simulate the material removal at any point on the workpiece was presented. An analysis of the model indicated that lower axial run-out error led to lower material removal but better polishing efficiency and accuracy. Based on this conclusion, the CMP machine was designed, and the ultraprecision gas hydrostatic guideway and rotary table as well as the Siemens 840Dsl numerical control system were incorporated in the CMP machine. To verify the design principles of machine, a series of detection and machining experiments were conducted. The LK-G5000 laser sensor was employed for detecting the straightness error of the gas hydrostatic guideway and the axial run-out error of the gas hydrostatic rotary table. A 300-mm-diameter optic was chosen for the surface profile machining experiments performed to determine the CMP efficiency and accuracy.

  1. Chemical-mechanical polishing of metal and dielectric films for microelectronic applications

    Science.gov (United States)

    Hegde, Sharath

    The demand for smaller, faster devices has led the integrated circuit (IC) industry to continually increase the device density on a chip while simultaneously reducing feature dimensions. Copper interconnects and multilevel metallization (MLM) schemes were introduced to meet some of these challenges. With the employment of MLM in the ultra-large-scale-integrated (ULSI) circuit fabrication technology, repeated planarization of different surface layers with tolerance of a few nanometers is required. Presently, chemical-mechanical planarization (CMP) is the only technique that can meet this requirement. Damascene and shallow trench isolation processes are currently used in conjunction with CMP in the fabrication of multilevel copper interconnects and isolation of devices, respectively, for advanced logic and memory devices. These processes, at some stage, require simultaneous polishing of two different materials using a single slurry that offers high polish rates, high polish selectivity to one material over the other and good post-polish surface finish. Slurries containing one kind of abrasive particles do not meet most of these demands due mainly to the unique physical and chemical properties of each abrasive. However, if a composite particle is formed that takes the advantages of different abrasives while mitigating their disadvantages, the CMP performance of resulting abrasives would be compelling. It is demonstrated that electrostatic interactions between ceria and silica particles at pH 4 can be used to produce composite particles with enhanced functionality. Zeta potential measurement and TEM images used for particle characterization show the presence of such composite particles with smaller shell particles attached onto larger core particles. Slurries containing ceria (core)/silica (shell) and silica (core)/ceria (shell) composite particles when used to polish metal and dielectric films, respectively, yield both enhanced metal and dielectric film removal rates

  2. Chemical mechanical polishing of hard disk substrate with {alpha}-alumina-g-polystyrene sulfonic acid composite abrasive

    Energy Technology Data Exchange (ETDEWEB)

    Lei Hong, E-mail: hong_lei2005@yahoo.com.c [Research Center of Nano-science and Nano-technology, Shanghai University, Shanghai 200444 (China); Bu Naijing; Chen Ruling; Hao Ping [Research Center of Nano-science and Nano-technology, Shanghai University, Shanghai 200444 (China); Neng Sima; Tu Xifu; Yuen Kwok [Shenzhen Kaifa Magnetic Recording Co., LTD, Shenzhen, 518035 (China)

    2010-05-03

    {alpha}-Alumina-g-polystyrene sulfonic acid ({alpha}-Al{sub 2}O{sub 3}-g-PSS) composite abrasive was prepared by surface activation, graft polymerization and sulfonation, successively. The composition, dispersibility and morphology of the product were characterized by Fourier transformed infrared spectroscopy, laser particle size analysis and scanning electron microscopy, respectively. The chemical mechanical polishing (CMP) performances of the composite abrasive on hard disk substrate with nickel-phosphorous plating were investigated. The microscopy images of the polished surfaces show that {alpha}-Al{sub 2}O{sub 3}-g-PSS composite abrasive results in improved CMP and post-CMP cleaning performances than pure {alpha}-alumina abrasive under the same testing conditions.

  3. Investigation of aluminum gate CMP in a novel alkaline solution

    International Nuclear Information System (INIS)

    Feng Cuiyue; Liu Yuling; Sun Ming; Zhang Wenqian; Zhang Jin; Wang Shuai

    2016-01-01

    Beyond 45 nm, due to the superior CMP performance requirements with the metal gate of aluminum in the advanced CMOS process, a novel alkaline slurry for an aluminum gate CMP with poly-amine alkali slurry is investigated. The aluminum gate CMP under alkaline conditions has two steps: stock polishing and fine polishing. A controllable removal rate, the uniformity of aluminum gate and low corrosion are the key challenges for the alkaline polishing slurry of the aluminum gate CMP. This work utilizes the complexation-soluble function of FA/O II and the preference adsorption mechanism of FA/O I nonionic surfactant to improve the uniformity of the surface chemistry function with the electrochemical corrosion research, such as OCP-TIME curves, Tafel curves and AC impedance. The result is that the stock polishing slurry (with SiO 2 abrasive) contains 1 wt.% H 2 O 2 ,0.5 wt.% FA/O II and 1.0 wt.% FA/O I nonionic surfactant. For a fine polishing process, 1.5 wt.% H 2 O 2 , 0.4 wt.% FA/O II and 2.0 wt.% FA/O I nonionic surfactant are added. The polishing experiments show that the removal rates are 3000 ± 50 Å/min and 1600 ± 60 Å/min, respectively. The surface roughnesses are 2.05 ± 0.128 nm and 1.59 ± 0.081 nm, respectively. A combination of the functions of FA/O II and FA/O I nonionic surfactant obtains a controllable removal rate and a better surface roughness in alkaline solution. (paper)

  4. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  5. Chemical Mechanical Polishing of Ruthenium, Cobalt, and Black Diamond Films

    Science.gov (United States)

    Peethala, Brown Cornelius

    Ta/TaN bilayer serves as the diffusion barrier as well as the adhesion promoter between Cu and the dielectric in 32 nm technology devices. A key concern of future technology devices (layer (vs. a bilayer of Ta/TaN) to act as a barrier. During patterning, they need to be planarized using conventional chemical mechanical polishing (CMP) to achieve a planar surface. However, CMP of these new barrier materials requires novel slurry compositions that provide adequate selectivity towards Cu and dielectric films, and minimize galvanic corrosion. Apart from the application as a barrier, Ru also has been proposed as a lower electrode material in metal-insulator-metal capacitors where high (> 50 nm/min) Ru removal rates (RRs) are required and as a stop layer in magnetic recording head fabrication where low (hydroxide (KOH). It was also determined that increased the ionic strength is not responsible for the observed increase in Ru removal rate. Benzotirazole (BTA) and ascorbic acid were added to the slurry to reduce the open circuit potential (Eoc) difference between Cu and Ru to ˜20 mV from about 550 mV in the absence of additives. A removal mechanism with KIO4 as the oxidizing agent is proposed based on the formation of several ruthenium oxides, some of which formed residues on the polishing pad below a pH of ˜7. Next, a colloidal silica-based slurry with hydrogen peroxide (H 2O2) as the oxidizer (1 wt%), and arginine (0.5 wt%) as the complexing agent was developed to polish Co at pH 10. The Eoc between Cu and Co at the above conditions was reduced to ˜20 mV compared to ˜250 mV in the absence of additives, suggestive of reduced galvanic corrosion during the Co polishing. The slurry also has the advantages of good post-polish surface quality at pH 10, and no dissolution rate. BTA at a concentration of 5mM in this slurry inhibited Cu dissolution rates and yielded a Cu/Co RR ratio of ˜0.8:1 while the open potential difference between Cu and Co was further reduced to ˜10

  6. Studies on Slurry Design Fundamentals for Advanced CMP Applications

    KAUST Repository

    Basim, G. B.; Karagoz, A.; Ozdemir, Z.; Vakarelski, Ivan Uriev; Chen, Long

    2013-01-01

    New developments and device performance requirements in microelectronics industry add to the challenges in chemical mechanical planarization (CMP) process. One of the recently introduced materials is germanium which enables improved performance through better channel mobility in shallow trench isolation (STI) applications. This paper reports on the slurry design alternatives for Ge CMP with surfactant mediation to improve on the silica/germanium selectivity using colloidal silica slurry. In addition to the standard CMP tests to evaluate the material removal rates, atomic force microscopy (AFM) based wear tests were also conducted to evaluate single particle-surface interaction of the polishing system. Furthermore, nature of the surface oxide film of germanium was studied through contact angle measurements and surface roughness tested by AFM. It was observed that the CMP selectivity of the silica/germanium system and defectivity control were possible with a reasonable material removal rate value by using self-assembled structures of cationic surfactants.

  7. Studies on Slurry Design Fundamentals for Advanced CMP Applications

    KAUST Repository

    Basim, G. B.

    2013-06-14

    New developments and device performance requirements in microelectronics industry add to the challenges in chemical mechanical planarization (CMP) process. One of the recently introduced materials is germanium which enables improved performance through better channel mobility in shallow trench isolation (STI) applications. This paper reports on the slurry design alternatives for Ge CMP with surfactant mediation to improve on the silica/germanium selectivity using colloidal silica slurry. In addition to the standard CMP tests to evaluate the material removal rates, atomic force microscopy (AFM) based wear tests were also conducted to evaluate single particle-surface interaction of the polishing system. Furthermore, nature of the surface oxide film of germanium was studied through contact angle measurements and surface roughness tested by AFM. It was observed that the CMP selectivity of the silica/germanium system and defectivity control were possible with a reasonable material removal rate value by using self-assembled structures of cationic surfactants.

  8. Modeling the effects of cohesive energy for single particle on the material removal in chemical mechanical polishing at atomic scale

    International Nuclear Information System (INIS)

    Wang Yongguang; Zhao Yongwu; An Wei; Wang Jun

    2007-01-01

    This paper proposes a novel mathematical model for chemical mechanical polishing (CMP) based on interface solid physical and chemical theory in addition to energy equilibrium knowledge. And the effects of oxidation concentration and particle size on the material removal in CMP are investigated. It is shown that the mechanical energy and removal cohesive energy couple with the particle size, and being a cause of the non-linear size-removal rate relation. Furthermore, it also shows a nonlinear dependence of removal rate on removal cohesive energy. The model predictions are in good qualitative agreement with the published experimental data. The current study provides an important starting point for delineating the micro-removal mechanism in the CMP process at atomic scale

  9. Development of Formulations for a-SiC and Manganese CMP and Post-CMP Cleaning of Cobalt

    Science.gov (United States)

    Lagudu, Uma Rames Krishna

    We have investigated the chemical mechanical polishing (CMP) of amorphous SiC (a-SiC) and Mn and Post CMP cleaning of cobalt for various device applications. During the manufacture of copper interconnects using the damascene process the polishing of copper is followed by the polishing of the barrier material (Co, Mn, Ru and their alloys) and its post CMP cleaning. This is followed by the a-SiC hard mask CMP. Silicon carbide thin films, though of widespread use in microelectronic engineering, are difficult to process by CMP because of their hardness and chemical inertness. The earlier part of the SiC work discusses the development of slurries based on silica abrasives that resulted in high a-SiC removal rates (RRs). The ionic strength of the silica dispersion was found to play a significant role in enhancing material removal rate, while also providing very good post-polish surface-smoothness. For example, the addition of 50 mM potassium nitrate to a pH 8 aqueous slurry consisting of 10 wt % of silica abrasives and 1.47 M hydrogen peroxide increased the RR from about 150 nm/h to about 2100 nm/h. The role of ionic strength in obtaining such high RRs was investigated using surface zeta-potentials measurements and X-ray photoelectron spectroscopy (XPS). Evidently, hydrogen peroxide promoted the oxidation of Si and C to form weakly adhered species that were subsequently removed by the abrasive action of the silica particles. The effect of potassium nitrate in increasing material removal is attributed to the reduction in the electrostatic repulsion between the abrasive particles and the SiC surface because of screening of surface charges by the added electrolyte. We also show that transition metal compounds when used as additives to silica dispersions enhance a-SiC removal rates (RRs). Silica slurries containing potassium permanganate gave RRs as high as 2000 nm/h at pH 4. Addition of copper sulfate to this slurry further enhanced the RRs to ˜3500 nm/h at pH 6

  10. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  11. KDP Aqueous Solution-in-Oil Microemulsion for Ultra-Precision Chemical-Mechanical Polishing of KDP Crystal

    Directory of Open Access Journals (Sweden)

    Hui Dong

    2017-03-01

    Full Text Available A novel functional KH2PO4 (KDP aqueous solution-in-oil (KDP aq/O microemulsion system for KDP crystal ultra-precision chemical-mechanical polishing (CMP was prepared. The system, which consisted of decanol, Triton X-100, and KH2PO4 aqueous solution, was available at room temperature. The functional KDP aq/O microemulsion system was systematically studied and applied as polishing solution to KDP CMP technology. In this study, a controlled deliquescent mechanism was proposed for KDP polishing with the KDP aq/O microemulsion. KDP aqueous solution, the chemical etchant in the polishing process, was caged into the micelles in the microemulsion, leading to a limitation of the reaction between the KDP crystal and KDP aqueous solution only if the microemulsion was deformed under the effect of the external force. Based on the interface reaction dynamics, KDP aqueous solutions with different concentrations (cKDP were applied to replace water in the traditional water-in-oil (W/O microemulsion. The practicability of the controlled deliquescent mechanism was proved by the decreasing material removal rate (MRR with the increasing of the cKDP. As a result, the corrosion pits on the KDP surface were avoided to some degree. Moreover, the roughnesses of KDP with KDP aq/O microemulsion (cKDP was changed from 10 mM to 100 mM as polishing solutions were smaller than that with the W/O microemulsion. The smallest surface root-mean-square roughness of 1.5 nm was obtained at a 30 mmol/L KDP aq solution, because of the most appropriate deliquescent rate and MRR.

  12. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    International Nuclear Information System (INIS)

    Chou, Yi-Sin; Yen, Shi-Chern; Jeng, King-Tsai

    2015-01-01

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface

  13. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Yi-Sin [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Yen, Shi-Chern, E-mail: scyen@ntu.edu.tw [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Jeng, King-Tsai [Research Division I, TIER, 7F, No. 16-8, Dehuei St., Taipei 10461, Taiwan (China)

    2015-07-15

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface.

  14. Effect of conditioner load on the polishing pad surface during chemical mechanical planarization process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Cheol Min; Qin, Hong Yi; Hong, Seok Jun; Jeon, Sang Hyuk; Kulkarni, Atul; Kim, Tae Sun [Sungkyunkwan University, Suwon (Korea, Republic of)

    2016-12-15

    During the Chemical mechanical planarization (CMP), the pad conditioning process can affect the pad surface characteristics. Among many CMP process parameters, the improper applied load on the conditioner arm may have adverse effects on the polyurethane pad. In this work, we evaluated the pad surface properties under the various conditioner arm applied during pad conditioning process. The conditioning pads were evaluated for surface topography, surface roughness parameters such as Rt and Rvk and Material removal rate (MRR) and within-wafer non-uniformity after wafer polishing. We observed that, the pad asperities were collapsed in the direction of conditioner rotation and blocks the pad pores applied conditioner load. The Rvk value and MRR were founded to be in relation with 4 > 1 > 7 kgF conditioner load. Hence, this study shows that, 4 kgF applied load by conditioner is most suitable for the pad conditioning during CMP.

  15. Atomistic scale nanoscratching behavior of monocrystalline Cu influenced by water film in CMP process

    Science.gov (United States)

    Shi, Junqin; Chen, Juan; Fang, Liang; Sun, Kun; Sun, Jiapeng; Han, Jing

    2018-03-01

    The effect of water film on the nanoscratching behavior of monocrystalline Cu was studied by molecular dynamics (MD) simulation. The results indicate that the friction force acting on abrasive particle increases due to the resistance of water film accumulating ahead of particle, but the water film with lubrication decreases friction force acting on Cu surface. The accumulation of water molecules around particle causes the anisotropy of ridge and the surface damage around the groove, and the water molecules remaining in the groove lead to the non-regular groove structure. The dislocation evolution displays the re-organization of the dislocation network in the nanoscratching process. The evaluation of removal efficiency shows the number of removed Cu atoms decreases with water film thickness. It is considered that an appropriate rather than a high removal efficiency should be adopted to evaluate the polishing process in real (chemical mechanical polishing) CMP. These results are helpful to reveal the polishing mechanism under the effect of water film from physical perspective, which benefits the development of ultra-precision manufacture and miniaturized components, as well as the innovation of CMP technology.

  16. Abrasive Particle Trajectories and Material Removal Non-Uniformity during CMP and Filtration Characteristics of CMP Slurries - A Simulation and Experimental Study

    Science.gov (United States)

    Rastegar, Vahid

    Nanoscale finishing and planarization are integral process steps in multilevel metallization designs for integrated circuit (IC) manufacturing since it is necessary to ensure local and global surface planarization at each metal layer before depositing the next layer. Chemical mechanical planarization (CMP) has been widely recognized as the most promising technology to eliminate topographic variation and has allowed the construction of multilevel interconnection structures with a more regularly stacked sequence, resulting in better device performance [1]. Understanding fundamental of the CMP mechanisms can offer guidance to the control and optimization of the polishing processes. CMP kinematics based on slurry distribution and particle trajectories have a significant impact on MRR profiles. In this work a mathematical model to describe particle trajectories during chemical mechanical polishing was developed and extended to account for the effect of larger particles, particle location changes due to slurry dispensing and in-situ conditioning. Material removal rate (MRR) and within wafer non-uniformity (WIWNU) were determined based on the calculated particle trajectory densities. Rotary dynamics and reciprocating motion were optimized to obtain best MRR uniformity. Edge-fast MRR profile was discussed based on mechanical aspect of CMP. Using the model, we also investigated the effect of variable rotational speeds of wafer and pad, and of large particles on WIWNU and scratch growth. It was shown that the presence of even a small portion of large particles can deteriorate the WIWNU significantly and also lead to more scratches. Furthermore, it was shown that the in-situ conditioning improves the uniformity of the polished wafers. Furthermore, a combined experimental and computational study of fibrous filters for removal of larger abrasive particles from aqueous dispersions, essential to minimize defects during chemical mechanical polishing, was performed. Dilute aqueous

  17. Post-CMP cleaning for metallic contaminant removal by using a remote plasma and UV/ozone

    International Nuclear Information System (INIS)

    Lim, Jong Min; Jeon, Bu Yong; Lee, Chong Mu

    2000-01-01

    For the chemical mechanical polishing (CMP) process to be successful, it is important to establish a good post-CMP cleaning process that will remove not only slurry and particles but also metallic impurities from the polished surface. The common metallic contaminants found after oxide CMP and Cu CMP include Cu, K, and Fe. Scrubbing, a popular method for post-CMP cleaning, is effective in removing particles, but removal of metallic contaminants using this method is not so effective. In this study, the removal of Fe metallic contaminants like Fe, which are commonly found on the wafer surface after CMP processes, was investigated using remote-hydrogen-plasma and UV/O 3 cleaning techniques. Our results show that metal contaminants, including Fe, can be effectively removed by using a hydrogen-plasma or UV/O 3 cleaning technique performed under optimal process conditions. In remote plasma H 2 cleaning, contaminant removal is enhanced with decreasing plasma exposure time and increasing rf-power. The optimal process condition for the removal of the Fe impurities existing on the wafer surface is an rf-power of 100 W. Plasma cleaning for 5 min or less is effective in removing Fe contaminants, but a plasma exposure time of 1 min is more appropriate than 5 min in view of the process time, The surface roughness decreased by 30∼50 % after remote-H 2 -plasma cleaning. On the other hand, the highest efficiency of Fe-impurity removal was achieved for an UV exposure time of 30 s. The removal mechanism for the Fe contaminants in the remote-H 2 -plasma and the UV/O 3 cleaning processes is considered to be the liftoff of Fe atoms when the SiO is removed by evaporation after the chemical or native SiO 2 formed underneath the metal atoms reacts with H + and e - to form SiO

  18. Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing of GaN

    Science.gov (United States)

    Wang, Jie; Wang, Tongqing; Pan, Guoshun; Lu, Xinchun

    2016-08-01

    Effects of catalyst concentration and ultraviolet intensity on chemical mechanical polishing (CMP) of GaN were deeply investigated in this paper. Working as an ideal homogeneous substrate material in LED industry, GaN ought to be equipped with a smooth and flat surface. Taking the strong chemical stability of GaN into account, photocatalytic oxidation technology was adopted in GaN CMP process to realize efficient removal. It was found that, because of the improved reaction rate of photocatalytic oxidation, GaN material removal rate (MRR) increases by a certain extent with catalyst concentration increasing. Cross single line analysis on the surface after polishing by Phase Shift MicroXAM-3D was carried out to prove the better removal effect with higher catalyst concentration. Ultraviolet intensity field in H2O2-SiO2-based polishing system was established and simulated, revealing the variation trend of ultraviolet intensity around the outlet of the slurry. It could be concluded that, owing to the higher planarization efficiency and lower energy damage, the UV lamp of 125 W is the most appropriate lamp in this system. Based on the analysis, defects removal model of this work was proposed to describe the effects of higher catalyst concentration and higher power of UV lamp.

  19. Chemical mechanical polishing characteristics of ITO thin film prepared by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Lee, Kang-Yeon; Choi, Gwon-Woo; Kim, Yong-Jae; Choi, Youn-Ok; Kim, Nam-Oh

    2012-01-01

    Indium-tin-oxide (ITO) thin films have attracted intensive interest because of their unique properties of good conductivity, high optical transmittance over the visible region and easy patterning ability. ITO thin films have found many applications in anti-static coatings, thermal heaters, solar cells, flat panel displays (FPDs), liquid crystal displays (LCDs), electroluminescent devices, sensors and organic light-emitting diodes (OLEDs). ITO thin films are generally fabricated by using various methods, such as spraying, chemical vapor deposition (CVD), evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive sputtering. In this research, ITO films were grown on glass substrates by using a radio-frequency (RF) magnetron sputtering method. In order to achieve a high transmittance and a low resistivity, we examined the various film deposition conditions, such as substrate temperature, working pressure, annealing temperature, and deposition time. Next, in order to improve the surface quality of the ITO thin films, we performed a chemical mechanical polishing (CMP) with different process parameters and compared the electrical and the optical properties of the polished ITO thin films. The best CMP conditions with a high removal rate, low nonuniformity, low resistivity and high transmittance were as follows: platen speed, head speed, polishing time, and slurry flow rate of 30 rpm, 30 rpm, 60 sec, and 60 ml/min, respectively.

  20. The way to zeros: The future of semiconductor device and chemical mechanical polishing technologies

    Science.gov (United States)

    Tsujimura, Manabu

    2016-06-01

    For the last 60 years, the development of cutting-edge semiconductor devices has strongly emphasized scaling; the effort to scale down current CMOS devices may well achieve the target of 5 nm nodes by 2020. Planarization by chemical mechanical polishing (CMP), is one technology essential for supporting scaling. This paper summarizes the history of CMP transitions in the planarization process as well as the changing degree of planarity required, and, finally, introduces innovative technologies to meet the requirements. The use of CMP was triggered by the replacement of local oxidation of silicon (LOCOS) as the element isolation technology by shallow trench isolation (STI) in the 1980s. Then, CMP’s use expanded to improving embedability of aluminum wiring, tungsten (W) contacts, Cu wiring, and, more recently, to its adoption in high-k metal gate (HKMG) and FinFET (FF) processes. Initially, the required degree of planarity was 50 nm, but now 0 nm is required. Further, zero defects on a post-CMP wafer is now the goal, and it is possible that zero psi CMP loading pressure will be required going forward. Soon, it seems, everything will have to be “zero” and perfect. Although the process is also chemical in nature, the CMP process is actually mechanical with a load added using slurry particles several tens of nm in diameter. Zero load in the loading process, zero nm planarity with no trace of processing, and zero residual foreign material, including the very slurry particles used in the process, are all required. This article will provide an overview of how to achieve these new requirements and what technologies should be employed.

  1. Interaction, transformation and toxicity assessment of particles and additives used in the semiconducting industry.

    Science.gov (United States)

    Dumitrescu, Eduard; Karunaratne, Dinusha P; Babu, S V; Wallace, Kenneth N; Andreescu, Silvana

    2018-02-01

    Chemical mechanical planarization (CMP) is a widely used technique for the manufacturing of integrated circuit chips in the semiconductor industry. The process generates large amounts of waste containing engineered particles, chemical additives, and chemo-mechanically removed compounds. The environmental and health effects associated with the release of CMP materials are largely unknown and have recently become of significant concern. Using a zebrafish embryo assay, we established toxicity profiles of individual CMP particle abrasives (SiO 2 and CeO 2 ), chemical additives (hydrogen peroxide, proline, glycine, nicotinic acid, and benzotriazole), as well as three model representative slurries and their resulting waste. These materials were characterized before and after use in a typical CMP process in order to assess changes that may affect their toxicological profile and alter their surface chemistry due to polishing. Toxicity outcome in zebrafish is discussed in relation with the physicochemical characteristics of the abrasive particles and with the type and concentration profile of the slurry components pre and post-polishing, as well as the interactions between particle abrasives and additives. This work provides toxicological information of realistic CMP slurries and their polishing waste, and can be used as a guideline to predict the impact of these materials in the environment. Copyright © 2017 Elsevier Ltd. All rights reserved.

  2. Fe-N{sub x}/C assisted chemical–mechanical polishing for improving the removal rate of sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Li, E-mail: xl0522@126.com [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zou, Chunli; Shi, Xiaolei [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Luo, Guihai; Zhou, Yan [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/Nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-07-15

    Highlights: • A novel non-noble metal catalyst (Fe-N{sub x}/C) was prepared. • Fe-N{sub x}/C shows remarkable catalytic activity for improving the removal rate of sapphire in alkaline solution. • The optimum CMP removal by Fe-N{sub x}/C yielded a superior surface finish of 0.078 nm the average roughness. • Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group possibly serving as the catalytic sites. • A soft hydration layer (boehmite, AlO(OH)) was generated on the surface of sapphire during CMP process. - Abstract: In this paper, a novel non-noble metal catalyst (Fe-N{sub x}/C) is used to improve the removal mass of sapphire as well as obtain atomically smooth sapphire wafer surfaces. The results indicate that Fe-N{sub x}/C shows good catalytic activity towards sapphire removal rate. And the material removal rates (MRRs) are found to vary with the catalyst content in the polishing fluid. Especially that when the polishing slurry mixes with 16 ppm Fe-N{sub x}/C shows the maximum MRR and its removal mass of sapphire is 38.43 nm/min, more than 15.44% larger than traditional CMP using the colloidal silicon dioxide (SiO{sub 2}) without Fe-N{sub x}/C. Catalyst-assisted chemical–mechanical polishing of sapphire is studied with X-ray photoelectron spectroscopy (XPS). It is found that the formation of a soft hydration layer (boehmite, γ-AlOOH or γ-AlO(OH)) on sapphire surface facilitates the material removal and achieving fine surface finish on basal plane. Abrasives (colloid silica together with magnetite, ingredient of Fe-N{sub x}/C) with a hardness between boehmite and sapphire polish the c-plane of sapphire with good surface finish and efficient removal. Fe{sub 2}O{sub 3}, Fe{sub 3}O{sub 4}, pyridinic N as well as pyrrolic N group would be the catalytical active sites and accelerate this process. Surface quality is characterized with atomic force microscopy (AFM). The optimum CMP removal by Fe-N{sub x}/C also yields a superior

  3. Investigation on the surface characterization of Ga-faced GaN after chemical-mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Gong, Hua [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Pan, Guoshun, E-mail: pangs@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China); Zhou, Yan; Shi, Xiaolei; Zou, Chunli; Zhang, Suman [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shenzhen Key Laboratory of Micro/nano Manufacturing, Research Institute of Tsinghua University in Shenzhen, Shenzhen 518057 (China)

    2015-05-30

    Highlights: • Tiny-sized nanoparticles were introduced in GaN CMP to realize a good surface. • The relationship between surface characterization and abrasive size was conducted. • An atomic step-terrace structure was achieved on GaN surface after CMP. • Pt/C catalyst nanoparticles were used in GaN CMP to get a higher MRR. - Abstract: The relationship between the surface characterization after chemical mechanical polishing (CMP) and the size of the silica (SiO{sub 2}) abrasive used for CMP of gallium nitride (GaN) substrates was investigated in detail. Atomic force microscope was used for measuring the surface morphology, pit feature, pit depth distribution, and atomic step-terrace structure. With the decrease of SiO{sub 2} abrasive size, the pit depth reduced and the atomic step-terrace structure became more whole with smaller damage area, resulting in smaller roughness. For tiny-sized SiO{sub 2} abrasive, an almost complete atomic step-terrace structure with 0.0523 nm roughness was achieved. On the other hand, in order to acquire higher removal, Pt/C nanoparticle was employed as a catalyst in CMP slurry. The result indicates that when Pt/C catalyst content was reached to 1.0 ppm, material removal rate was increased by 47.69% compared to that by none of the catalyst, and besides, the pit depth reduced and the surface atomic step-terrace structure was not destroyed. The Pt/C nanoparticle is proved to be the promising catalyst to the surface preparation of super-hard and inert materials with high efficiency and good surface.

  4. Characterization of shallow trench isolation CMP process and its application

    Science.gov (United States)

    Li, Helen; Zhang, ChunLei; Liu, JinBing; Liu, ZhengFang; Chen, Kuang Han; Gbondo-Tugbawa, Tamba; Ding, Hua; Li, Flora; Lee, Brian; Gower-Hall, Aaron; Chiu, Yang-Chih

    2016-03-01

    Chemical mechanical polishing (CMP) has been a critical enabling technology in shallow trench isolation (STI), which is used in current integrated circuit fabrication process to accomplish device isolation. Excessive dishing and erosion in STI CMP processes, however, create device yield concerns. This paper proposes characterization and modeling techniques to address a variety of concerns in STI CMP. In the past, majority of CMP publications have been addressed on interconnect layers in backend- of-line (BEOL) process. However, the number of CMP steps in front-end-of-line (FEOL) has been increasing in more advanced process techniques like 3D-FinFET and replacement metal gate, as a results incoming topography induced by FEOL CMP steps can no longer be ignored as the topography accumulates and stacks up across multiple CMP steps and eventually propagating to BEOL layers. In this paper, we first discuss how to characterize and model STI CMP process. Once STI CMP model is developed, it can be used for screening design and detect possible manufacturing weak spots. We also work with process engineering team to establish hotspot criteria in terms of oxide dishing and nitride loss. As process technologies move from planar transistor to 3D transistor like FinFet and multi-gate, it is important to accurately predict topography in FEOL CMP processes. These incoming topographies when stacked up can have huge impact in BEOL copper processes, where copper pooling becomes catastrophic yield loss. A calibration methodology to characterize STI CMP step is developed as shown in Figure 1; moreover, this STI CMP model is validated from silicon data collected from product chips not used in calibration stage. Additionally, wafer experimental setup and metrology plan are instrumental to an accurate model with high predictive power. After a model is generated, spec limits and threshold to establish hotspots criteria can be defined. Such definition requires working closely with foundry

  5. Optimization of a Cu CMP process modeling parameters of nanometer integrated circuits

    International Nuclear Information System (INIS)

    Ruan Wenbiao; Chen Lan; Ma Tianyu; Fang Jingjing; Zhang He; Ye Tianchun

    2012-01-01

    A copper chemical mechanical polishing (Cu CMP) process is reviewed and analyzed from the view of chemical physics. Three steps Cu CMP process modeling is set up based on the actual process of manufacturing and pattern-density-step-height (PDSH) modeling from MIT. To catch the pattern dependency, a 65 nm testing chip is designed and processed in the foundry. Following the model parameter extraction procedure, the model parameters are extracted and verified by testing data from the 65 nm testing chip. A comparison of results between the model predictions and test data show that the former has the same trend as the latter and the largest deviation is less than 5 nm. Third party testing data gives further evidence to support the great performance of model parameter optimization. Since precise CMP process modeling is used for the design of manufacturability (DFM) checks, critical hotspots are displayed and eliminated, which will assure good yield and production capacity of IC. (semiconductor technology)

  6. Development and Analysis of Double-Faced Radial and Cluster-Arranged CMP Diamond Disk

    Directory of Open Access Journals (Sweden)

    M. Y. Tsai

    2014-01-01

    Full Text Available In semiconductor manufacturing, diamond disks are indispensable for dressing chemical mechanical polishing (CMP pads. Recently, 450 mm (18 inch diameter wafers have been used to improve output and reduce wafer production cost. To polish 450 mm diameter wafers, the diameter of polishing pads must be increased to 1050 mm. In particular, because diamond disks are limited to 100 mm diameters, a much greater number of working crystals will be required for dressing a 1050 mm diameter pad. Consequently, new diamond disks must be developed. In this study, novel arrangements are made using a braze in diamond patterns, which are radial with a cluster arrangement of 3-4 grits per cluster. Furthermore, a double-faced combined diamond disk is developed. The polishing pad surface was characterized, and the effect of different diamond conditioners on wafer removal rate was studied. This research aims to develop a more suitable diamond disk for dressing 1050 mm diameter polishing pads.

  7. Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sang Won; Kim, Jae Jeong [Institute of Chemical Process, Seoul National University, Seoul (Korea, Republic of)

    2015-08-15

    As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

  8. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Wei-Lung, E-mail: wlchou@sunrise.hk.edu.tw [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China); Wang, Chih-Ta [Department of Safety Health and Environmental Engineering, Chung Hwa University of Medical Technology, Tainan Hsien 717, Taiwan (China); Chang, Wen-Chun; Chang, Shih-Yu [Department of Safety, Health and Environmental Engineering, Hungkuang University, No. 34, Chung-Chie Road, Sha-Lu, Taichung 433, Taiwan (China)

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L{sup -1}). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  9. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation

    International Nuclear Information System (INIS)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-01-01

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L -1 ). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K.

  10. Adsorption treatment of oxide chemical mechanical polishing wastewater from a semiconductor manufacturing plant by electrocoagulation.

    Science.gov (United States)

    Chou, Wei-Lung; Wang, Chih-Ta; Chang, Wen-Chun; Chang, Shih-Yu

    2010-08-15

    In this study, metal hydroxides generated during electrocoagulation (EC) were used to remove the chemical oxygen demand (COD) of oxide chemical mechanical polishing (oxide-CMP) wastewater from a semiconductor manufacturing plant by EC. Adsorption studies were conducted in a batch system for various current densities and temperatures. The COD concentration in the oxide-CMP wastewater was effectively removed and decreased by more than 90%, resulting in a final wastewater COD concentration that was below the Taiwan discharge standard (100 mg L(-1)). Since the processed wastewater quality exceeded the direct discharge standard, the effluent could be considered for reuse. The adsorption kinetic studies showed that the EC process was best described using the pseudo-second-order kinetic model at the various current densities and temperatures. The experimental data were also tested against different adsorption isotherm models to describe the EC process. The Freundlich adsorption isotherm model predictions matched satisfactorily with the experimental observations. Thermodynamic parameters, including the Gibbs free energy, enthalpy, and entropy, indicated that the COD adsorption of oxide-CMP wastewater on metal hydroxides was feasible, spontaneous and endothermic in the temperature range of 288-318 K. Copyright 2010 Elsevier B.V. All rights reserved.

  11. Bio-chemo-mechanics of thoracic aortic aneurysms.

    Science.gov (United States)

    Wagenseil, Jessica E

    2018-03-01

    Most thoracic aortic aneurysms (TAAs) occur in the ascending aorta. This review focuses on the unique bio-chemo-mechanical environment that makes the ascending aorta susceptible to TAA. The environment includes solid mechanics, fluid mechanics, cell phenotype, and extracellular matrix composition. Advances in solid mechanics include quantification of biaxial deformation and complex failure behavior of the TAA wall. Advances in fluid mechanics include imaging and modeling of hemodynamics that may lead to TAA formation. For cell phenotype, studies demonstrate changes in cell contractility that may serve to sense mechanical changes and transduce chemical signals. Studies on matrix defects highlight the multi-factorial nature of the disease. We conclude that future work should integrate the effects of bio-chemo-mechanical factors for improved TAA treatment.

  12. Influence of the Molecular Adhesion Force on the Indentation Depth of a Particle into the Wafer Surface in the CMP Process

    Directory of Open Access Journals (Sweden)

    Zhou Jianhua

    2014-01-01

    Full Text Available By theoretical calculation, the external force on the particle conveyed by pad asperities and the molecular adhesion force between particle and wafer are compared and analyzed quantitatively. It is confirmed that the molecular adhesion force between particle and wafer has a great influence on the chemical mechanical polishing (CMP material removal process. Considering the molecular adhesion force between particle and wafer, a more precise model for the indentation of a particle into the wafer surface is developed in this paper, and the new model is compared with the former model which neglected the molecular adhesion force. Through theoretical analyses, an approach and corresponding critical values are applied to estimate whether the molecular adhesion force in CMP can be neglected. These methods can improve the precision of the material removal model of CMP.

  13. Consumable Process Development for Chemical Mechanical Planarization of Bit Patterned Media for Magnetic Storage Fabrication

    Science.gov (United States)

    Bonivel, Joseph T., Jr.

    2010-09-01

    As the superparamagnetic limit is reached, the magnetic storage industry looks to circumvent the barrier by implementing patterned media (PM) as a viable means to store and access data. Chemical mechanical polishing (CMP) is a semiconductor fabrication technique used to planarize surfaces and is investigated as a method to ensure that the PM is polished to surface roughness parameters that allow the magnetic read/write head to move seamlessly across the PM. Results from this research have implications in feasibility studies of utilizing CMP as the main planarization technique for PM fabrication. Benchmark data on the output parameters of the CMP process, for bit patterned media (BPM), based on the machine process parameters, pad properties, and slurry characteristics are optimized. The research was conducted in a systematic manner in which the optimized parameters for each phase are utilized in future phases. The optimum results from each of the phases provide an overall optimum characterization for BPM CMP. Results on the CMP machine input parameters indicate that for optimal surface roughness and material removal, low polish pressures and high velocities should be used on the BPM. Pad characteristics were monitored by non destructive technique and results indicate much faster deterioration of all padcharacteristics versus polish time of BPM when compared to IC CMP. The optimum pad for PM polishing was the IC 1400 dual layer Suba V pad with a shore hardness of 57, and a k-groove pattern. The final phase of polishing evaluated the slurry polishing properties and novel nanodiamond (ND) slurry was created and benchmarked on BPM. The resulting CMP output parameters were monitored and neither the ND slurry nor the thermally responsive polymer slurry performed better than the commercially available Cabot iCue slurry for MRR or surface roughness. Research results indicate CMP is a feasible planarization technique for PM fabrication, but successful implementation of CMP

  14. Chemo-hydro-mechanical behaviour of unsaturated clays

    International Nuclear Information System (INIS)

    Mokni, N.; Olivella, S.; Alonso, E.E.; Romero, E.

    2010-01-01

    Document available in extended abstract form only. Understanding of the chemical effects on clays is essential for many problems ranging from pollution studies and waste-containment. Several studies examined the effect of changes in pore fluid composition on the mechanical and hydraulic properties. Volume changes (contraction/ expansion) have been measured on clay specimens upon exposure to salt solutions or permeation with organic liquids. Moreover, it was shown that permeation of clay with brine induces an increase of the shear strength. In addition, several models have been proposed to describe the chemo-mechanical behaviour of saturated clays under saturated conditions. A new chemo-hydro-mechanical model for unsaturated clays is under development. The chemo-mechanical effects are described within an elasto-plastic framework using the concept that chemical effects act on the plastic properties by increasing or decreasing the pre-consolidation stress. The model is based on the distinction within the material of a microstructural and a macro-structural levels. Chemical loading has a significant effect on the microstructure. The negative pressure associated with the capillary water plays its role in the interconnected macro pores. By adopting simple assumptions concerning the coupling between the two levels it is intended to reproduce the features of the behaviour of unsaturated clays when there is a change in pore fluid composition (increase or decrease of concentration). A yield surface which defines the set of yield pre-consolidation stress values, for each associated capillary suction and concentration of pore fluid should be defined. In addition, the behaviour of clays under unsaturated condition and the behaviour at full saturation under chemical loading represent two limiting cases of the framework. Studies on the compatibility of Boom Clay with large amounts of nitrate- bearing bituminized radioactive waste have recently raised a particular interest on the

  15. Chemo-mechanical modeling of tumor growth in elastic epithelial tissue

    Energy Technology Data Exchange (ETDEWEB)

    Bratsun, Dmitry A., E-mail: bratsun@pspu.ru [Department of Applied Physics, Perm National Research Polytechnical University, Perm, 614990 (Russian Federation); Zakharov, Andrey P. [Department of Chemical Engineering, Technion-Israel Institute of Technology, Haifa, 32000 Israel (Israel); Theoretical Physics Department, Perm State Humanitarian Pedagogical University, Perm, 614990 (Russian Federation); Pismen, Len [Department of Chemical Engineering, Technion-Israel Institute of Technology, Haifa, 32000 Israel (Israel)

    2016-08-02

    We propose a multiscale chemo-mechanical model of the cancer tumor development in the epithelial tissue. The epithelium is represented by an elastic 2D array of polygonal cells with its own gene regulation dynamics. The model allows the simulation of the evolution of multiple cells interacting via the chemical signaling or mechanically induced strain. The algorithm includes the division and intercalation of cells as well as the transformation of normal cells into a cancerous state triggered by a local failure of the spatial synchronization of the cellular rhythms driven by transcription/translation processes. Both deterministic and stochastic descriptions of the system are given for chemical signaling. The transformation of cells means the modification of their respective parameters responsible for chemo-mechanical interactions. The simulations reproduce a distinct behavior of invasive and localized carcinoma. Generally, the model is designed in such a way that it can be readily modified to take account of any newly understood gene regulation processes and feedback mechanisms affecting chemo-mechanical properties of cells.

  16. Chemo-mechanical modeling of tumor growth in elastic epithelial tissue

    Science.gov (United States)

    Bratsun, Dmitry A.; Zakharov, Andrey P.; Pismen, Len

    2016-08-01

    We propose a multiscale chemo-mechanical model of the cancer tumor development in the epithelial tissue. The epithelium is represented by an elastic 2D array of polygonal cells with its own gene regulation dynamics. The model allows the simulation of the evolution of multiple cells interacting via the chemical signaling or mechanically induced strain. The algorithm includes the division and intercalation of cells as well as the transformation of normal cells into a cancerous state triggered by a local failure of the spatial synchronization of the cellular rhythms driven by transcription/translation processes. Both deterministic and stochastic descriptions of the system are given for chemical signaling. The transformation of cells means the modification of their respective parameters responsible for chemo-mechanical interactions. The simulations reproduce a distinct behavior of invasive and localized carcinoma. Generally, the model is designed in such a way that it can be readily modified to take account of any newly understood gene regulation processes and feedback mechanisms affecting chemo-mechanical properties of cells.

  17. Polishing of silicon based advanced ceramics

    Science.gov (United States)

    Klocke, Fritz; Dambon, Olaf; Zunke, Richard; Waechter, D.

    2009-05-01

    Silicon based advanced ceramics show advantages in comparison to other materials due to their extreme hardness, wear and creep resistance, low density and low coefficient of thermal expansion. As a matter of course, machining requires high efforts. In order to reach demanded low roughness for optical or tribological applications a defect free surface is indispensable. In this paper, polishing of silicon nitride and silicon carbide is investigated. The objective is to elaborate scientific understanding of the process interactions. Based on this knowledge, the optimization of removal rate, surface quality and form accuracy can be realized. For this purpose, fundamental investigations of polishing silicon based ceramics are undertaken and evaluated. Former scientific publications discuss removal mechanisms and wear behavior, but the scientific insight is mainly based on investigations in grinding and lapping. The removal mechanisms in polishing are not fully understood due to complexity of interactions. The role of, e.g., process parameters, slurry and abrasives, and their influence on the output parameters is still uncertain. Extensive technological investigations demonstrate the influence of the polishing system and the machining parameters on the stability and the reproducibility. It is shown that the interactions between the advanced ceramics and the polishing systems is of great relevance. Depending on the kind of slurry and polishing agent the material removal mechanisms differ. The observed effects can be explained by dominating mechanical or chemo-mechanical removal mechanisms. Therefore, hypotheses to state adequate explanations are presented and validated by advanced metrology devices, such as SEM, AFM and TEM.

  18. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  19. Surface-modified polymeric pads for enhanced performance during chemical mechanical planarization

    International Nuclear Information System (INIS)

    Deshpande, S.; Dakshinamurthy, S.; Kuiry, S.C.; Vaidyanathan, R.; Obeng, Y.S.; Seal, S.

    2005-01-01

    The chemical mechanical planarization (CMP) process occurs at an atomic level at the slurry/wafer interface and hence slurries and polishing pads play a critical role in their successful implementation. Polyurethane is a commonly used polymer in the manufacturing of CMP pads. These pads are incompatible with some chemicals present in the CMP slurries, such as hydrogen peroxide. To overcome these problems, Psiloquest has developed new Application Specific Pads (ASP). Surface of such pads has been modified by depositing a thin film of tetraethyl orthosilicate using plasma-enhanced chemical vapor deposition (PECVD) process. In the present study, mechanical properties of such coated pads have been investigated using nanoindentation. The surface morphology and the chemistry of the ASP were studied using scanning electron microcopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy techniques. It was observed that mechanical and chemical properties of the pad top surface are a function of the PECVD coating time. Such PECVD-treated pads are found to be hydrophilic and do not require storage in aqueous media during the not-in-use period. The metal removal rate using such surface-modified polishing pads was found to increase linearly with the PECVD coating time

  20. Time-dependent chemo-electro-mechanical behavior of hydrogel-based structures

    Science.gov (United States)

    Leichsenring, Peter; Wallmersperger, Thomas

    2018-03-01

    Charged hydrogels are ionic polymer gels and belong to the class of smart materials. These gels are multiphasic materials which consist of a solid phase, a fluid phase and an ionic phase. Due to the presence of bound charges these materials are stimuli-responsive to electrical or chemical loads. The application of electrical or chemical stimuli as well as mechanical loads lead to a viscoelastic response. On the macroscopic scale, the response is governed by a local reversible release or absorption of water which, in turn, leads to a local decrease or increase of mass and a respective volume change. Furthermore, the chemo-electro-mechanical equilibrium of a hydrogel depends on the chemical composition of the gel and the surrounding solution bath. Due to the presence of bound charges in the hydrogel, this system can be understood as an osmotic cell where differences in the concentration of mobile ions in the gel and solution domain lead to an osmotic pressure difference. In the present work, a continuum-based numerical model is presented in order to describe the time-dependent swelling behavior of hydrogels. The numerical model is based on the Theory of Porous Media and captures the fluid-solid, fluid-ion and ion-ion interactions. As a direct consequence of the chemo-electro-mechanical equilibrium, the corresponding boundary conditions are defined following the equilibrium conditions. For the interaction of the hydrogel with surrounding mechanical structures, also respective jump condtions are formulated. Finaly, numerical results of the time-dependent behavior of a hydrogel-based chemo-sensor will be presented.

  1. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  2. Chemo-mechanical control of neural stem cell differentiation

    Science.gov (United States)

    Geishecker, Emily R.

    Cellular processes such as adhesion, proliferation, and differentiation are controlled in part by cell interactions with the microenvironment. Cells can sense and respond to a variety of stimuli, including soluble and insoluble factors (such as proteins and small molecules) and externally applied mechanical stresses. Mechanical properties of the environment, such as substrate stiffness, have also been suggested to play an important role in cell processes. The roles of both biochemical and mechanical signaling in fate modification of stem cells have been explored independently. However, very few studies have been performed to study well-controlled chemo-mechanotransduction. The objective of this work is to design, synthesize, and characterize a chemo-mechanical substrate to encourage neuronal differentiation of C17.2 neural stem cells. In Chapter 2, Polyacrylamide (PA) gels of varying stiffnesses are functionalized with differing amounts of whole collagen to investigate the role of protein concentration in combination with substrate stiffness. As expected, neurons on the softest substrate were more in number and neuronal morphology than those on stiffer substrates. Neurons appeared locally aligned with an expansive network of neurites. Additional experiments would allow for statistical analysis to determine if and how collagen density impacts C17.2 differentiation in combination with substrate stiffness. Due to difficulties associated with whole protein approaches, a similar platform was developed using mixed adhesive peptides, derived from fibronectin and laminin, and is presented in Chapter 3. The matrix elasticity and peptide concentration can be individually modulated to systematically probe the effects of chemo-mechanical signaling on differentiation of C17.2 cells. Polyacrylamide gel stiffness was confirmed using rheological techniques and found to support values published by Yeung et al. [1]. Cellular growth and differentiation were assessed by cell counts

  3. Colloidal and electrochemical aspects of copper-CMP

    Science.gov (United States)

    Sun, Yuxia

    Copper based interconnects with low dielectric constant layers are currently used to increase interconnect densities and reduce interconnect time delays in integrated circuits. The technology used to develop copper interconnects involves Chemical Mechanical Planarization (CMP) of copper films deposited on low-k layers (silica or silica based films), which is carried out using slurries containing abrasive particles. One issue using such a structure is copper contamination over dielectric layers (SiO2 film), if not reduced, this contamination will cause current leakage. In this study, the conditions conducive to copper contamination onto SiO2 films during Cu-CMP process were studied, and a post-CMP cleaning technique was discussed based on experimental results. It was found that the adsorption of copper onto a silica surface is kinetically fast (electrocoagulation was investigated to remove both copper and abrasive slurry particles simultaneously. For effluent containing ˜40 ppm dissolved copper, it was found that ˜90% dissolved copper was removed from the waste streams through electroplating and in-situ chemical precipitation. The amount of copper removed through plating is impacted by membrane surface charge, type/amount of complexing agents, and solid content in the slurry suspension. The slurry particles can be removed ˜90% within 2 hours of EC through multiple mechanisms.

  4. Chemical mechanical glass polishing with cerium oxide: Effect of selected physico-chemical characteristics on polishing efficiency

    Czech Academy of Sciences Publication Activity Database

    Janoš, P.; Ederer, J.; Pilařová, V.; Henych, Jiří; Tolasz, Jakub; Milde, D.; Opletal, T.

    2016-01-01

    Roč. 362, SEP (2016), s. 114-120 ISSN 0043-1648 R&D Projects: GA MŠk(CZ) LM2015073 Institutional support: RVO:61388980 Keywords : Chemical mechanical polishing * Ceria-based polishing powders * Polishing efficienc Subject RIV: CA - Inorganic Chemistry Impact factor: 2.531, year: 2016

  5. Study of Profile Changes during Mechanical Polishing using Relocation Profilometry

    Science.gov (United States)

    Kumaran, S. Chidambara; Shunmugam, M. S.

    2017-10-01

    Mechanical polishing is a finishing process practiced conventionally to enhance quality of surface. Surface finish is improved by mechanical cutting action of abrasive particles on work surface. Polishing is complex in nature and research efforts have been focused on understanding the polishing mechanism. Study of changes in profile is a useful method of understanding behavior of the polishing process. Such a study requires tracing same profile at regular process intervals, which is a tedious job. An innovative relocation technique is followed in the present work to study profile changes during mechanical polishing of austenitic stainless steel specimen. Using special locating fixture, micro-indentation mark and cross-correlation technique, the same profile is traced at certain process intervals. Comparison of different parameters of profiles shows the manner in which metal removal takes place in the polishing process. Mass removal during process estimated by the same relocation technique is checked with that obtained using weight measurement. The proposed approach can be extended to other micro/nano finishing processes and favorable process conditions can be identified.

  6. Distinct Signaling Roles of cIMP, cCMP, and cUMP.

    Science.gov (United States)

    Seifert, Roland

    2016-10-04

    The cyclic purine nucleotide cIMP and the cyclic pyrimidine nucleotides cCMP and cUMP are emerging second messengers. These cNMPs show different biological effects, but the molecular mechanisms remain elusive. In this issue of Structure, Ng et al. (2016) provide structural evidence for distinct interactions of cIMP, cCMP, and cUMP with ion channels. Copyright © 2016 Elsevier Ltd. All rights reserved.

  7. Synthesis of 5'-CMP and 5'-dCMP in aqueous solution induced by low energy ions implantation

    International Nuclear Information System (INIS)

    Shi Huaibin; Shao Chunlin; Wang Xiangqin; Yu Zengliang

    2001-01-01

    Low energy N + ions produced by N 2 are accelerated and then introduced into aqueous solution to induce chemical reactions. This process avoids the need of a vacuum chamber and makes it possible to investigate the actions of low energy ions in aqueous solution. In order to explore prebiotic synthesis of nucleotide via reaction between low energy ions and aqueous solution under the primitive earth conditions, low energy N + is implanted into aqueous solution containing cytosine, D-ribose, D-2-deoxyribose and NH 4 H 2 PO 4 . It is confirmed that 5'-CMP and 5'-dCMP are produced by HPLC and 1 H-NMR analyses. The relation between yields of 5'-CMP and 5'-dCMP and irradiation time has been obtained

  8. Benzotriazole as a passivating agent during chemical mechanical planarization of Ni–P alloy substrates

    International Nuclear Information System (INIS)

    Mu, Yan; Zhong, Mingjie; Rushing, Kenneth J.; Li, Yuzhuo; Shipp, Devon A.

    2014-01-01

    Highlights: • Benzotriazole (BTA) is used to passivate the Chemical Mechanical Planarization of Ni-P alloys. • BTA significantly decreases the average R a of the polished surfaces at low concentrations. • XPS, AFM and electrochemical studies are used to probe passivation effects of BTA on Ni–P surfaces. • Findings potentially impact hard disk drive manufacturing processes. - Abstract: With the rapid increase of data storage density on computer hard disk drives (HDDs), the operation distance between read/write head and disk surface has fallen to just a few nanometers. Chemical mechanical planarization (CMP) has been selected as the best process to produce high quality surface finish during the manufacturing of Ni–P alloy substrates for HDD applications. Herein we report, for the first time, the use of benzotriazole (BTA) as a passivating agent in CMP slurries to decrease the surface roughness (R a ). Results show that the average R a of the polished surfaces is decreased to 0.2 nm in a 5 μm × 5 μm scan area with the adding of 2 mM BTA. X-ray photoelectron spectroscopy (XPS) and electrochemical studies results further prove the interaction between BTA and Ni–P surface and the formation of an effective passivating layer on Cu in CMP slurries containing BTA

  9. Chemo-mechanical coupling behaviour of leached concrete

    International Nuclear Information System (INIS)

    Nguyen, V.H.; Nedjar, B.; Torrenti, J.M.

    2007-01-01

    The paper is concerned with a coupled chemo-mechanical model describing the interaction between the calcium leaching and the mechanical damage in concrete materials. On the one hand, the phenomenological chemistry is described by the nowadays well-known simplified calcium leaching approach. It is based on the dissolution-diffusion process together with the chemical equilibrium relating the calcium concentration of the solid's skeleton and the calcium in the pore solution. For concrete, a homogenization approach using asymptotic expansions is used to take into account the influence of the presence of the aggregates leading to an equivalent homogeneous medium. On the other hand, the continuum damage mechanics is used to describe the mechanical degradation of concrete. The modelling accounts for the fact that concrete becomes more and more ductile as the leaching process grows. The model also predicts the inelastic irreversible deformation as damage evolves. The growth of inelastic strains observed during the mechanical tests is described by means of an elastoplastic-like model. The coupled nonlinear problem at hand is addressed within the context of the finite element method. And finally, numerical simulations are compared with the experimental results of first part of this work

  10. Dynamic NMR Study of Model CMP Slurry Containing Silica Particles as Abrasives

    Science.gov (United States)

    Odeh, F.; Al-Bawab, A.; Li, Y.

    2018-02-01

    Chemical mechanical planarization (CMP) should provide a good surface planarity with minimal surface defectivity. Since CMP slurries are multi-component systems, it is very important to understand the various processes and interactions taking place in such slurries. Several techniques have been employed for such task, however, most of them lack the molecular recognition to investigate molecular interactions without adding probes which in turn increase complexity and might alter the microenvironment of the slurry. Nuclear magnetic resonance (NMR) is a powerful technique that can be employed in such study. The longitudinal relaxation times (T1) of the different components of CMP slurries were measured using Spin Echo-NMR (SE-NMR) at a constant temperature. The fact that NMR is non-invasive and gives information on the molecular level gives more advantage to the technique. The model CMP slurry was prepared in D2O to enable monitoring of T1 for the various components' protons. SE-NMR provide a very powerful tool to study the various interactions and adsorption processes that take place in a model CMP silica based slurry which contains BTA and/or glycine and/or Cu+2 ions. It was found that BTA is very competitive towards complexation with Cu+2 ions and BTA-Cu complex adsorbs on silica surface.

  11. Chemical mechanical planarization of amorphous Ge2Sb2Te5 with a soft pad

    International Nuclear Information System (INIS)

    He Aodong; Liu Bo; Song Zhitang; Lü Yegang; Li Juntao; Liu Weili; Feng Songlin; Wu Guanping

    2013-01-01

    Chemical mechanical planarization (CMP) of amorphous Ge 2 Sb 2 Te 5 (a-GST) is investigated using two typical soft pads (politex REG and AT) in acidic slurry. After CMP, it is found that the removal rate (RR) of a-GST increases with an increase of runs number for both pads. However, it achieves the higher RR and better surface quality of a-GST for an AT pad. The in-situ sheet resistance (R s ) measure shows the higher R s of a-GST polishing can be gained after CMP using both pads and the high R s is beneficial to lower the reset current for the PCM cells. In order to find the root cause of the different RR of a-GST polishing with different pads, the surface morphology and characteristics of both new and used pads are analyzed, it shows that the AT pad has smaller porosity size and more pore counts than that of the REG pad, and thus the AT pad can transport more fresh slurry to the reaction interface between the pad and a-GST, which results in the high RR of a-GST due to enhanced chemical reaction. (semiconductor technology)

  12. ITCA: Inter-Task Conflict-Aware CPU accounting for CMP

    OpenAIRE

    Luque, Carlos; Moreto Planas, Miquel; Cazorla Almeida, Francisco Javier; Gioiosa, Roberto; Valero Cortés, Mateo

    2010-01-01

    Chip-MultiProcessors (CMP) introduce complexities when accounting CPU utilization to processes because the progress done by a process during an interval of time highly depends on the activity of the other processes it is coscheduled with. We propose a new hardware CPU accounting mechanism to improve the accuracy when measuring the CPU utilization in CMPs and compare it with previous accounting mechanisms. Our results show that currently known mechanisms lead to a 16% average error when it com...

  13. Microscopic investigations of chemo-mechanical polishing of tungsten

    International Nuclear Information System (INIS)

    Lim, Min Soo; Heide, Paul A.W. van der; Perry, Scott S.; Galloway, Heather C.; Koeck, Deborah C.

    2004-01-01

    The influence of aqueous solutions of KNO 3 , KClO 3 , and KIO 3 on tungsten surfaces has been investigated in terms of the degree of surface oxidation, metal dissolution and interfacial friction. The surface properties of tungsten films have been measured ex-situ with X-ray photoelectron spectroscopy and in situ with atomic force microscopy. Measurements of the surface composition reveal a greater degree of oxidation for surfaces treated in solutions of KIO 3 in comparison to the other solutions. This increase in surface oxidation is correlated to a greater rate of localized film dissolution that occurs under the action of the scanning probe tip. In turn, the process of material removal is the predominant origin of the higher interfacial friction measured at tungsten surfaces immersed in KIO 3 solutions, as compared to KClO 3 and KNO 3 solutions. Collectively, these measurements portray a fundamental pathway of material removal at tungsten surfaces in the presence of oxidizing species and highlight complementary roles of chemical and mechanical action

  14. Microscopic investigations of chemo-mechanical polishing of tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Min Soo; Heide, Paul A.W. van der; Perry, Scott S.; Galloway, Heather C.; Koeck, Deborah C

    2004-06-15

    The influence of aqueous solutions of KNO{sub 3}, KClO{sub 3}, and KIO{sub 3} on tungsten surfaces has been investigated in terms of the degree of surface oxidation, metal dissolution and interfacial friction. The surface properties of tungsten films have been measured ex-situ with X-ray photoelectron spectroscopy and in situ with atomic force microscopy. Measurements of the surface composition reveal a greater degree of oxidation for surfaces treated in solutions of KIO{sub 3} in comparison to the other solutions. This increase in surface oxidation is correlated to a greater rate of localized film dissolution that occurs under the action of the scanning probe tip. In turn, the process of material removal is the predominant origin of the higher interfacial friction measured at tungsten surfaces immersed in KIO{sub 3} solutions, as compared to KClO{sub 3} and KNO{sub 3} solutions. Collectively, these measurements portray a fundamental pathway of material removal at tungsten surfaces in the presence of oxidizing species and highlight complementary roles of chemical and mechanical action.

  15. 5-Aza-2'-deoxycytidine synergistic action with thymidine on leukemic cells and interaction of 5-aza-dCMP with dCMP deaminase

    International Nuclear Information System (INIS)

    Momparler, R.L.; Bartolucci, S.; Bouchard, J.; Momparler, L.F.; Raia, C.A.; Rossi, M.

    1986-01-01

    The authors observe a synergistic antineoplastic effect between 5-AZA-dCR and dTR on leukemia cells in culture. In order to understand the mechanism behind this interaction the authors investigate the effects of dTTP on the deamination of 5-aza-2'-deoxycytidine-5'-monophosphate (5-AZA-dCMP) by dCMP deaminase. The effects of 5-AZA-dCTP on this enzyme is also studied. The incorporation of tritium-5-AZA-Cdr into DNA of leukemic cells was performed. The amount of radioactivity incorproated into DNA was determined by trapping the cells on GF/C glass fiber filters and washing with cold TCA. It is shown that the modulation of the atieoplastic activity of deoxycytidine analogs by allosteric effectors such as dTTP may have the potential to increase the effectiveness of the chemotherapy for acute leukemia

  16. Prediction of Tungsten CMP Pad Life Using Blanket Removal Rate Data and Endpoint Data Obtained from Process Temperature and Carrier Motor Current Measurments

    International Nuclear Information System (INIS)

    Hetherington, Dale L.; Stein, David J.

    1999-01-01

    Several techniques to predict pad failure during tungsten CMP were investigated for a specific consumable set. These techniques include blanket polish rate measurements and metrics derived from two endpoint detection schemes. Blanket polish rate decreased significantly near pad failure. Metrics from the thermal endpoint technique included change in peak temperature, change in the time to reach peak temperature, and the change in the slope of the temperature trace just prior to peak temperature all as a function of pad life. Average carrier motor current before endpoint was also investigated. Changes in these metrics were observed however these changes, excluding time to peak process temperature, were either not consistent between pads or too noisy to be reliable predictors of pad failure

  17. The Structure of a Cyanobacterial Bicarbonate Transport Protein, CmpA

    Energy Technology Data Exchange (ETDEWEB)

    Koropatkin, Nicole M.; Koppenaal, David W.; Pakrasi, Himadri B.; Smith, Thomas J.

    2007-01-26

    Cyanobacteria, blue-green algae, are the most abundant autotrophs in aquatic environments and form the base of the food chain by fixing carbon and nitrogen into cellular biomass. To compensate for the low selectivity of Rubisco for CO₂ over O₂, Cyanobacteria have developed highly efficient CO₂concentrating machinery of which the ABC transport system CmpABCD from Synechocystis PCC 6803 is one component. Here we describe the structure of the bicarbonate binding protein, CmpA, in the absence and presence of bicarbonate and carbonic acid. CmpA is highly homologous to the nitrate transport protein, NrtA. CmpA binds carbonic acid at the entrance to the ligand-binding pocket whereas bicarbonate binds in nearly an identical location compared to nitrate binding to NrtA. Unexpectedly, bicarbonate binding is accompanied by a metal ion, identified as Ca²⁺ via inductively coupled plasma optical emission spectrometry. The binding of bicarbonate and metal is highly cooperative and suggests that CmpA co-transports bicarbonate and calcium.

  18. Microscopic machining mechanism of polishing based on vibrations of liquid

    International Nuclear Information System (INIS)

    Huang, Z G; Guo, Z N; Chen, X; Yu, Z Q; Yu, T M; Lee, W B

    2007-01-01

    A molecular dynamics method has been applied to study the mechanism of polishing based on vibrations of liquid. Movements of polishing particles and formations of impact dents are simulated and discussed. The abrasive effect between particle and machined substrate is evaluated empirically. Polishing qualities, including roughness and fractal character under multiple impacts, are obtained by numerical methods. Results show that the particle will vibrate and roll viscously on the substrate. Press, tear and self-organization effects will be responsible for the formation of impact dents. Simulation results are compared with experimental data to verify the conclusions

  19. Intrinsically aligned chemo-mechanical functionalization of twin cantilever structures

    International Nuclear Information System (INIS)

    Toffoli, V; Esch, F; Melli, M; Pozzato, A; Tormen, M; Lazzarino, M; Cataruzza, F; Carrato, S; Scoles, G

    2008-01-01

    Mechanical oscillators became a main focus of research in recent years for potential applications in biomolecule detectors. We recently demonstrated the feasibility of a scheme based on twin cantilevers with a sensitivity down to the single molecule. This approach is extremely promising under the condition that the two terminals of the device can be functionalized with high selectivity and nanometric accuracy by linker molecules. Here we demonstrate a chemo-mechanical method to achieve the intrinsically aligned functionalization of two silicon surfaces, which can be separated by a gap controllable with nanometric precision. The chemical binding of the target molecules in the selected position is obtained through a cycloaddition reaction which exploits the reactivity of the freshly cleaved surfaces that form when the cantilever gap is created. The general validity of this approach is shown by the use in different chemical environments of two compounds with different reactive functional groups.

  20. Effect of additives for higher removal rate in lithium niobate chemical mechanical planarization

    International Nuclear Information System (INIS)

    Jeong, Sukhoon; Lee, Hyunseop; Cho, Hanchul; Lee, Sangjik; Kim, Hyoungjae; Kim, Sungryul; Park, Jaehong; Jeong, Haedo

    2010-01-01

    High roughness and a greater number of defects were created by lithium niobate (LN; LiNbO 3 ) processes such as traditional grinding and mechanical polishing (MP), should be decreased for manufacturing LN device. Therefore, an alternative process for gaining defect-free and smooth surface is needed. Chemical mechanical planarization (CMP) is suitable method in the LN process because it uses a combination approach consisting of chemical and mechanical effects. First of all, we investigated the LN CMP process using commercial slurry by changing various process conditions such as down pressure and relative velocity. However, the LN CMP process time using commercial slurry was long to gain a smooth surface because of lower material removal rate (MRR). So, to improve the material removal rate (MRR), the effects of additives such as oxidizer (hydrogen peroxide; H 2 O 2 ) and complexing agent (citric acid; C 6 H 8 O 7 ) in a potassium hydroxide (KOH) based slurry, were investigated. The manufactured slurry consisting of H 2 O 2 -citric acid in the KOH based slurry shows that the MRR of the H 2 O 2 at 2 wt% and the citric acid at 0.06 M was higher than the MRR for other conditions.

  1. A novel vibration assisted polishing device based on the flexural mechanism driven by the piezoelectric actuators

    Directory of Open Access Journals (Sweden)

    Guilian Wang

    2018-01-01

    Full Text Available The vibration assisted polishing has widely application fields because of higher machining frequency and better polishing quality, especially the polishing with the non-resonant mode that is regarded as a kind of promising polishing method. This paper reports a novel vibration assisted polishing device, consisting of the flexible hinge mechanism driven by the piezoelectric actuators, which is suitable for polishing planes or curve surfaces with slow curvature. Firstly, the generation methods of vibration trajectory are investigated for the same frequency and different frequency signals’ inputs, respectively, and then the types of elliptic and Lissajous’s vibration trajectories are generated respectively. Secondly, a flexural mechanism consisting of the right circular flexible hinges and the leaf springs is developed to produce two-dimensional vibration trajectory. Statics and dynamics investigating of this flexible mechanism are finished in detail. The analytical models about input and output compliances of the flexural mechanism are established according to the matrix-based compliance modeling, and the dynamic model of the flexural mechanism based on the Euler-Lagrange equation is also presented. The finite element model of the flexural mechanism was established to carry out the numerical simulation in order to testify the rationality of device design. Finally, the polishing experiment is carried out to prove the effectiveness of the vibration device. The experimental results show that this novel vibration assisted polishing device developed in this study can remove more effectively the cutting marks left by last process and obviously reduce the workpiece surface roughness.

  2. cmpXLatt: Westinghouse automated testing tool for nodal cross section models

    International Nuclear Information System (INIS)

    Guimaraes, Petri Forslund; Rönnberg, Kristian

    2011-01-01

    The procedure for evaluating the merits of different nodal cross section representation models is normally both cumbersome and time consuming, and includes many manual steps when preparing appropriate benchmark problems. Therefore, a computer tool called cmpXLatt has been developed at Westinghouse in order to facilitate the process of performing comparisons between nodal diffusion theory results and corresponding transport theory results on a single node basis. Due to the large number of state points that can be evaluated by cmpXLatt, a systematic and comprehensive way of performing verification and validation of nodal cross section models is provided. This paper presents the main features of cmpXLatt and demonstrates the benefits of using cmpXLatt in a real life application. (author)

  3. Electrochemical studies of Copper, Tantalum and Tantalum Nitride surfaces in aqueous solutions for applications in chemical-mechanical and electrochemical-mechanical planarization

    Science.gov (United States)

    Sulyma, Christopher Michael

    This report will investigate fundamental properties of materials involved in integrated circuit (IC) manufacturing. Individual materials (one at a time) are studied in different electrochemical environmental solutions to better understand the kinetics associated with the polishing process. Each system tries to simulate a real CMP environment in order to compare our findings with what is currently used in industry. To accomplish this, a variety of techniques are used. The voltage pulse modulation technique is useful for electrochemical processing of metal and alloy surfaces by utilizing faradaic reactions like electrodeposition and electrodissolution. A theoretical framework is presented in chapter 4 to facilitate quantitative analysis of experimental data (current transients) obtained in this approach. A typical application of this analysis is demonstrated for an experimental system involving electrochemical removal of copper surface layers, a relatively new process for abrasive-free electrochemical mechanical planarization of copper lines used in the fabrication of integrated circuits. Voltage pulse modulated electrodissolution of Cu in the absence of mechanical polishing is activated in an acidic solution of oxalic acid and hydrogen peroxide. The current generated by each applied voltage step shows a sharp spike, followed by a double-exponential decay, and eventually attains the rectangular shape of the potential pulses. For the second system in chapter 5, open-circuit potential measurements, cyclic voltammetry and Fourier transform impedance spectroscopy have been used to study pH dependent surface reactions of Cu and Ta rotating disc electrodes (RDEs) in aqueous solutions of succinic acid (SA, a complexing agent), hydrogen peroxide (an oxidizer), and ammonium dodecyl sulfate (ADS, a corrosion inhibitor for Cu). The surface chemistries of these systems are relevant for the development of a single-slurry approach to chemical mechanical planarization (CMP) of Cu

  4. The coupled bio-chemo-electro-mechanical behavior of glucose exposed arterial elastin

    International Nuclear Information System (INIS)

    Zhang, Yanhang; Li, Jiangyu; Boutis, Gregory S

    2017-01-01

    Elastin, the principle protein component of the elastic fiber, is a critical extracellular matrix (ECM) component of the arterial wall providing structural resilience and biological signaling essential in vascular morphogenesis and maintenance of mechanical homeostasis. Pathogenesis of many cardiovascular diseases have been associated with alterations of elastin. As a long-lived ECM protein that is deposited and organized before adulthood, elastic fibers can suffer from cumulative effects of biochemical exposure encountered during aging and/or disease, which greatly compromise their mechanical function. This review article covers findings from recent studies of the mechanical and structural contribution of elastin to vascular function, and the effects of biochemical degradation. Results from diverse experimental methods including tissue-level mechanical characterization, fiber-level nonlinear optical imaging, piezoelectric force microscopy, and nuclear magnetic resonance are reviewed. The intriguing coupled bio-chemo-electro-mechanical behavior of elastin calls for a multi-scale and multi-physical understanding of ECM mechanics and mechanobiology in vascular remodeling. (topical review)

  5. The coupled bio-chemo-electro-mechanical behavior of glucose exposed arterial elastin

    Science.gov (United States)

    Zhang, Yanhang; Li, Jiangyu; Boutis, Gregory S.

    2017-04-01

    Elastin, the principle protein component of the elastic fiber, is a critical extracellular matrix (ECM) component of the arterial wall providing structural resilience and biological signaling essential in vascular morphogenesis and maintenance of mechanical homeostasis. Pathogenesis of many cardiovascular diseases have been associated with alterations of elastin. As a long-lived ECM protein that is deposited and organized before adulthood, elastic fibers can suffer from cumulative effects of biochemical exposure encountered during aging and/or disease, which greatly compromise their mechanical function. This review article covers findings from recent studies of the mechanical and structural contribution of elastin to vascular function, and the effects of biochemical degradation. Results from diverse experimental methods including tissue-level mechanical characterization, fiber-level nonlinear optical imaging, piezoelectric force microscopy, and nuclear magnetic resonance are reviewed. The intriguing coupled bio-chemo-electro-mechanical behavior of elastin calls for a multi-scale and multi-physical understanding of ECM mechanics and mechanobiology in vascular remodeling.

  6. Mechanical polishing as an improved surface treatment for platinum screen-printed electrodes

    Directory of Open Access Journals (Sweden)

    Junqiao Lee

    2016-07-01

    Full Text Available The viability of mechanical polishing as a surface pre-treatment method for commercially available platinum screen-printed electrodes (SPEs was investigated and compared to a range of other pre-treatment methods (UV-Ozone treatment, soaking in N,N-dimethylformamide, soaking and anodizing in aqueous NaOH solution, and ultrasonication in tetrahydrofuran. Conventional electrochemical activation of platinum SPEs in 0.5 M H2SO4 solution was ineffective for the removal of contaminants found to be passivating the screen-printed surfaces. However, mechanical polishing showed a significant improvement in hydrogen adsorption and in electrochemically active surface areas (probed by two different redox couples due to the effective removal of surface contaminants. Results are also presented that suggest that SPEs are highly susceptible to degradation by strong acidic or caustic solutions, and could potentially lead to instability in long-term applications due to continual etching of the binding materials. The ability of SPEs to be polished effectively extends the reusability of these traditionally “single-use” devices. Keywords: Screen-printed electrodes, Polishing, Platinum, Activation, Pre-treatment, Cyclic voltammetry

  7. CMP(O) tripodands: synthesis, potentiometric studies and extractions

    Energy Technology Data Exchange (ETDEWEB)

    Reinoso-Garcia, M.M.; Jaczewski, D.; Reinhoudt, D.N.; Verboom, W. [Twente Univ., Lab. of Supramolecular Chemistry and Technology, Mesa Research Institute for Nanotechnology, Enschede (Netherlands); Malinowska, E.; Pietrzak, M. [University of Technology, Dept. of Analytical Chemistry, Faculty of Chemistry, Warsaw (Poland); Hill, C. [CEA Valrho Site de Marcoule, Dept. Radiochimie et Procedes, 30 (France); Baa, J.; Gruner, B. [Institut of Organic Chemistry, Academy of Sciences of the Czech Republic, Prague (Czech Republic); Selucky, P. [Nuclear Research Institute REZ, CZ (Czech Republic); Gruttner, C. [Micromod Partikeltechnologie GmbH, Rostock (Germany)

    2006-10-15

    Ligand systems containing three carbamoyl-methyl-phosphonate (CMP) or -phosphine oxide (CMPO) moieties attached to a tripodal platform have been synthesized for metal complexation and subsequent extraction from HNO{sub 3} solutions. The incorporation into ion selective electrodes (ISE) and picrate extractions with Na{sup +}, K{sup +}, Ag{sup +}, Ca{sup 2+}, Cd{sup 2+}, Hg{sup 2+}, Pb{sup 2+}, Cu{sup 2+}, Eu{sup 3+} and Fe{sup 3+} shows that CMPO tripodand 3 is very selective for Eu{sup 3+} and forms a very stable complex (log{beta}{sub ML} = 28.3). Liquid-liquid extractions performed with Eu{sup 3+} and Am{sup 3+} show reasonable extraction properties of the CMP(O) tripodands 3, 11 and 13 in 1,1,2,2-tetra-chloro-ethane, while in 1-octanol for all tripodands studied the distribution coefficients are low. Upon addition of the synergistic agent hexa-brominated cobalt bis(dicarbollide) anion (bromo-COSAN) the distribution coefficients for Am{sup 3+} and Eu{sup 3+} extraction increase considerably for CMP(O) tripodands 3 and 4. Covalently linked COSAN only enhances the extraction of Am{sup 3+} and Eu{sup 3+} at 0.001-0.01 M HNO{sub 3}. The functionalization of dendrimer coated magnetic silica particles with CMP(O) tripodands led to very effective particles (31 and 32) for Am{sup 3+} and Eu{sup 3+} removal from 0.01 M HNO{sub 3} solutions. (authors)

  8. Improvements in the diagnosis of chrondromalacia patellae (CMP) by MRI

    International Nuclear Information System (INIS)

    Koenig, H.; Dinkelaker, F.; Wolf, K.J.

    1991-01-01

    The aim of this study was to improve the MRI diagnosis of CMP, with special reference to the early stages and accurate staging. For this purpose, the retropatellar cartilage was examined by MRI while compression was carried out, using 21 patients and five normal controls. Changes in cartilage thickness and signal intensity were evaluated quantitatively during FLASH and FISP sequences. CMP stage I could be distinguished from normal cartilage by reduction in cartilage thickness and signal increase from the oedematous cartilage during compression. In CMP stages II/III, abnormal protein deposition of collagen type I could be demonstrated by its compressibility. In stages III and IV, the method does not add any significant additional information. (orig./GDG) [de

  9. The study on the effect of pattern density distribution on the STI CMP process

    Science.gov (United States)

    Sub, Yoon Myung; Hian, Bernard Yap Tzen; Fong, Lee It; Anak, Philip Menit; Minhar, Ariffin Bin; Wui, Tan Kim; Kim, Melvin Phua Twang; Jin, Looi Hui; Min, Foo Thai

    2017-08-01

    The effects of pattern density on CMP characteristics were investigated using specially designed wafer for the characterization of pattern-dependencies in STI CMP [1]. The purpose of this study is to investigate the planarization behavior based on a direct STI CMP used in cerium (CeO2) based slurry system in terms of pattern density variation. The minimal design rule (DR) of 180nm generation technology node was adopted for the mask layout. The mask was successfully applied for evaluation of a cerium (CeO2) abrasive based direct STI CMP process. In this study, we described a planarization behavior of the loading-effects of pattern density variation which were characterized with layout pattern density and pitch variations using masks mentioned above. Furthermore, the characterizing pattern dependent on the variations of the dimensions and spacing features, in thickness remaining after CMP, were analyzed and evaluated. The goal was to establish a concept of library method which will be used to generate design rules reducing the probability of CMP-related failures. Details of the characterization were measured in various layouts showing different pattern density ranges and the effects of pattern density on STI CMP has been discussed in this paper.

  10. SEM analysis of particle size during conventional treatment of CMP process wastewater

    International Nuclear Information System (INIS)

    Roth, Gary A.; Neu-Baker, Nicole M.; Brenner, Sara A.

    2015-01-01

    Engineered nanomaterials (ENMs) are currently employed by many industries and have different physical and chemical properties from their bulk counterparts that may confer different toxicity. Nanoparticles used or generated in semiconductor manufacturing have the potential to enter the municipal waste stream via wastewater and their ultimate fate in the ecosystem is currently unknown. This study investigates the fate of ENMs used in chemical mechanical planarization (CMP), a polishing process repeatedly utilized in semiconductor manufacturing. Wastewater sampling was conducted throughout the wastewater treatment (WWT) process at the fabrication plant's on-site wastewater treatment facility. The goal of this study was to assess whether the WWT processes resulted in size-dependent filtration of particles in the nanoscale regime by analyzing samples using scanning electron microscopy (SEM). Statistical analysis demonstrated no significant differences in particle size between sampling points, indicating low or no selectivity of WWT methods for nanoparticles based on size. All nanoparticles appeared to be of similar morphology (near-spherical), with a high variability in particle size. EDX verified nanoparticles composition of silicon- and/or aluminum-oxide. Nanoparticle sizing data compared between sampling points, including the final sampling point before discharge from the facility, suggested that nanoparticles could be released to the municipal waste stream from industrial sources. - Highlights: • The discrete treatments of a semiconductor wastewater treatment system were examined. • A sampling scheme and method for analyzing nanoparticles in wastewater was devised. • The wastewater treatment process studied is not size-selective for nanoparticles

  11. Chemo-mechanical coupling in kerogen gas adsorption/desorption.

    Science.gov (United States)

    Ho, Tuan Anh; Wang, Yifeng; Criscenti, Louise J

    2018-05-09

    Kerogen plays a central role in hydrocarbon generation in an oil/gas reservoir. In a subsurface environment, kerogen is constantly subjected to stress confinement or relaxation. The interplay between mechanical deformation and gas adsorption of the materials could be an important process for shale gas production but unfortunately is poorly understood. Using a hybrid Monte Carlo/molecular dynamics simulation, we show here that a strong chemo-mechanical coupling may exist between gas adsorption and mechanical strain of a kerogen matrix. The results indicate that the kerogen volume can expand by up to 5.4% and 11% upon CH4 and CO2 adsorption at 192 atm, respectively. The kerogen volume increases with gas pressure and eventually approaches a plateau as the kerogen becomes saturated. The volume expansion appears to quadratically increase with the amount of gas adsorbed, indicating a critical role of the surface layer of gas adsorbed in the bulk strain of the material. Furthermore, gas uptake is greatly enhanced by kerogen swelling. Swelling also increases the surface area, porosity, and pore size of kerogen. Our results illustrate the dynamic nature of kerogen, thus questioning the validity of the current assumption of a rigid kerogen molecular structure in the estimation of gas-in-place for a shale gas reservoir or gas storage capacity for subsurface carbon sequestration. The coupling between gas adsorption and kerogen matrix deformation should be taken into consideration.

  12. Blood Test: Comprehensive Metabolic Panel (CMP)

    Science.gov (United States)

    ... Staying Safe Videos for Educators Search English Español Blood Test: Comprehensive Metabolic Panel (CMP) KidsHealth / For Parents / Blood ... de sangre: panel metabólico ampliado What Is a Blood Test? A blood test is when a sample of ...

  13. Dissolved air flotation of polishing wastewater from semiconductor manufacturer.

    Science.gov (United States)

    Liu, J C; Lien, C Y

    2006-01-01

    The feasibility of the dissolved air flotation (DAF) process in treating chemical mechanical polishing (CMP) wastewater was evaluated in this study. Wastewater from a local semiconductor manufacturer was sampled and characterised. Nano-sized silica (77.6 nm) with turbidity of 130 +/- 3 NTU was found in the slightly alkaline wastewater with traces of other pollutants. Experimental results indicated removal efficiency of particles, measured as suspended particle or turbidity, increased with increasing concentration of cationic collector cetyltrimethyl ammonium bromide (CTAB). When CTAB concentration was 30 mg/L, pH of 6.5 +/- 0.1 and recycle ratio of 30%, very effective removal of particles (> 98%) was observed in saturation pressure range of 4 to 6 kg/cm2, and the reaction proceeded faster under higher pressure. Similarly, the reaction was faster under the higher recycle ratio, while final removal efficiency improved slightly as the recycle ratio increased from 20 to 40%. An insignificant effect of pH on treatment efficiency was found as pH varied from 4.5 to 8.5. The presence of activator, Al3+ and Fe3+, enhanced the system performance. It is proposed that CTAB adsorbs on silica particles in polishing wastewater through electrostatic interaction and makes particles more hydrophobic. The increase in hydrophobicity results in more effective bubble-particle collisions. In addition, flocculation of silica particles through bridging effect of collector was found; it is believed that flocculation of particles also contributed to flotation. Better attachment between gas bubble and solid, higher buoyancy and higher air to solid ratio all lead to effective flotation.

  14. Identification of the nuclear export signals that regulate the intracellular localization of the mouse CMP-sialic acid synthetase

    International Nuclear Information System (INIS)

    Fujita, Akiko; Sato, Chihiro; Kitajima, Ken.

    2007-01-01

    The CMP-sialic acid synthetase (CSS) catalyzes the activation of sialic acid (Sia) to CMP-Sia which is a donor substrate of sialyltransferases. The vertebrate CSSs are usually localized in nucleus due to the nuclear localization signal (NLS) on the molecule. In this study, we first point out that a small, but significant population of the mouse CMP-sialic acid synthetase (mCSS) is also present in cytoplasm, though mostly in nucleus. As a mechanism for the localization in cytoplasm, we first identified two nuclear export signals (NESs) in mCSS, based on the localization studies of the potential NES-deleted mCSS mutants as well as the potential NES-tagged eGFP proteins. These two NESs are conserved among mammalian and fish CSSs, but not present in the bacterial or insect CSS. These results suggest that the intracellular localization of vertebrate CSSs is regulated by not only the NLS, but also the NES sequences

  15. Chemo-mechanical pushing of proteins along single-stranded DNA.

    Science.gov (United States)

    Sokoloski, Joshua E; Kozlov, Alexander G; Galletto, Roberto; Lohman, Timothy M

    2016-05-31

    Single-stranded (ss)DNA binding (SSB) proteins bind with high affinity to ssDNA generated during DNA replication, recombination, and repair; however, these SSBs must eventually be displaced from or reorganized along the ssDNA. One potential mechanism for reorganization is for an ssDNA translocase (ATP-dependent motor) to push the SSB along ssDNA. Here we use single molecule total internal reflection fluorescence microscopy to detect such pushing events. When Cy5-labeled Escherichia coli (Ec) SSB is bound to surface-immobilized 3'-Cy3-labeled ssDNA, a fluctuating FRET signal is observed, consistent with random diffusion of SSB along the ssDNA. Addition of Saccharomyces cerevisiae Pif1, a 5' to 3' ssDNA translocase, results in the appearance of isolated, irregularly spaced saw-tooth FRET spikes only in the presence of ATP. These FRET spikes result from translocase-induced directional (5' to 3') pushing of the SSB toward the 3' ssDNA end, followed by displacement of the SSB from the DNA end. Similar ATP-dependent pushing events, but in the opposite (3' to 5') direction, are observed with EcRep and EcUvrD (both 3' to 5' ssDNA translocases). Simulations indicate that these events reflect active pushing by the translocase. The ability of translocases to chemo-mechanically push heterologous SSB proteins along ssDNA provides a potential mechanism for reorganization and clearance of tightly bound SSBs from ssDNA.

  16. Randomized controlled clinical trial of long-term chemo-mechanical caries removal using PapacarieTM gel

    Directory of Open Access Journals (Sweden)

    Lara Jansiski MOTTA

    2014-07-01

    Full Text Available Objectives: Compare the effectiveness of PapacarieTM gel for the chemo-mechanical removal of carious lesions on primary teeth to conventional caries removal with a low-speed bur with regard to execution time, clinical aspects and radiographic findings. Material and Methods: A randomized controlled clinical trial with a split-mouth design was carried out. The sample was composed of 20 children aged four to seven years, in whom 40 deciduous teeth were randomly divided into two groups: chemo-mechanical caries removal with PapacarieTM and removal of carious dentin with a low-speed bur. Each child underwent both procedures and served as his/her own control. Restorations were performed with glass ionomer cement. The time required to perform the procedure was also analyzed. The patients underwent longitudinal clinical and radiographic follow-up of the restorations. Results: No statistically significant difference between groups was found regarding the time required to perform the procedures and the radiographic follow up. Statistically significant differences between groups were found in the clinical evaluation at 6 and 18 months after treatment. Conclusion: PapacarieTM is as effective as the traditional method for the removal of carious dentin on deciduous teeth, but offers the advantages of the preservation of sound dental tissue as well as the avoidance of sharp rotary instruments and local anesthesia.

  17. Effect of 1,2,4-triazole on galvanic corrosion between cobalt and copper in CMP based alkaline slurry

    Science.gov (United States)

    Fu, Lei; Liu, Yuling; Wang, Chenwei; Han, Linan

    2018-04-01

    Cobalt has become a new type of barrier material with its unique advantages since the copper-interconnects in the great-large scale integrated circuits (GLSI) into 10 nm and below technical nodes, but cobalt and copper have severe galvanic corrosion during chemical–mechanical flattening. The effect of 1,2,4-triazole on Co/Cu galvanic corrosion in alkaline slurry and the control of rate selectivity of copper and cobalt were investigated in this work. The results of electrochemical experiments and polishing experiments had indicated that a certain concentration of 1,2,4-triazole could form a layer of insoluble and dense passive film on the surface of cobalt and copper, which reduced the corrosion potential difference between cobalt and copper. Meantime, the removal rate of cobalt and copper could be effectively controlled according to demand during the CMP process. When the study optimized slurry was composed of 0.5 wt% colloidal silica, 0.1 %vol. hydrogen peroxide, 0.05 wt% FA/O, 345 ppm 1,2,4-triazole, cobalt had higher corrosion potential than copper and the galvanic corrosion could be reduced effectively when the corrosion potential difference between them decreased to 1 mV and the galvanic corrosion current density reached 0.02 nA/cm2. Meanwhile, the removal rate of Co was 62.396 nm/min, the removal rate of Cu was 47.328 nm/min, so that the removal rate ratio of cobalt and copper was 1.32 : 1, which was a good amendment to the dishing pits. The contact potential corrosion of Co/Cu was very weak, which could be better for meeting the requirements of the barrier CMP. Project supported by the Major National Science and Technology Special Projects (No. 2016ZX02301003-004-007), the Natural Science Foundation of Hebei Province, China (No. F2015202267), and the Outstanding Young Science and Technology Innovation Fund of Hebei University of Technology (No. 2015007).

  18. Analysis of the thermo-chemo-mechanical behavior of massive concrete structures oat early-age

    International Nuclear Information System (INIS)

    Honorio, T.; Bary, B.; Benboudjema, F.

    2014-01-01

    The prediction of the thermo-chemo-mechanical behavior of concrete structures at early ages is important in the context of the feasibility of massive structures. Different phenomena affecting the thermal response of the structure are studied, namely the influence of the change on convection conditions due to wind, the influence of solar radiation, the influence of ambient temperature and the influence of assembly date. A mechanical analysis accounting for autogenous shrinkage and creep strains, besides thermal strains, is performed for the latter case. The results point out the importance of considering the solar radiation and wind conditions on the thermal response of the structure. The ambient temperature impacts directly the maximum temperature reached within the structure. Finally, although the temperature profiles seem just to shift according to the assembly date, the mechanical response is less favorable to early assembly dates. (authors)

  19. Benzotriazole removal on post-Cu CMP cleaning

    International Nuclear Information System (INIS)

    Tang Jiying; Liu Yuling; Sun Ming; Fan Shiyan; Li Yan

    2015-01-01

    This work investigates systematically the effect of FA/O II chelating agent and FA/O I surfactant in alkaline cleaning solutions on benzotriazole (BTA) removal during post-Cu CMP cleaning in GLSI under the condition of static etching. The best detergent formulation for BTA removal can be determined by optimization of the experiments of single factor and compound cleaning solution, which has been further confirmed experimentally by contact angle (CA) measurements. The resulting solution with the best formulation has been measured for the actual production line, and the results demonstrate that the obtained cleaning solution can effectively and efficiently remove BTA, CuO and abrasive SiO 2 without basically causing interfacial corrosion. This work demonstrates the possibility of developing a simple, low-cost and environmentally-friendly cleaning solution to effectively solve the issues of BTA removal on post-Cu CMP cleaning in a multi-layered copper wafer. (paper)

  20. Effect of Molecular Structure on Modulation of Passivation Films on Copper Chemical Mechanical Planarization

    Science.gov (United States)

    Mlynarski, Amy

    In order to optimize the chemical mechanical planarization (CMP) process, there is a need to further understand the synergistic relationship between chemical and mechanical parameters to enhance the polishing process. CMP chemistry is very complex, as it contains complexing agents, oxidizing agents, passivating agents, and abrasive particles. This variety of components ensues chaos within the system, which complicates the understanding of the direct impact each component has on the CMP process. In order for there to be efficiency in the polishing process, specifically for copper (Cu) polishing, the chemistry must create a softened passivation layer on the Cu surface that is able to be readily removed by applied mechanical abrasion. Focusing on Cu CMP, the oxidation of Cu to Cu2+ needs to be thoroughly understood in order to probe the formation of creating this ideal passivated layer, which protects recessed Cu regions. The type of film that is formed, the strength of the film, and even the efficiency of film removal will be altered depending on the chemistry of interaction at the Cu surface. This thesis focuses on understanding the working mechanism of the film formation on Cu, depending on the passivating agent added to the system. The different passivating agents used, more specifically benzotriazole (BTA), triazole (TAZ), salicylhydroxamic acid (SHA), and benzimidazole (BIA), have all been known to create a light coat of protection on the recessed metal, providing corrosion resistance. In order to study the differences in these films, many different techniques can be utilized to characterize the films, such as electrochemical scans, referred to as Tafel plots, which will be performed to compare the differences of the films. By altering the temperature within the system, the activation energy for each system can also be determined as another way to characterize the density of the passive film formed. Furthermore, the generation of *OH will be monitored since the

  1. A novel compound cleaning solution for benzotriazole removal after copper CMP

    International Nuclear Information System (INIS)

    Gu Zhangbing; Liu Yuling; Gao Baohong; Wang Chenwei; Deng Haiwen

    2015-01-01

    After the chemical mechanical planarization (CMP) process, the copper surface is contaminated by a mass of particles (e.g. silica) and organic residues (e.g. benzotriazole), which could do great harm to the integrated circuit, so post-CMP cleaning is essential. In particular, benzotriazole (BTA) forms a layer of Cu-BTA film with copper on the surface, which leads to a hydrophobic surface of copper. So an effective cleaning solution is needed to remove BTA from the copper surface. In this work, a new compound cleaning solution is designed to solve two major problems caused by BTA: one is removing BTA and the other is copper surface corrosion that is caused by the cleaning solution. The cleaning solution is formed of alkaline chelating agent (FA/O II type), which is used to remove BTA, and a surfactant (FA/O I type), which is used as a corrosion inhibitor. BTA removal is characterized by contact angle measurements and electrochemical techniques. The inhibiting corrosion ability of the surfactant is also characterized by electrochemical techniques. The proposed compound cleaning solution shows advantages in removing BTA without corroding the copper surface. (paper)

  2. Benzotriazole removal on post-Cu CMP cleaning

    Science.gov (United States)

    Jiying, Tang; Yuling, Liu; Ming, Sun; Shiyan, Fan; Yan, Li

    2015-06-01

    This work investigates systematically the effect of FA/O II chelating agent and FA/O I surfactant in alkaline cleaning solutions on benzotriazole (BTA) removal during post-Cu CMP cleaning in GLSI under the condition of static etching. The best detergent formulation for BTA removal can be determined by optimization of the experiments of single factor and compound cleaning solution, which has been further confirmed experimentally by contact angle (CA) measurements. The resulting solution with the best formulation has been measured for the actual production line, and the results demonstrate that the obtained cleaning solution can effectively and efficiently remove BTA, CuO and abrasive SiO2 without basically causing interfacial corrosion. This work demonstrates the possibility of developing a simple, low-cost and environmentally-friendly cleaning solution to effectively solve the issues of BTA removal on post-Cu CMP cleaning in a multi-layered copper wafer. Project supported by the Major National Science and Technology Special Projects (No. 2009ZX02308).

  3. The stability of a novel weakly alkaline slurry of copper interconnection CMPfor GLSI

    Science.gov (United States)

    Yao, Caihong; Wang, Chenwei; Niu, Xinhuan; Wang, Yan; Tian, Shengjun; Jiang, Zichao; Liu, Yuling

    2018-02-01

    Chemical mechanical polishing (CMP) is one of the important machining procedures of multilayered copper interconnection for GLSI, meanwhile polishing slurry is a critical factor for realizing the high polishing performance such as high planarization efficiency, low surface roughness. The effect of slurry components such as abrasive (colloidal silica), complexing agent (glycine), inhibitor (BTA) and oxidizing agent (H2O2) on the stability of the novel weakly alkaline slurry of copper interconnection CMP for GLSI was investigated in this paper. First, the synergistic and competitive relationship of them in a peroxide-based weakly alkaline slurry during the copper CMP process was studied and the stability mechanism was put forward. Then 1 wt% colloidal silica, 2.5 wt% glycine, 200 ppm BTA, 20 mL/L H2O2 had been selected as the appropriate concentration to prepare copper slurry, and using such slurry the copper blanket wafer was polished. From the variations of copper removal rate, root-mean square roughness (Sq) value with the setting time, it indicates that the working-life of the novel weakly alkaline slurry can reach more than 7 days, which satisfies the requirement of microelectronics further development. Project supported by the Major National Science and Technology Special Projects (No. 2016ZX02301003-004-007), the Professional Degree Teaching Case Foundation of Hebei Province, China (No. KCJSZ2017008), the Natural Science Foundation of Hebei Province, China (No. F2015202267), and the Natural Science Foundation of Tianjin, China (No. 16JCYBJC16100).

  4. Effect of chemical disinfectant on the transverse strength of heat-polymerized acrylic resins subjected to mechanical and chemical polishing: an in vitro study.

    Science.gov (United States)

    Sharan, Smitha; Kavitha, H R; Konde, Harish; Kalahasti, Deepthi

    2012-05-01

    To evaluate the effect of chemical disinfectant on the transverse strength of heat-polymerized acrylic resins subjected to mechanical and chemical polishing. A total of 256 rectangular specimens (65 * 10 * 3 mm) 128 per resin (Lucitone-199 and Acralyn-H) were fabricated. One side of each specimen was not polished and the other was either mechanically (n = 96) or chemically (n = 96) polished and immersed for 10, 30 and 60 minutes in 2% alkaline glutaraldehyde. Mechanically polished (n = 32) and chemically polished (n = 32) control specimens were immersed only in distilled water. The transverse strength (N/mm(2)) was tested for failure in a universal testing machine, at a crosshead speed of 5 mm/min. Data were statistically analyzed using 2-way ANOVA and Student t-test. chemical polishing resulted in significantly lower transverse strength values than mechanical polishing. Lucitone- 199 resin demonstrated the highest overall transverse strength for the materials tested. Heat-polymerized acrylic resins either mechanically or chemically polished, did not demonstrate significant changes in transverse strength during immersion in the disinfecting solution tested, regardless of time of immersion. Lucitone-199 resin demonstrated the highest overall transverse strength for the materials tested and significantly stronger than Acralyn-H with either type of polishing following immersion in 2% alkaline glutaraldehyde. There is a concern that immersion in chemical solutions often used for cleansing and disinfection of prostheses may undermine the strength and structure of denture base resins. In this study it was observed that, the transverse strength of samples of Lucitone-199 was higher than that of the samples of Acralyn-H. The chances of fracture of the denture made of Lucitone-199 are less than that of dentures made of Acralyn-H. The chemically polished dentures may be more prone to fracture than mechanically polished dentures.

  5. CAROTID BODY CHEMO-REFLEX: A DRIVER OF AUTONOMIC ABNORMALITIES IN SLEEP APNEA

    Science.gov (United States)

    Prabhakar, Nanduri R.

    2016-01-01

    Carotid bodies are the principal peripheral chemoreceptors for detecting changes in arterial blood oxygen levels, and the resulting chemo-reflex is a potent regulator of the sympathetic tone, blood pressure, and breathing. Sleep apnea is a disease of the respiratory system affecting several million adult humans. Apneas occur during sleep often due to obstruction of the upper airway (obstructive sleep apnea, OSA) or due to defective respiratory rhythm generation by the central nervous system (central sleep apnea). Patients with sleep apnea exhibit several co-morbidities; most notable among them being the heightened sympathetic nerve activity, and hypertension. Emerging evidence suggests that intermittent hypoxia (IH) resulting from periodic apnea stimulates the carotid body and the ensuing chemo-reflex mediates the increased sympathetic tone and hypertension in sleep apnea patients. Rodent models of IH, simulating the O2 saturation profiles encountered during sleep apnea have provided important insights into the cellular and molecular mechanisms underlying the heightened carotid body chemo-reflex. This article describes how IH affects the carotid body function, and discusses the cellular, molecular and epigenetic mechanisms underlying the exaggerated chemo-reflex. PMID:27474260

  6. Chemo-tolerance and sensitization by short-term fasting: The autophagy connection

    Directory of Open Access Journals (Sweden)

    Gustav Van Niekerk

    2016-11-01

    Full Text Available Preclinical studies suggest that fasting prior to chemotherapy may be an effective strategy to protect patients against the adverse effects of chemo-toxicity. Fasting may also sensitize cancer cells to chemotherapy. It is further suggested that fasting may similarly augment the efficacy of oncolytic viral therapy. The primary mechanism mediating these beneficial effects is thought to relate to the fact that fasting results in a decrease of circulating growth factors. In turn, such fasting cues would prompt normal cells to redirect energy towards cell maintenance and repair processes, rather than growth and proliferation. However, fasting is also known to up-regulate autophagy, an evolutionarily conserved catabolic process that is up-regulated in response to various cell stressors. Here we review a number of mechanisms by which fasting-induced autophagy may have an impact on both chemo-tolerance and chemo-sensitization. Firstly, fasting may exert a protective effect by mobilizing autophagic components prior to chemo-induction. In turn, the autophagic apparatus can be repurposed for removing cellular components damaged by chemotherapy. Autophagy also plays a key role in epitope expression as well as in modulating inflammation. Chemo-sensitization resulting from fasting may in fact be an effect of enhanced immune surveillance as a result of better autophagy-dependent epitope processing. Finally, autophagy is involved in host defense against viruses, and aspects of the autophagic process are also often targets for viral subversion. Consequently, altering autophagic flux by fasting may alter viral infectivity. These observations suggest that fasting-induced autophagy may have an impact on therapeutic efficacy in various oncological contexts.

  7. Lime treatment of an Italian pyroclastic soil: a multi-scale analysis for the correlation of mechanical and chemo-mineralogical effects.

    Science.gov (United States)

    Guidobaldi, Giulia; Cambi, Costanza; Cecconi, Manuela; Comodi, Paola; Zucchini, Azzurra

    2017-04-01

    In recent years, the ever-growing need to minimize costs and environmental impact in the construction of major civil infrastructure has led to the development of a large amount of methods based on the reuse of local materials. In particular, one of the most diffused methods is represented by lime treatment, widely applied in earthwork field to achieve mechanical improvement of otherwise unsuitable fine grained soils. However, unlike fine grained soils, many other types of world-wide common natural soils still represent a geotechnical obstacle. Among these, pyroclastic soils are a typology widely spread in Central and Southern Italy that finds marginal applications in earthworks practice due to the intrinsic complexities in terms of nature, heterogeneity, microstructural features and unsaturated hydro-mechanical behaviour. The need to overcome the described limitations motivates the focus of this work on the geotechnical characterization of pyroclastic deposits along with the increasing attention on the volume-scale and micro-scale features characterization and correlation. The main goal of the present study is to highlight the effects of lime treatment on a zeolite rich pyroclastic soil, focusing on the relationship between macro and micro modifications induced by lime addition. Within this research, an extensive experimental work was developed on a zeolitic pyroclastic soil coming from Orvieto cliff (Vulsini volcanic district, Central Italy). The overall investigation was organized in three phases: the first phase was devoted to the thorough chemo-physical and mineralogical characterization of the raw soil; subsequently, conventional direct shear tests were performed on reconstituted specimens of both raw and lime treated soil (2% and 5% Ca(OH)2) at increasing curing times and stress levels; finally, a wide chemo-mineralogical investigation was carried out on the lime treated samples to gain a more complete knowledge of the reactions responsible for the mechanical

  8. A chemo-mechanical free-energy-based approach to model durotaxis and extracellular stiffness-dependent contraction and polarization of cells.

    Science.gov (United States)

    Shenoy, Vivek B; Wang, Hailong; Wang, Xiao

    2016-02-06

    We propose a chemo-mechanical model based on stress-dependent recruitment of myosin motors to describe how the contractility, polarization and strain in cells vary with the stiffness of their surroundings and their shape. A contractility tensor, which depends on the distribution of myosin motors, is introduced to describe the chemical free energy of the cell due to myosin recruitment. We explicitly include the contributions to the free energy that arise from mechanosensitive signalling pathways (such as the SFX, Rho-Rock and MLCK pathways) through chemo-mechanical coupling parameters. Taking the variations of the total free energy, which consists of the chemical and mechanical components, in accordance with the second law of thermodynamics provides equations for the temporal evolution of the active stress and the contractility tensor. Following this approach, we are able to recover the well-known Hill relation for active stresses, based on the fundamental principles of irreversible thermodynamics rather than phenomenology. We have numerically implemented our free energy-based approach to model spatial distribution of strain and contractility in (i) cells supported by flexible microposts, (ii) cells on two-dimensional substrates, and (iii) cells in three-dimensional matrices. We demonstrate how the polarization of the cells and the orientation of stress fibres can be deduced from the eigenvalues and eigenvectors of the contractility tensor. Our calculations suggest that the chemical free energy of the cell decreases with the stiffness of the extracellular environment as the cytoskeleton polarizes in response to stress-dependent recruitment of molecular motors. The mechanical energy, which includes the strain energy and motor potential energy, however, increases with stiffness, but the overall energy is lower for cells in stiffer environments. This provides a thermodynamic basis for durotaxis, whereby cells preferentially migrate towards stiffer regions of the

  9. The application of Cu/SiO2 catalytic system in chemical mechanical planarization based on the stability of SiO2 sol

    International Nuclear Information System (INIS)

    Li Yan; Liu Yuling; Wang Aochen; Yang Zhixin; Sun Mingbin; Cheng Chuan; Zhang Yufeng; Zhang Nannan

    2014-01-01

    There is a lot of hydroxyl on the surface of nano SiO 2 sol used as an abrasive in the chemical mechanical planarization (CMP) process, and the chemical reaction activity of the hydroxyl is very strong due to the nano effect. In addition to providing a mechanical polishing effect, SiO 2 sol is also directly involved in the chemical reaction. The stability of SiO 2 sol was characterized through particle size distribution, zeta potential, viscosity, surface charge and other parameters in order to ensure that the chemical reaction rate in the CMP process, and the surface state of the copper film after CMP was not affected by the SiO 2 sol. Polarization curves and corrosion potential of different concentrations of SiO 2 sol showed that trace SiO 2 sol can effectively weaken the passivation film thickness. In other words, SiO 2 sol accelerated the decomposition rate of passive film. It was confirmed that the SiO 2 sol as reactant had been involved in the CMP process of copper film as reactant by the effect of trace SiO 2 sol on the removal rate of copper film in the CMP process under different conditions. In the CMP process, a small amount of SiO 2 sol can drastically alter the chemical reaction rate of the copper film, therefore, the possibility that Cu/SiO 2 as a catalytic system catalytically accelerated the chemical reaction in the CMP process was proposed. According to the van't Hoff isotherm formula and the characteristics of a catalyst which only changes the chemical reaction rate with out changing the total reaction standard Gibbs free energy, factors affecting the Cu/SiO 2 catalytic reaction were derived from the decomposition rate of Cu (OH) 2 and the pH value of the system, and then it was concluded that the CuSiO 3 as intermediates of Cu/SiO 2 catalytic reaction accelerated the chemical reaction rate in the CMP process. It was confirmed that the Cu/SiO 2 catalytic system generated the intermediate of the catalytic reaction (CuSiO 3 ) in the CMP process

  10. Glucose Isomerization by Enzymes and Chemo-catalysts: Status and Current Advances

    DEFF Research Database (Denmark)

    Li, Hu; Yang, Song; Saravanamurugan, Shunmugavel

    2017-01-01

    of isomerization of aldoses in terms of yields, catalysts, solvents, catalytic systems, etc., by both enzymatic and chemo-catalytic approaches. Among aldose ketose interconversion reactions, fructose production by glucose isomerization to make high-fructose corn syrup (HFCS) is an industrially important and large....../intermediate fructose. This review focuses on how both enzyme and chemo-catalysts are being useful for the isomerization of glucose to fructose. Specifically, development of Lewis acid containing zeolites for glucose isomerization is reviewed in detail, including mechanism, isotopic labeling, and computational studies....... biocatalytic process today, and a large number of studies have been reported on the process development. In parallel, also alternative chemo-catalytic systems have emerged, as enzymatic conversion has drawbacks, though they are typically more selective and produce fructose under mild reaction conditions...

  11. Tribochemical investigation of microelectronic materials

    Science.gov (United States)

    Kulkarni, Milind Sudhakar

    To achieve efficient planarization with reduced device dimensions in integrated circuits, a better understanding of the physics, chemistry, and the complex interplay involved in chemical mechanical planarization (CMP) is needed. The CMP process takes place at the interface of the pad and wafer in the presence of the fluid slurry medium. The hardness of Cu is significantly less than the slurry abrasive particles which are usually alumina or silica. It has been accepted that a surface layer can protect the Cu surface from scratching during CMP. Four competing mechanisms in materials removal have been reported: the chemical dissolution of Cu, the mechanical removal through slurry abrasives, the formation of thin layer of Cu oxide and the sweeping surface material by slurry flow. Despite the previous investigation of Cu removal, the electrochemical properties of Cu surface layer is yet to be understood. The motivation of this research was to understand the fundamental aspects of removal mechanisms in terms of electrochemical interactions, chemical dissolution, mechanical wear, and factors affecting planarization. Since one of the major requirements in CMP is to have a high surface finish, i.e., low surface roughness, optimization of the surface finish in reference to various parameters was emphasized. Three approaches were used in this research: in situ measurement of material removal, exploration of the electropotential activation and passivation at the copper surface and modeling of the synergistic electrochemical-mechanical interactions on the copper surface. In this research, copper polishing experiments were conducted using a table top tribometer. A potentiostat was coupled with this tribometer. This combination enabled the evaluation of important variables such as applied pressure, polishing speed, slurry chemistry, pH, materials, and applied DC potential. Experiments were designed to understand the combined and individual effect of electrochemical interactions

  12. Surfactant mediated slurry formulations for Ge CMP applications

    KAUST Repository

    Basim, G. Bahar

    2013-01-01

    In this study, slurry formulations in the presence of self-assembled surfactant structures were investigated for Ge/SiO2 CMP applications in the absence and presence of oxidizers. Both anionic (sodium dodecyl sulfate-SDS) and cationic (cetyl trimethyl ammonium bromide-C12TAB) micelles were used in the slurry formulations as a function of pH and oxidizer concentration. CMP performances of Ge and SiO2 wafers were evaluated in terms of material removal rates, selectivity and surface quality. The material removal rate responses were also assessed through AFM wear rate tests to obtain a faster response for preliminary analyses. The surfactant adsorption characteristics were studied through surface wettability responses of the Ge and SiO2 wafers through contact angle measurements. It was observed that the self-assembled surfactant structures can help obtain selectivity on the silica/germanium system at low concentrations of the oxidizer in the slurry. © 2013 Materials Research Society.

  13. Electro-chemo-hydro-mechanical coupling in clayey media

    International Nuclear Information System (INIS)

    Lemaire, Th.

    2004-12-01

    The aim of this study is to understand coupled phenomena that occur in swelling porous materials like clays. Electro-chemo-hydro-mechanical contributions are taken into account to analyze transfers in such minerals. In a first part, a general discussion is proposed to introduce mineralogical and physico- chemical considerations of clayey media. An important objective of this chapter is to show the crucial role of the microstructure. In a second part is presented an imbibition test in a MX80 bentonite powder. The hydraulic diffusivity versus water content curve's decrease is explained thanks to a double porosity model that shows the progressive collapse of meso-pores due to swelling effects at the micro-scale. Thus a multi-scale analysis is necessary to well describe clayey media behaviour. The third chapter exposes such a multi-scale modelling (periodic homogenization). It is based on the double-layer theory and introduces an innovative concept of virtual electrolyte solution. First numerical results are given in a simple geometry (parallel platelets). In the next part are proposed numerical simulations of two kinds: response of the system to a chemical gradient and simulation of electro-osmosis. The end of this chapter puts into relief the necessity to integrate pH effects in the model. In the last part, chemical surface exchanges are incorporated in the modelling to understand pH and ionic force roles in electro-osmotic process. (author)

  14. Surfactant assisted disperser pretreatment on the liquefaction of Ulva reticulata and evaluation of biodegradability for energy efficient biofuel production through nonlinear regression modelling.

    Science.gov (United States)

    Kumar, M Dinesh; Tamilarasan, K; Kaliappan, S; Banu, J Rajesh; Rajkumar, M; Kim, Sang Hyoun

    2018-05-01

    The present study aimed to increase the disintegration potential of marine macroalgae, (Ulva reticulata) through chemo mechanical pretreatment (CMP) in an energy efficient manner. By combining surfactant with disperser, the specific energy input was considerably reduced from 437.1 kJ/kg TS to 264.9 kJ/kg TS to achieve 10.7% liquefaction. A disperser rpm (10,000), pretreatment time (30 min) and tween 80 dosage (21.6 mg/L) were considered as an optimum for effective liquefaction of algal biomass. CMP was designated as an appropriate pretreatment resulting in a higher soluble organic release 1250 mg/L, respectively. Anaerobic fermentation results revealed that the volatile fatty acid (VFA) concentration was doubled (782 mg/L) in CMP when compared to mechanical pretreatment (MP) (345 mg/L). CMP pretreated algal biomass was considered as the suitable for biohydrogen production with highest H 2 yield of about 63 mL H 2 /g COD than (MP) (45 mL H 2 /g COD) and control (10 mL H 2 /g COD). Copyright © 2018 Elsevier Ltd. All rights reserved.

  15. Effect of alkaline slurry on the electric character of the pattern Cu wafer

    International Nuclear Information System (INIS)

    Hu Yi; Liu Yuling; Liu Xiaoyan; He Yangang; Wang Liran; Zhang Baoguo

    2011-01-01

    For process integration considerations, we will investigate the impact of chemical mechanical polishing (CMP) on the electrical characteristics of the pattern Cu wafer. In this paper, we investigate the impacts of the CMP process with two kinds of slurry, one of which is acid slurry of SVTC and the other is FA/O alkaline slurry purchased from Tianjin Jingling Microelectronic Material Limited. Three aspects were investigated: resistance, capacitance and leakage current. The result shows that after polishing by the slurry of FA/O, the resistance is lower than the SVTC. After polishing by the acid slurry and FA/O alkaline slurry, the difference in capacitance is not very large. The values are 0.1 nF and 0.12 nF, respectively. The leakage current of the film polished by the slurry of FA/O is 0.01 nA, which is lower than the slurry of SVTC. The results show that the slurry of FA/O produced less dishing and oxide loss than the slurry of SVTC. (semiconductor technology)

  16. Radioactive recontamination on mechanically polished piping at Shimane-1 Nuclear Power Plant

    International Nuclear Information System (INIS)

    Umeda, K.; Komoto, I.; Imamura, K.; Kataoka, I.; Uchida, S.

    1998-01-01

    In a series of preventive maintenance tasks for an aging plant, recirculation pipes of Shimane-1 NPP have been replaced by newly fabricated type 316 NG stainless steel pipes. Suppression of shutdown dose rate caused by 60 Co recontamination on the newly replaced piping was one of the major concerns in the recirculation pipe replacement. In order to suppress the shutdown dose rate, control of the 60 Co deposition rate coefficient as well as 60 Co radioactivity in the reactor water are essential. The deposition rate coefficient depends on surface roughness. The coefficient is suppressed by reduction of the effective surface area of pipes through mechanical polishing. Then the inner surface of the pipes was polished mechanically to reduce roughness prior to application in the plant. After measuring and evaluating radioactive recontamination, it was estimated that deposited amounts of radioactive corrosion products on the pipe inner surface would reach the saturated value in a few years, and would not exceed the level before replacement unless water chemistry is degraded. (author)

  17. Fate of 1-(1′,4′-cyclohexadienyl)-2-methylaminopropane (CMP) in soil: Route-specific by-product in the clandestine manufacture of methamphetamine

    International Nuclear Information System (INIS)

    Pal, Raktim; Megharaj, Mallavarapu; Kirkbride, K. Paul; Naidu, Ravi

    2012-01-01

    We investigated the fate of 1-(1′,4′-cyclohexadienyl)-2-methylaminopropane (CMP) in soil. CMP is the major route-specific byproduct in the clandestine manufacture of methamphetamine (MAP) by the use of excess alkali metal (e.g., lithium) in liquid ammonia, which is commonly referred to as the “Nazi method”. This is one of the most common methods used in many countries for the illicit production of MAP. Knowledge on the fate of CMP in the terrestrial environment is essential to combat potential threats arising from illegal dumping of clandestine laboratory wastes. We report on the sorption–desorption, degradation, and metabolism patterns of CMP in three South Australian soils investigated in laboratory scale. CMP sorption in the test soils followed a Freundlich isotherm in the concentration range of 5 to 100 μg mL −1 . Degradation studies showed that CMP was fairly unstable in both non-sterile and sterile soils, with half-life values typically less than one week. The role of biotic and abiotic soil processes in the degradation of CMP also varied significantly between the different soils, and with the length of the incubation period. Interestingly, but not surprisingly, the results showed that the CMP was not actually degraded to any simpler compounds but transformed to more persistent MAP. Thus, the main concern with Nazi method is the potential hazard from MAP rather than CMP if wastes are disposed of into the environment. - Highlights: ► This study investigated the fate of 1-(1′,4′-cyclohexadienyl)-2-methylaminopropane (CMP) in soils. ► CMP was fairly unstable in both non-sterile and sterile soils, with half-life values less than a week. ► CMP transforms to more persistent methylamphetamine (MAP) in soils which is a major environmental concern.

  18. Fate of 1-(1 Prime ,4 Prime -cyclohexadienyl)-2-methylaminopropane (CMP) in soil: Route-specific by-product in the clandestine manufacture of methamphetamine

    Energy Technology Data Exchange (ETDEWEB)

    Pal, Raktim [Centre for Environmental Risk Assessment and Remediation, University of South Australia, Mawson Lakes, Adelaide, South Australia, Australia-5095 and CRC for Contamination Assessment and Remediation of the Environment, University of South Australia (Australia); Megharaj, Mallavarapu, E-mail: Megharaj.Mallavarapu@unisa.edu.au [Centre for Environmental Risk Assessment and Remediation, University of South Australia, Mawson Lakes, Adelaide, South Australia, Australia-5095 and CRC for Contamination Assessment and Remediation of the Environment, University of South Australia (Australia); Kirkbride, K. Paul [Australian Federal Police Forensic and Data Centres, Canberra (Australia); Naidu, Ravi [Centre for Environmental Risk Assessment and Remediation, University of South Australia, Mawson Lakes, Adelaide, South Australia, Australia-5095 and CRC for Contamination Assessment and Remediation of the Environment, University of South Australia (Australia)

    2012-02-01

    We investigated the fate of 1-(1 Prime ,4 Prime -cyclohexadienyl)-2-methylaminopropane (CMP) in soil. CMP is the major route-specific byproduct in the clandestine manufacture of methamphetamine (MAP) by the use of excess alkali metal (e.g., lithium) in liquid ammonia, which is commonly referred to as the 'Nazi method'. This is one of the most common methods used in many countries for the illicit production of MAP. Knowledge on the fate of CMP in the terrestrial environment is essential to combat potential threats arising from illegal dumping of clandestine laboratory wastes. We report on the sorption-desorption, degradation, and metabolism patterns of CMP in three South Australian soils investigated in laboratory scale. CMP sorption in the test soils followed a Freundlich isotherm in the concentration range of 5 to 100 {mu}g mL{sup -1}. Degradation studies showed that CMP was fairly unstable in both non-sterile and sterile soils, with half-life values typically less than one week. The role of biotic and abiotic soil processes in the degradation of CMP also varied significantly between the different soils, and with the length of the incubation period. Interestingly, but not surprisingly, the results showed that the CMP was not actually degraded to any simpler compounds but transformed to more persistent MAP. Thus, the main concern with Nazi method is the potential hazard from MAP rather than CMP if wastes are disposed of into the environment. - Highlights: Black-Right-Pointing-Pointer This study investigated the fate of 1-(1 Prime ,4 Prime -cyclohexadienyl)-2-methylaminopropane (CMP) in soils. Black-Right-Pointing-Pointer CMP was fairly unstable in both non-sterile and sterile soils, with half-life values less than a week. Black-Right-Pointing-Pointer CMP transforms to more persistent methylamphetamine (MAP) in soils which is a major environmental concern.

  19. Improving co-running program’s performance on CMP

    Directory of Open Access Journals (Sweden)

    Tian Dawei

    2017-01-01

    Full Text Available Chip multi-processor (CMP has become the most common processor in the current cluster and desktop computer, and it is also the current development direction. On CMP, programs usually co-running with each other. However, programs commonly interfere with each other. Some time the interference takes big effect, which cause serious drop down of performance. In order to avoid the serious performance interference, programs should be scheduled reasonably to different socket to improve the program’s performance and system’s utilization. In this paper we propose a new scheduling method to realize a more reasonable scheduling. We do not only consider the LLC miss rate, but also consider the LLC reference of the programs. By the information of LLC reference and LLC miss rate, we schedule programs to different sockets, which realize a reasonable scheduling. The experiment result show that making use of the scheduling method proposed by our paper, program’s performance can improve 4%, because the performance improve is realized by on-chip resource, which is a big contribution.

  20. The chemo-mechanical effect of cutting fluid on material removal in diamond scribing of silicon

    Science.gov (United States)

    Kumar, Arkadeep; Melkote, Shreyes N.

    2017-07-01

    The mechanical integrity of silicon wafers cut by diamond wire sawing depends on the damage (e.g., micro-cracks) caused by the cutting process. The damage type and extent depends on the material removal mode, i.e., ductile or brittle. This paper investigates the effect of cutting fluid on the mode of material removal in diamond scribing of single crystal silicon, which simulates the material removal process in diamond wire sawing of silicon wafers. We conducted scribing experiments with a diamond tipped indenter in the absence (dry) and in the presence of a water-based cutting fluid. We found that the cutting mode is more ductile when scribing in the presence of cutting fluid compared to dry scribing. We explain the experimental observations by the chemo-mechanical effect of the cutting fluid on silicon, which lowers its hardness and promotes ductile mode material removal.

  1. Well-Posedness of a fully coupled thermo-chemo-poroelastic system with applications to petroleum rock mechanics

    Directory of Open Access Journals (Sweden)

    Tetyana Malysheva

    2017-05-01

    Full Text Available We consider a system of fully coupled parabolic and elliptic equations constituting the general model of chemical thermo-poroelasticity for a fluid-saturated porous media. The main result of this paper is the developed well-posedness theory for the corresponding initial-boundary problem arising from petroleum rock mechanics applications. Using the proposed pseudo-decoupling method, we establish, subject to some natural assumptions imposed on matrices of diffusion coefficients, the existence, uniqueness, and continuous dependence on initial and boundary data of a weak solution to the problem. Numerical experiments confirm the applicability of the obtained well-posedness results for thermo-chemo-poroelastic models with real-data parameters.

  2. Hydro-chemo-mechanical coupling in sediments: Localized mineral dissolution

    KAUST Repository

    Cha, Minsu; Santamarina, Carlos

    2016-01-01

    Mineral dissolution is inherently a chemo-hydro-mechanical coupled process. Field evidence and laboratory results show that dissolution may localize and form open conduits in cohesive media such as carbonate rocks. This study focuses on the evolution of localized dissolution in soils (i.e., frictional and non-cohesive granular materials) under effective confining stresses. Experimental results show the development of localized dissolution (“pipe”) when a carbonate-quartz sand is subjected to reactive fluid flow: only loosely packed quartz grains remain within pipes, and the number of pipes decreases away from the inlet port. Concurrent shear wave velocity measurements show a decrease in stiffness during dissolution due to stress and fabric changes, and more complex signal codas anticipate the development of internal heterogeneity. The discrete element method is used to simulate localized vertical dissolution features in granular materials, under constant vertical stress and zero lateral strain far-field boundaries. As porosity increases along dissolution pipes, vertical load is transferred to the surrounding soils and marked force chains develop. In terms of equivalent stress, principal stress rotation takes place within pipes and the sediment reaches the Coulomb failure condition inside pipes and in the surrounding medium. Dissolution pipes alter the geo-plumbing of the subsurface, enhance fluid transport but limit the long term performance of storage systems, alter the fluid pressure and effective stress fields, soften the sediment and may trigger shear failures.

  3. Hydro-chemo-mechanical coupling in sediments: Localized mineral dissolution

    KAUST Repository

    Cha, Minsu

    2016-06-11

    Mineral dissolution is inherently a chemo-hydro-mechanical coupled process. Field evidence and laboratory results show that dissolution may localize and form open conduits in cohesive media such as carbonate rocks. This study focuses on the evolution of localized dissolution in soils (i.e., frictional and non-cohesive granular materials) under effective confining stresses. Experimental results show the development of localized dissolution (“pipe”) when a carbonate-quartz sand is subjected to reactive fluid flow: only loosely packed quartz grains remain within pipes, and the number of pipes decreases away from the inlet port. Concurrent shear wave velocity measurements show a decrease in stiffness during dissolution due to stress and fabric changes, and more complex signal codas anticipate the development of internal heterogeneity. The discrete element method is used to simulate localized vertical dissolution features in granular materials, under constant vertical stress and zero lateral strain far-field boundaries. As porosity increases along dissolution pipes, vertical load is transferred to the surrounding soils and marked force chains develop. In terms of equivalent stress, principal stress rotation takes place within pipes and the sediment reaches the Coulomb failure condition inside pipes and in the surrounding medium. Dissolution pipes alter the geo-plumbing of the subsurface, enhance fluid transport but limit the long term performance of storage systems, alter the fluid pressure and effective stress fields, soften the sediment and may trigger shear failures.

  4. Mirror-smooth surfaces and repair of defects in superconducting RF cavities by mechanical polishing

    Energy Technology Data Exchange (ETDEWEB)

    Cooper, C. A. [Fermilab; Cooley, L. D. [Fermilab

    2012-11-22

    Mechanical techniques for polishing the inside surface of niobium superconducting radio-frequency (SRF) cavities have been systematically explored. By extending known techniques to fine polishing, mirror-like finishes were produced, with <15 nm RMS (root mean square) roughness over 1 mm2 scan area. This is an order of magnitude less than the typical roughness produced by the electropolishing of niobium cavities. The extended mechanical polishing (XMP) process was applied to several SRF cavities which exhibited equator defects that caused quench at <20 MV m-1 and were not improved by further electropolishing. Cavity optical inspection equipment verified the complete removal of these defects, and minor acid processing, which dulled the mirror finish, restored performance of the defective cells to the high gradients and quality factors measured for adjacent cells when tested with other harmonics. This innate repair feature of XMP could be used to increase manufacturing yield. Excellent superconducting properties resulted after initial process optimization, with quality factor Q of 3 × 1010 and accelerating gradient of 43 MV m-1 being attained for a single-cell TESLA cavity, which are both close to practical limits. Several repaired nine-cell cavities also attained Q > 8 × 109 at 35 MV m-1, which is the specification for the International Linear Collider. Future optimization of the process and pathways for eliminating requirements for acid processing are also discussed.

  5. Savannah River Site chemical, metal, and pesticide (CMP) waste vitrification treatability studies

    International Nuclear Information System (INIS)

    Cicero, C.A.

    1997-01-01

    Numerous Department of Energy (DOE) facilities, as well as Department of Defense (DOD) and commercial facilities, have used earthen pits for disposal of chemicals, organic contaminants, and other waste materials. Although this was an acceptable means of disposal in the past, direct disposal into earthen pits without liners or barriers is no longer a standard practice. At the Savannah River Site (SRS), approximately three million pounds of such material was removed from seven chemical, metal, and pesticide disposal pits. This material is known as the Chemical, Metal, and Pesticide (CMP) Pit waste and carries several different listed waste codes depending on the contaminants in the respective storage container. The waste is not classified as a mixed waste because it is believed to be non-radioactive; however, in order to treat the material in a non-radioactive facility, the waste would first have to be screened for radioactivity. The Defense Waste Processing Technology (DWPT) Section of the Savannah River Technology Center (SRTC) was requested by the DOE-Savannah River (SR) office to determine the viability of vitrification of the CMP Pit wastes. Radioactive vitrification facilities exist which would be able to process this waste, so the material would not have to be analyzed for radioactive content. Bench-scale treatability studies were performed by the DWPT to determine whether a homogeneous and durable glass could be produced from the CMP Pit wastes. Homogeneous and durable glasses were produced from the six pits sampled. The optimum composition was determined to be 68.5 wt% CMP waste, 7.2 wt% Na 2 O, 9 wt% CaO, 7.2 wt% Li 2 O and 8.1 wt% Fe 2 O 3 . This glass melted at 1,150 C and represented a two fold volume reduction

  6. Quantum Mechanics/Molecular Mechanics Study of the Sialyltransferase Reaction Mechanism.

    Science.gov (United States)

    Hamada, Yojiro; Kanematsu, Yusuke; Tachikawa, Masanori

    2016-10-11

    The sialyltransferase is an enzyme that transfers the sialic acid moiety from cytidine 5'-monophospho-N-acetyl-neuraminic acid (CMP-NeuAc) to the terminal position of glycans. To elucidate the catalytic mechanism of sialyltransferase, we explored the potential energy surface along the sialic acid transfer reaction coordinates by the hybrid quantum mechanics/molecular mechanics method on the basis of the crystal structure of sialyltransferase CstII. Our calculation demonstrated that CstII employed an S N 1-like reaction mechanism via the formation of a short-lived oxocarbenium ion intermediate. The computational barrier height was 19.5 kcal/mol, which reasonably corresponded with the experimental reaction rate. We also found that two tyrosine residues (Tyr156 and Tyr162) played a vital role in stabilizing the intermediate and the transition states by quantum mechanical interaction with CMP.

  7. Radiosynthesis and in vivo evaluation of [{sup 11}C]MP-10 as a positron emission tomography radioligand for phosphodiesterase 10A

    Energy Technology Data Exchange (ETDEWEB)

    Plisson, Christophe, E-mail: Christophe.2.plisson@gsk.com [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom); Salinas, Cristian [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom); Weinzimmer, David; Labaree, David; Lin, Shu-Fei; Ding, Yu-Shin [Yale University PET Center, Yale University School of Medicine, PO Box 208048 New Haven, CT (United States); Jakobsen, Steen [Aarhus PET Centre, Aarhus Sygehus, Norrebrogade 44, DK-8000 Aarhus C (Denmark); Smith, Paul W. [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom); Eiji, Kawanishi [Medicinal Chemistry Research Laboratories II, Research Division, Mitsubishi Tanabe Pharma Corporation, Saitama 335-8505 (Japan); Carson, Richard E. [Yale University PET Center, Yale University School of Medicine, PO Box 208048 New Haven, CT (United States); Gunn, Roger N.; Rabiner, Eugenii A. [GlaxoSmithKline, Clinical Imaging Centre Hammersmith Hospital, London, W12 0NN (United Kingdom)

    2011-08-15

    Introduction: The aim of this study was to evaluate a newly reported positron emission tomography (PET) radioligand [{sup 11}C]MP-10, a potent and selective inhibitor of the central phosphodiesterase 10A enzyme (PDE10A) in vivo, using PET. Methods: A procedure was developed for labeling MP-10 with carbon-11. [{sup 11}C]MP-10 was evaluated in vivo both in the pig and baboon brain. Results: Alkylation of the corresponding desmethyl compound with [{sup 11}C]methyl iodide produced [{sup 11}C]MP-10 with good radiochemical yield and specific activity. PET studies in the pig showed that [{sup 11}C]MP-10 rapidly entered the brain reaching peak tissue concentration at 1-2 min postadministration, followed by washout from the tissue. Administration of a selective PDE10A inhibitor reduced the binding in all brain regions to the levels of the cerebellum, demonstrating the saturability and selectivity of [{sup 11}C]MP-10 binding. In the nonhuman primate, the brain tissue kinetics of [{sup 11}C]MP-10 were slower, reaching peak tissue concentrations at 30-60 min postadministration. In both species, the observed rank order of regional brain signal was striatum>diencephalon>cortical regions=cerebellum, consistent with the known distribution and concentration of PDE10A. [{sup 11}C]MP-10 brain kinetics were well described by a two-tissue compartment model, and estimates of total volume of distribution (V{sub T}) were obtained. Blocking studies with unlabeled MP-10 revealed the suitability of the cerebellum as a reference tissue and enabled the estimation of regional binding potential (BP{sub ND}) as the outcome measure of specific binding. Quantification of [{sup 11}C]MP-10 binding using the simplified reference tissue model with cerebellar input function produced BP{sub ND} estimates consistent with those obtained by the two-tissue compartment model. Conclusion: We demonstrated that [{sup 11}C]MP-10 possesses good characteristics for the in vivo quantification of the PDE10A in the

  8. Determination of the anomeric specificity of the Escherichia coli CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyltransferase by 13C NMR spectroscopy

    International Nuclear Information System (INIS)

    Kohlbrenner, W.E.; Fesik, S.W.

    1985-01-01

    [99%, 1- 13 C]- and [90%, 2- 13 C]3-deoxy-D-manno-octulosonic acid (KDO) were prepared enzymatically and used to determine the anomeric specificity of the CTP:CMP-3-deoxy-D-manno-octulosonate cytidylyl transferase (CMP-KDO synthetase) by 13 C NMR spectroscopy. Addition of CMP-KDO synthetase to reaction mixtures containing either 1- 13 C- or 2- 13 C-labeled KDO resulted in rapid CMP-KDO formation which was accompanied by a substantial decrease in the 13 C-enriched resonances of the beta-pyranose form of KDO relative to the resonances of other KDO species in solution, demonstrating that the beta-pyranose is the preferred substrate. Concomitant with the production of CMP-KDO was the appearance of peaks at 174.3 and 101.4 ppm when [1- 13 C]- and [2- 13 C]KDO, respectively, were used as substrates. The correspondence of these resonances to the enriched carbons in CMP-KDO was confirmed by the expected 3-bond (3JP,C-1 = 6.9 Hz) and 2-bond coupling (2JP,C-2 = 8.3 Hz) between the labeled carbons and the ketosidically linked phosphoryl group. A large coupling (3J = 5.7 Hz) was observed in proton-coupled spectra of CMP-[1- 13 C]KDO between carbon 1 and the axial proton at carbon 3 of KDO. The magnitude of this coupling constant supports a diaxial relationship between these two groups and, along with chemical shift data, indicates that KDO retains the beta-configuration when linked in CMP-KDO

  9. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  10. Laryngeal sensation and pharyngeal delay time after (chemo)radiotherapy.

    Science.gov (United States)

    Maruo, Takashi; Fujimoto, Yasushi; Ozawa, Kikuko; Hiramatsu, Mariko; Suzuki, Atsushi; Nishio, Naoki; Nakashima, Tsutomu

    2014-08-01

    The objective of the study was to evaluate the association between changes in laryngeal sensation and initiation of swallowing reflex or swallowing function before and after (chemo)radiotherapy. A prospective study was conducted in a tertiary referral university hospital. Thirteen patients who received (chemo)radiotherapy for treatment of laryngeal or hypopharyngeal cancer were included. Laryngeal sensation was evaluated at the tip of the epiglottis before and 1, 3 months, and 1 year after (chemo)radiotherapy. Videofluoroscopy was performed at the same time. Quantitative determinations included changes in laryngeal sensation, computed analysis of pharyngeal delay time, the distance and velocity of hyoid bone movement during the phase of hyoid excursion, and pharyngeal residue rate (the proportion of the bolus that was left as residue in the pharynx at the first swallow). Laryngeal sensation significantly deteriorated 1 month after (chemo)radiotherapy, but there was a tendency to return to pretreatment levels 1 year after treatment. Neither pharyngeal delay time nor displacement of the hyoid bone changed significantly before and after (chemo)radiotherapy. In addition, there was no significant difference in the mean velocity of hyoid bone movement and the amount of stasis in the pharynx at the first swallow before and after (chemo)radiotherapy. After (chemo)radiotherapy, laryngeal sensation deteriorated. But, in this study, videofluoroscopy showed that swallowing reflex and function were maintained.

  11. Utilizing CMP-Sialic Acid Analogs to Unravel Neisseria gonorrhoeae Lipooligosaccharide-Mediated Complement Resistance and Design Novel Therapeutics.

    Directory of Open Access Journals (Sweden)

    Sunita Gulati

    2015-12-01

    Full Text Available Neisseria gonorrhoeae deploys a novel immune evasion strategy wherein the lacto-N-neotetraose (LNnT structure of lipooligosaccharide (LOS is capped by the bacterial sialyltransferase, using host cytidine-5'-monophosphate (CMP-activated forms of the nine-carbon nonulosonate (NulO sugar N-acetyl-neuraminic acid (Neu5Ac, a sialic acid (Sia abundant in humans. This allows evasion of complement-mediated killing by recruiting factor H (FH, an inhibitor of the alternative complement pathway, and by limiting classical pathway activation ("serum-resistance". We utilized CMP salts of six additional natural or synthetic NulOs, Neu5Gc, Neu5Gc8Me, Neu5Ac9Ac, Neu5Ac9Az, legionaminic acid (Leg5Ac7Ac and pseudaminic acid (Pse5Ac7Ac, to define structural requirements of Sia-mediated serum-resistance. While all NulOs except Pse5Ac7Ac were incorporated into the LNnT-LOS, only Neu5Gc incorporation yielded high-level serum-resistance and FH binding that was comparable to Neu5Ac, whereas Neu5Ac9Az and Leg5Ac7Ac incorporation left bacteria fully serum-sensitive and did not enhance FH binding. Neu5Ac9Ac and Neu5Gc8Me rendered bacteria resistant only to low serum concentrations. While serum-resistance mediated by Neu5Ac was associated with classical pathway inhibition (decreased IgG binding and C4 deposition, Leg5Ac7Ac and Neu5Ac9Az incorporation did not inhibit the classical pathway. Remarkably, CMP-Neu5Ac9Az and CMP-Leg5Ac7Ac each prevented serum-resistance despite a 100-fold molar excess of CMP-Neu5Ac in growth media. The concomitant presence of Leg5Ac7Ac and Neu5Ac on LOS resulted in uninhibited classical pathway activation. Surprisingly, despite near-maximal FH binding in this instance, the alternative pathway was not regulated and factor Bb remained associated with bacteria. Intravaginal administration of CMP-Leg5Ac7Ac to BALB/c mice infected with gonorrhea (including a multidrug-resistant isolate reduced clearance times and infection burden. Bacteria recovered

  12. Damage-free polishing of monocrystalline silicon wafers without chemical additives

    International Nuclear Information System (INIS)

    Biddut, A.Q.; Zhang, L.C.; Ali, Y.M.; Liu, Z.

    2008-01-01

    This investigation explores the possibility and identifies the mechanism of damage-free polishing of monocrystalline silicon without chemical additives. Using high resolution electron microscopy and contact mechanics, the study concludes that a damage-free polishing process without chemicals is feasible. All forms of damages, such as amorphous Si, dislocations and plane shifting, can be eliminated by avoiding the initiation of the β-tin phase of silicon during polishing. When using 50 nm abrasives, the nominal pressure to achieve damage-free polishing is 20 kPa

  13. The effects of a spray slurry nozzle on copper CMP for reduction in slurry consumption

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Da Sol; Jeong, Hae Do [Pusan National University, Busan (Korea, Republic of); Lee, Hyun Seop [Tongmyong University, Busan (Korea, Republic of)

    2015-12-15

    The environmental impact of semiconductor manufacturing has been a big social problem, like greenhouse gas emission. Chemical mechanical planarization (CMP), a wet process which consumes chemical slurries, seriously impacts environmental sustain ability and cost-effectiveness. This paper demonstrates the superiority of a full-cone spray slurry nozzle to the conventional tube-type slurry nozzle in Cu CMP. It was observed that the spray nozzle made a weak slurry wave at the retaining ring unlike a conventional nozzle, because the slurry was supplied uniformly in broader areas. Experiments were implemented with different slurry flow rates and spray nozzle heights. Spray nozzle performance is controlled by the spray angle and spray height. The process temperature was obtained with an infrared (IR) sensor and an IR thermal imaging camera to investigate the cooling effect of the spray. The results show that the spray nozzle provides a higher Material removal rate (MRR), lower non-uniformity (NU), and lower temperature than the conventional nozzle. Computational fluid dynamics techniques show that the turbulence kinetic energy and slurry velocity of the spray nozzle are much higher than those of the conventional nozzle. Finally, it can be summarized that the spray nozzle plays a significant role in slurry efficiency by theory of Minimum quantity lubrication (MQL).

  14. The effects of a spray slurry nozzle on copper CMP for reduction in slurry consumption

    International Nuclear Information System (INIS)

    Lee, Da Sol; Jeong, Hae Do; Lee, Hyun Seop

    2015-01-01

    The environmental impact of semiconductor manufacturing has been a big social problem, like greenhouse gas emission. Chemical mechanical planarization (CMP), a wet process which consumes chemical slurries, seriously impacts environmental sustain ability and cost-effectiveness. This paper demonstrates the superiority of a full-cone spray slurry nozzle to the conventional tube-type slurry nozzle in Cu CMP. It was observed that the spray nozzle made a weak slurry wave at the retaining ring unlike a conventional nozzle, because the slurry was supplied uniformly in broader areas. Experiments were implemented with different slurry flow rates and spray nozzle heights. Spray nozzle performance is controlled by the spray angle and spray height. The process temperature was obtained with an infrared (IR) sensor and an IR thermal imaging camera to investigate the cooling effect of the spray. The results show that the spray nozzle provides a higher Material removal rate (MRR), lower non-uniformity (NU), and lower temperature than the conventional nozzle. Computational fluid dynamics techniques show that the turbulence kinetic energy and slurry velocity of the spray nozzle are much higher than those of the conventional nozzle. Finally, it can be summarized that the spray nozzle plays a significant role in slurry efficiency by theory of Minimum quantity lubrication (MQL).

  15. A phenomenological model for the chemo-responsive shape memory effect in amorphous polymers undergoing viscoelastic transition

    International Nuclear Information System (INIS)

    Lu, Haibao; Huang, Wei Min

    2013-01-01

    We present a phenomenological approach to study the viscoelastic transition and working mechanism of the chemo-responsive shape memory effect (SME) in amorphous shape memory polymers (SMPs). Both the copolymerization viscosity model and Doolittle equation are initially applied to quantitatively identify the influential factors behind the chemo-responsive SME in the SMPs exposure to a right solvent. After this, the Williams–Landel–Ferry (WLF) equation is employed to couple the viscosity (η), time–temperature shift factor (α τ ) and glass transition temperature (T g ) in amorphous polymers. By means of combining the WLF and Arrhenius equations together, the inductively decreased transition temperature is confirmed as the driving force for the chemo-responsive SME. Finally, a phenomenological viscoelastic model is proposed and then verified by the available experimental data reported in the literature and then compared with the simulation results of a semi-empirical model. This phenomenological model is expected to provide a powerful simulation tool for theoretical prediction and experimental substantiation of the chemo-responsive SME in amorphous SMPs by viscoelastic transition. (paper)

  16. Eco-friendly dry chemo-mechanical pretreatments of lignocellulosic biomass: Impact on energy and yield of the enzymatic hydrolysis

    International Nuclear Information System (INIS)

    Barakat, Abdellatif; Chuetor, Santi; Monlau, Florian; Solhy, Abderrahim; Rouau, Xavier

    2014-01-01

    Highlights: • Innovative dry NaOH chemo-mechanical pretreatment was developed. • Dry (TS dry ) and dilute (TS dilute ) NaOH chemo-mechanical pretreatment were compared. • TS dilute consumed higher amounts of water and energy compared to TS dry . • Energy efficiency obtained for TS dilute was 0.417 kg glucose kW h −1 and 0.888 for TS dry . - Abstract: In this study, we developed an eco-friendly dry alkaline chemomechanical pretreatment of wheat straw without production of waste and liquid fractions with objective to save energy input, to decrease the environmental impact and to increase enzymatic hydrolysis. Wheat straw was pretreated with NH 3 , NaOH-H 2 O 2 , NH 3 -H 2 O 2 and NaOH at high materials concentration (5 kg/L) equivalent to biomass/liquid ratio of 1/5 (dry chemomechanical) and at low materials concentration (0.2 kg/L) equivalent to biomass/liquid ratio of 5/1 (dilute chemomechanical). Untreated and chemical treated wheat straw samples were subjected to grinding and milling following by enzymatic hydrolysis with commercial cellulases. NaOH and NaOH-H 2 O 2 dry chemomechanical pretreatments were found to be more effective in decreasing the particle size and energy consumption and increasing the surface area. However, alkaline dilute-chemomechanical treatments consumed higher amounts of water (5 L water/1 kg biomass) and energy compared to dry-chemomechanical treatments. In point of fact, the lowest energy efficiency obtained was 0.417 kg glucose kW h −1 for dilute-chemomechanical treatments compared to 0.888 kg glucose kW h −1 glucose kW h −1 for dry-chemomechanical treatments. Alkaline dry-chemomechanical pretreatments approach appears more attractive and efficient in terms of glucose, energy efficiency and environmental impact, compared to conventional alkaline chemomechanical pretreatments

  17. Zerodur polishing process for high surface quality and high efficiency

    International Nuclear Information System (INIS)

    Tesar, A.; Fuchs, B.

    1992-08-01

    Zerodur is a glass-ceramic composite importance in applications where temperature instabilities influence optical and mechanical performance, such as in earthbound and spaceborne telescope mirror substrates. Polished Zerodur surfaces of high quality have been required for laser gyro mirrors. Polished surface quality of substrates affects performance of high reflection coatings. Thus, the interest in improving Zerodur polished surface quality has become more general. Beyond eliminating subsurface damage, high quality surfaces are produced by reducing the amount of hydrated material redeposited on the surface during polishing. With the proper control of polishing parameters, such surfaces exhibit roughnesses of < l Angstrom rms. Zerodur polishing was studied to recommend a high surface quality polishing process which could be easily adapted to standard planetary continuous polishing machines and spindles. This summary contains information on a polishing process developed at LLNL which reproducibly provides high quality polished Zerodur surfaces at very high polishing efficiencies

  18. Composite adaptive control of belt polishing force for aero-engine blade

    Science.gov (United States)

    Zhsao, Pengbing; Shi, Yaoyao

    2013-09-01

    The existing methods for blade polishing mainly focus on robot polishing and manual grinding. Due to the difficulty in high-precision control of the polishing force, the blade surface precision is very low in robot polishing, in particular, quality of the inlet and exhaust edges can not satisfy the processing requirements. Manual grinding has low efficiency, high labor intensity and unstable processing quality, moreover, the polished surface is vulnerable to burn, and the surface precision and integrity are difficult to ensure. In order to further improve the profile accuracy and surface quality, a pneumatic flexible polishing force-exerting mechanism is designed and a dual-mode switching composite adaptive control(DSCAC) strategy is proposed, which combines Bang-Bang control and model reference adaptive control based on fuzzy neural network(MRACFNN) together. By the mode decision-making mechanism, Bang-Bang control is used to track the control command signal quickly when the actual polishing force is far away from the target value, and MRACFNN is utilized in smaller error ranges to improve the system robustness and control precision. Based on the mathematical model of the force-exerting mechanism, simulation analysis is implemented on DSCAC. Simulation results show that the output polishing force can better track the given signal. Finally, the blade polishing experiments are carried out on the designed polishing equipment. Experimental results show that DSCAC can effectively mitigate the influence of gas compressibility, valve dead-time effect, valve nonlinear flow, cylinder friction, measurement noise and other interference on the control precision of polishing force, which has high control precision, strong robustness, strong anti-interference ability and other advantages compared with MRACFNN. The proposed research achieves high-precision control of the polishing force, effectively improves the blade machining precision and surface consistency, and

  19. Three-Dimensional Vibration Isolator for Suppressing High-Frequency Responses for Sage III Contamination Monitoring Package (CMP)

    Science.gov (United States)

    Li, Y.; Cutright, S.; Dyke, R.; Templeton, J.; Gasbarre, J.; Novak, F.

    2015-01-01

    The Stratospheric Aerosol and Gas Experiment (SAGE) III - International Space Station (ISS) instrument will be used to study ozone, providing global, long-term measurements of key components of the Earth's atmosphere for the continued health of Earth and its inhabitants. SAGE III is launched into orbit in an inverted configuration on SpaceX;s Falcon 9 launch vehicle. As one of its four supporting elements, a Contamination Monitoring Package (CMP) mounted to the top panel of the Interface Adapter Module (IAM) box experiences high-frequency response due to structural coupling between the two structures during the SpaceX launch. These vibrations, which were initially observed in the IAM Engineering Development Unit (EDU) test and later verified through finite element analysis (FEA) for the SpaceX launch loads, may damage the internal electronic cards and the Thermoelectric Quartz Crystal Microbalance (TQCM) sensors mounted on the CMP. Three-dimensional (3D) vibration isolators were required to be inserted between the CMP and IAM interface in order to attenuate the high frequency vibrations without resulting in any major changes to the existing system. Wire rope isolators were proposed as the isolation system between the CMP and IAM due to the low impact to design. Most 3D isolation systems are designed for compression and roll, therefore little dynamic data was available for using wire rope isolators in an inverted or tension configuration. From the isolator FEA and test results, it is shown that by using the 3D wire rope isolators, the CMP high-frequency responses have been suppressed by several orders of magnitude over a wide excitation frequency range. Consequently, the TQCM sensor responses are well below their qualification environments. It is indicated that these high-frequency responses due to the typical instrument structural coupling can be significantly suppressed by a vibration passive control using the 3D vibration isolator. Thermal and contamination

  20. Marginal Micro-leakage of Self-etch and All-in One Adhesives to Primary Teeth, with Mechanical or Chemo-Mechanical Caries Removal

    Directory of Open Access Journals (Sweden)

    Nouzari A

    2016-06-01

    Full Text Available Statement of Problem: Chemo-mechanical caries removal is an effective alternative to the traditional rotary drilling method. One of the factors that can influence micro-leakage is the method of caries removal. Objectives: To compare the micro-leakage of resin composite in primary dentition using self-etch and all-in one adhesives following conventional and chemo-mechanical caries removal. Materials and Methods: Sixty extracted human primary anterior teeth with class III carious lesions were collected. The selected teeth were divided randomly into two groups each consisting of 30 teeth. In group1 carious lesions were removed using Carisolv multi mix gel. In group 2, caries was removed using round steel burs in a slow–speed hand piece. Then, the specimens in each group were randomly divided into two subgroups (A and B of 15 and treated by either Clearfil SE Bond (CSEB or Scotch bond. All prepared cavities were filled with a resin composite (Estellite. All the specimens were stored in distilled water at 37ºC for 24 hours and then thermocycled in 5ºC and 55ºC water with a dwell time of 20 seconds for 1500 cycles. The specimens were immersed in 1% methylene blue solution for 24 hours, removed, washed and sectioned mesiodistally. The sectioned splits were examined under a stereomicroscope to determine the micro-leakage scores. The data were analyzed using Kruskal-Wallis Test in SPSS version 21. Results: There were no significant differences between micro-leakage scores among the four groups (p = 0.127. Score 0 of micro-leakage was detected for 60% of the specimens in group 1-A (Carisolv + CSEB, 73% of the group 2-A (hand piece + CSEB, 80% of the group 1-B (Carisolv + Scotch bond, and 93% of the group 2-B in which caries was removed using hand piece and bonded with Scotch bond . Conclusions: Although caries removal using hand piece bur along with using Scotch bond adhesive performed less micro-leakage, it would seems that the use of Carisolv

  1. A thermodynamic framework for thermo-chemo-elastic interactions in chemically active materials

    Science.gov (United States)

    Zhang, XiaoLong; Zhong, Zheng

    2017-08-01

    In this paper, a general thermodynamic framework is developed to describe the thermo-chemo-mechanical interactions in elastic solids undergoing mechanical deformation, imbibition of diffusive chemical species, chemical reactions and heat exchanges. Fully coupled constitutive relations and evolving laws for irreversible fluxes are provided based on entropy imbalance and stoichiometry that governs reactions. The framework manifests itself with a special feature that the change of Helmholtz free energy is attributed to separate contributions of the diffusion-swelling process and chemical reaction-dilation process. Both the extent of reaction and the concentrations of diffusive species are taken as independent state variables, which describe the reaction-activated responses with underlying variation of microstructures and properties of a material in an explicit way. A specialized isothermal formulation for isotropic materials is proposed that can properly account for volumetric constraints from material incompressibility under chemo-mechanical loadings, in which inhomogeneous deformation is associated with reaction and diffusion under various kinetic time scales. This framework can be easily applied to model the transient volumetric swelling of a solid caused by imbibition of external chemical species and simultaneous chemical dilation arising from reactions between the diffusing species and the solid.

  2. Reel-to-reel substrate tape polishing system

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, Venkat; Gardner, Michael T.; Judd, Raymond D.; Weloth, Martin; Qiao, Yunfei

    2005-06-21

    Disclosed is a reel-to-reel single-pass mechanical polishing system (100) suitable for polishing long lengths of metal substrate tape (124) used in the manufacture of high-temperature superconductor (HTS) coated tape, including multiple instantiations of a polishing station (114) in combination with a subsequent rinsing station (116) arranged along the axis of the metal substrate tape (124) that is translating between a payout spool (110a) and a take-up spool (110b). The metal substrate tape obtains a surface smoothness that is suitable for the subsequent deposition of a buffer layer.

  3. A chemo-mechanical model coupled with thermal effect on the hollow core–shell electrodes in lithium-ion batteries

    Directory of Open Access Journals (Sweden)

    Bin Hu

    2017-07-01

    Full Text Available Electrode is a key component to remain durability and safety of lithium-ion (Li-ion batteries. Li-ion insertion/removal and thermal expansion mismatch may induce high stress in electrode during charging and discharging processes. In this paper, we present a continuum model based on COMSOL Multiphysics software, which involves thermal, chemical and mechanical behaviors of electrodes. The results show that, because of diffusion-induced stress and thermal mismatch, the electrode geometry plays an important role in diffusion kinetics of Li-ions. A higher local compressive stress results in a lower Li-ion concentration and thus a lower capacity when a particle is embedded another, which is in agreement with experimental observations. Keywords: Lithium-ion battery, Diffusion-induced stress, COMSOL, Chemo-mechanical, Electrode

  4. Passivation of mechanically polished, chemically etched and anodized zirconium in various aqueous solutions: Impedance measurements

    International Nuclear Information System (INIS)

    Abo-Elenien, G.M.; Abdel-Salam, O.E.

    1987-01-01

    Zirconium and its alloys are finding increasing applications especially in water-cooled nuclear reactors. Because of the fact that zirconium is electronegative (E 0 = -1.529V) its corrosion resistance in aqueous solutions is largely determined by the existence of a thin oxide film on its surface. The structure and properties of this film depend in the first place on the method of surface pre-treatment. This paper presents an experimental study of the nature of the oxide film on mechanically polished, chemically etched and anodized zirconium. Ac impedance measurements carried out in various acidic, neutral and alkaline solutions show that the film thickness depends on the method of surface pre-treatment and the type of electrolyte solution. The variation of the potential and impedance during anodization of zirconium at low current density indicates that the initial stages of polarization consist of oxide build-up at a rate dependent on the nature of the electrode surface and the electrolyte. Oxygen evolution commences at a stage where oxide thickening starts to decline. The effect of frequency on the measured impedance indicates that the surface reactivity, and hence the corrosion rate, decreases in the following order: mechanically polished > chemically etched > anodized

  5. Analysis and optimization of surface profile correcting mechanism of the pitch lap in large-aperture annular polishing

    Science.gov (United States)

    Zhang, Huifang; Yang, Minghong; Xu, Xueke; Wu, Lunzhe; Yang, Weiguang; Shao, Jianda

    2017-10-01

    The surface figure control of the conventional annular polishing system is realized ordinarily by the interaction between the conditioner and the lap. The surface profile of the pitch lap corrected by the marble conditioner has been measured and analyzed as a function of kinematics, loading conditions, and polishing time. The surface profile measuring equipment of the large lap based on laser alignment was developed with the accuracy of about 1μm. The conditioning mechanism of the conditioner is simply determined by the kinematics and fully fitting principle, but the unexpected surface profile deviation of the lap emerged frequently due to numerous influencing factors including the geometrical relationship, the pressure distribution at the conditioner/lap interface. Both factors are quantitatively evaluated and described, and have been combined to develop a spatial and temporal model to simulate the surface profile evolution of pitch lap. The simulations are consistent with the experiments. This study is an important step toward deterministic full-aperture annular polishing, providing a beneficial guidance for the surface profile correction of the pitch lap.

  6. Tripodal (N-alkylated) CMP(O) and malonamide ligands: synthesis, extraction of metal ions, and potentiometric studies

    International Nuclear Information System (INIS)

    Janczewski, D.; Reinhoudt, D.N.; Verboom, W.; Malinowska, E.; Pietrzak, M.; Hill, C.; Allignol, C.

    2007-01-01

    Tripodal ligands build on the C-pivot (9b-e, 13b-d, and 17a-d) and tri-alkyl-benzene platforms (10a,b, 11, 12, 14a,b, and 18a,b) bearing (N-alkylated) carbamoyl-methyl-phosphine oxide (CMPO), carbamoyl-methyl-phosphonate (CMP), and malonamide moieties were synthesized. Extraction studies with Am 3+ and Eu 3+ show that in general there is a positive influence of the N-alkyl substituents in C-pivot CMP(O) ligands on the D(distribution) coefficients. The tri-alkyl-benzene CMPO ligands 10a,b, 11, and 12 have considerably larger D coefficients than the corresponding C-pivot analogues 9a-e, although hardly having any selectivity, while N-alkylation gives rise to smaller D coefficients. Although less effective the extraction behavior of the C-pivot CMP analogues 13b-d shows more or less the same trend as the corresponding CMPO ligands 9b-e upon substitution of the carboxamide N-atom with different alkyl chains. The different malonamide ligands 17a-d and 18a,b are bad extractants, while N-alkylation makes them even worse. Potentiometric studies of CMP(O) and malonamide ligands in polymeric membranes on Pb 2+ , Cu 2+ , Ca 2+ , Mg 2+ , Na + , and K + salts revealed that N-alkyl substituents increase the stability constants of ion-ionophore complexes compared to unsubstituted ligands. In polymeric membrane electrodes the ligands induce a selectivity pattern that differs significantly from the so-called Hofmeister series, giving the highest selectivity coefficients for UO 2 2+ among all examined cations (Pb 2+ , Cu 2+ , Ca 2+ , Mg 2+ , Na + , K + ). (authors)

  7. Insulated InP (100) semiconductor by nano nucleus generation in pure water

    Science.gov (United States)

    Ghorab, Farzaneh; Es'haghi, Zarrin

    2018-01-01

    Preparation of specified designs on optoelectronic devices such as Light-Emitting Diodes (LEDs) and Laser Diodes (LDs) by using insulated thin films is very important. InP as one of those semiconductors which is used as optoelectronic devices, have two different kinds of charge carriers as n-InP and p-InP in the microelectronic industry. The surface preparation of this kind of semiconductor can be accomplished with individually chemical, mechanical, chemo - mechanical and electrochemical methods. But electrochemical method can be suitably replaced instead of the other methods, like CMP (Chemical Mechanical Polishing), because of the simplicity. In this way, electrochemically formation of insulated thin films by nano nucleus generation on semiconductor (using constant current density of 0.07 mA /cm2) studied in this research. Insulated nano nucleus generation and their growth up to thin film formation on semiconductor single crystal (100), n-InP, inpure water (0.08 µs/cm,25°c) characterized by Atomic Force Microscopy (AFM), Scanning Electron Microscopy (SEM), Four-point probe and Styloprofilometer techniques. The SEM images show active and passive regions on the n-InP surface and not uniform area on p-InP surface by passing through the passive condition. So the passive regions were nonuniform, and only the active regions were uniform and clean. The various semiconducting behavior in electrochemical condition, studied and compared with structural specification of InP type group (III-V).

  8. STUDY OF POLISHING AISI 316L WITH STRUCTURED ABRASIVE

    Directory of Open Access Journals (Sweden)

    François GOOSSENS

    2015-05-01

    Full Text Available Finishing process like polishing is usually used to obtain high quality mechanical surface characteristics such as texture and roughness. These operations are mainly handmade and need highly trained operators thus limiting their repeatability and profitability. To optimize the industrialization of the polishing process, it is therefore necessary to modelize the process to built efficient parameter database. The aim of this study is to characterise the polishing of 316L stainless steel with structured abrasive belts. The geometric data of the belts are given, and we then propose a model to determine material removal. An experimental test bench is set up to test this model and characterise the polishing process in terms of forces. It produces samples for different polishing conditions. The different polished surfaces are then analyzed thanks to the roughness and the wettability. Using experimental designs, we are able to validate the proposed model and identify the parameters that influence a polishing operation.

  9. Sensor selection and chemo-sensory optimization: toward an adaptable chemo-sensory system

    Directory of Open Access Journals (Sweden)

    Alexander eVergara

    2012-01-01

    Full Text Available Over the past two decades, despite the tremendous research effort performed on chemical sensors and machine olfaction to develop micro-sensory systems that will accomplish the growing existent needs in personal health (implantable sensors, environment monitoring (widely distributed sensor networks, and security/threat detection (chemo/bio warfare agents, simple, low-cost molecular sensing platforms capable of long-term autonomous operation remain beyond the current state-of-the-art of chemical sensing. A fundamental issue within this context is that most of the chemical sensors depend on interactions between the targeted species and the surfaces functionalized with receptors that bind the target species selectively, and that these binding events are coupled with transduction processes that begin to change when they are exposed to the messy world of real samples. With the advent of fundamental breakthroughs at the intersection of materials science, micro/nano-technology, and signal processing, hybrid chemo-sensory systems have incorporated tunable, optimizable operating parameters, through which changes in the response characteristics can be modeled and compensated as the environmental conditions or application needs change.The objective of this article, in this context, is to bring together the key advances at the device, data processing, and system levels that enable chemo-sensory systems to adapt in response to their environments. Accordingly, in this review we will feature the research effort made by selected experts on chemical sensing and information theory, whose work has been devoted to develop strategies that provide tunability and adaptability to single sensor devices or sensory array systems. Particularly, we consider sensor-array selection, modulation of internal sensing parameters, and active sensing. The article ends with some conclusions drawn from the results presented and a visionary look toward the future in terms of how the

  10. Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary?

    Directory of Open Access Journals (Sweden)

    Violetta Koseska-Toszewa

    2015-11-01

    Full Text Available Polish-Bulgarian-Russian, Bulgarian-Polish-Russian or Russian-Bulgarian-Polish dictionary? The trilingual dictionary (M. Duszkin, V. Koseska, J. Satoła and A. Tzoneva is being elaborated based on a working Polish-Bulgarian-Russian electronic parallel corpus authored by Maksim Duszkin, Violetta Koseska-Toszewa and Joanna Satoła-Staśkowiak, and works by A. Tzoneva. It is the first corpus comparing languages belonging to three different Slavic language groups: western, southern and eastern. Works on the dictionary are based on Gramatyka konfrontatywna bułgarsko-polska (Bulgarian-Polish confrontative grammar and the proposed there semantic-oriented interlanguage. Two types of classifiers have been introduced into the dictionary: classic and semantic. The trilingual dictionary will present a consistent and homogeneous set of facts of grammar and semantics. The Authors point out that in a traditional dictionary it is not clear for example whether aspect should be understood as imperfective / perfective form of a verb or as its meaning. Therefore in the dictionary forms and meaning are separated in a regular way. Imperfective verb form has two meanings: state and configuration of states and events culminating in state. Also perfective verb form has two meanings: event and configuration of states and events culminating in event. These meanings are described by the semantic classifiers, respectively, state and event, state1 and event1. The way of describing language units, mentioned in the article, gives a possibility to present language material (Polish, Bulgarian, Russian in any required order, hence the article’s title.

  11. FTIR characterization ans chemo-mechanical distinction of reted kenaf fibres

    Directory of Open Access Journals (Sweden)

    Emannuel Omenna

    2017-12-01

    Full Text Available Inadequate information on the binding characteristics of kenaf biomass at the molecular level has adversely affected the modifications of its cellulose-hemicellulose-lignin structure. This study was undertaken to assess the characteristic features and chemo-mechanical properties of kenaf fibres retted under three different media namely: tank, stream and ribbon. Fourier transform infra-red (FTIR spectra analysis as well as the ASTM standard for tensile tests were applied. The results showed that the broad bands occurred at the range of 3312- 3420 cm−1 for all the retted fibres were due to the presence of hydroxyl (–OH group while the peaks were obtained at 1635.76, 1635.34, and 1730.69 cm−1 for stream, tank and ribbon retted fibres respectively. However, tank retted fibres had the most broad band intensity at 3419.78cm-1 while ribbon fibres had the highest absorption peak of 1730.69cm-1 corresponding to C=O stretching of the acetyl group in hemi-cellulose. Stream retted Kenaf fibres had the highest tensile strength followed by the tank retted fibres while ribbon fibres had the least. Furthermore, there was no significant difference between stream and tank retted Kenaf fibres in terms of tensile modulus and this was significantly higher than that of ribbon fibre. The α-cellulose content of stream retted fibres was slightly higher than that of ribbon fibres while tank retted fibres had the least. From the results, tank retted Kenaf fibre was ranked as ‘the best fibre’ with the most intensive broad bands and least in lignin and hemi-cellulose content which were regarded as the impurities, gummy and waxy materials, responsible for an easy deformation of the fibre cellular networks.

  12. Tripodal (N-alkylated) CMP(O) and malonamide ligands: synthesis, extraction of metal ions, and potentiometric studies

    Energy Technology Data Exchange (ETDEWEB)

    Janczewski, D.; Reinhoudt, D.N.; Verboom, W. [Twente Univ., Lab. of Supramolecular Chemistry and Technology, Mesa Research Institute for Nanotechnology, Enschede (Netherlands); Malinowska, E.; Pietrzak, M. [Warsaw Univ. of Technology, Dept. of Analytical Chemistry, Faculty of Chemistry (Poland); Hill, C.; Allignol, C. [CEA Valrho, 30 - Marcoule (France)

    2007-01-15

    Tripodal ligands build on the C-pivot (9b-e, 13b-d, and 17a-d) and tri-alkyl-benzene platforms (10a,b, 11, 12, 14a,b, and 18a,b) bearing (N-alkylated) carbamoyl-methyl-phosphine oxide (CMPO), carbamoyl-methyl-phosphonate (CMP), and malonamide moieties were synthesized. Extraction studies with Am{sup 3+} and Eu{sup 3+} show that in general there is a positive influence of the N-alkyl substituents in C-pivot CMP(O) ligands on the D(distribution) coefficients. The tri-alkyl-benzene CMPO ligands 10a,b, 11, and 12 have considerably larger D coefficients than the corresponding C-pivot analogues 9a-e, although hardly having any selectivity, while N-alkylation gives rise to smaller D coefficients. Although less effective the extraction behavior of the C-pivot CMP analogues 13b-d shows more or less the same trend as the corresponding CMPO ligands 9b-e upon substitution of the carboxamide N-atom with different alkyl chains. The different malonamide ligands 17a-d and 18a,b are bad extractants, while N-alkylation makes them even worse. Potentiometric studies of CMP(O) and malonamide ligands in polymeric membranes on Pb{sup 2+}, Cu{sup 2+}, Ca{sup 2+}, Mg{sup 2+}, Na{sup +}, and K{sup +} salts revealed that N-alkyl substituents increase the stability constants of ion-ionophore complexes compared to unsubstituted ligands. In polymeric membrane electrodes the ligands induce a selectivity pattern that differs significantly from the so-called Hofmeister series, giving the highest selectivity coefficients for UO{sub 2}{sup 2+} among all examined cations (Pb{sup 2+}, Cu{sup 2+}, Ca{sup 2+}, Mg{sup 2+}, Na{sup +}, K{sup +}). (authors)

  13. Exploration of a Chemo-Mechanical Technique for the Isolation of Nanofibrillated Cellulosic Fiber from Oil Palm Empty Fruit Bunch as a Reinforcing Agent in Composites Materials

    OpenAIRE

    Ireana Yusra A. Fatah; H. P. S. Abdul Khalil; Md. Sohrab Hossain; Astimar A. Aziz; Yalda Davoudpour; Rudi Dungani; Amir Bhat

    2014-01-01

    The aim of the present study was to determine the influence of sulphuric acid hydrolysis and high-pressure homogenization as an effective chemo-mechanical process for the isolation of quality nanofibrillated cellulose (NFC). The cellulosic fiber was isolated from oil palm empty fruit bunch (OPEFB) using acid hydrolysis methods and, subsequently, homogenized using a high-pressure homogenizer to produce NFC. The structural analysis and the crystallinity of the raw fiber and extracted cellulose ...

  14. Requirement for Innate Immunity and CD90+ NK1.1− Lymphocytes to Treat Established Melanoma with Chemo-Immunotherapy

    Science.gov (United States)

    Moskalenko, Marina; Pan, Michael; Fu, Yichun; de Moll, Ellen H.; Hashimoto, Daigo; Mortha, Arthur; Leboeuf, Marylene; Jayaraman, Padmini; Bernardo, Sebastian; Sikora, Andrew G.; Wolchok, Jedd; Bhardwaj, Nina; Merad, Miriam; Saenger, Yvonne

    2015-01-01

    We sought to define cellular immune mechanisms of synergy between tumor-antigen–targeted monoclonal antibodies and chemotherapy. Established B16 melanoma in mice was treated with cytotoxic doses of cyclophosphamide in combination with an antibody targeting tyrosinase-related protein 1 (αTRP1), a native melanoma differentiation antigen. We find that Fcγ receptors are required for efficacy, showing that antitumor activity of combination therapy is immune mediated. Rag1−/− mice deficient in adaptive immunity are able to clear tumors, and thus innate immunity is sufficient for efficacy. Furthermore, previously treated wild-type mice are not significantly protected against tumor reinduction, as compared with mice inoculated with irradiated B16 alone, consistent with a primarily innate immune mechanism of action of chemo-immunotherapy. In contrast, mice deficient in both classical natural killer (NK) lymphocytes and nonclassical innate lymphocytes (ILC) due to deletion of the IL2 receptor common gamma chain IL2γc−/−) are refractory to chemo-immunotherapy. Classical NK lymphocytes are not critical for treatment, as depletion of NK1.1+ cells does not impair antitumor effect. Depletion of CD90+NK1.1− lymphocytes, however, both diminishes therapeutic benefit and decreases accumulation of macrophages within the tumor. Tumor clearance during combination chemo-immunotherapy with monoclonal antibodies against native antigen is mediated by the innate immune system. We highlight a novel potential role for CD90+NK1.1− ILCs in chemo-immunotherapy. PMID:25600438

  15. Novel cavitation fluid jet polishing process based on negative pressure effects.

    Science.gov (United States)

    Chen, Fengjun; Wang, Hui; Tang, Yu; Yin, Shaohui; Huang, Shuai; Zhang, Guanghua

    2018-04-01

    Traditional abrasive fluid jet polishing (FJP) is limited by its high-pressure equipment, unstable material removal rate, and applicability to ultra-smooth surfaces because of the evident air turbulence, fluid expansion, and a large polishing spot in high-pressure FJP. This paper presents a novel cavitation fluid jet polishing (CFJP) method and process based on FJP technology. It can implement high-efficiency polishing on small-scale surfaces in a low-pressure environment. CFJP uses the purposely designed polishing equipment with a sealed chamber, which can generate a cavitation effect in negative pressure environment. Moreover, the collapse of cavitation bubbles can spray out a high-energy microjet and shock wave to enhance the material removal. Its feasibility is verified through researching the flow behavior and the cavitation results of the negative pressure cavitation machining of pure water in reversing suction flow. The mechanism is analyzed through a computational fluid dynamics simulation. Thus, its cavitation and surface removal mechanisms in the vertical CFJP and inclined CFJP are studied. A series of polishing experiments on different materials and polishing parameters are conducted to validate its polishing performance compared with FJP. The maximum removal depth increases, and surface roughness gradually decreases with increasing negative outlet pressures. The surface becomes smooth with the increase of polishing time. The experimental results confirm that the CFJP process can realize a high material removal rate and smooth surface with low energy consumption in the low-pressure environment, together with compatible surface roughness to FJP. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Comparative study of chemo-electro-mechanical transport models for an electrically stimulated hydrogel

    International Nuclear Information System (INIS)

    Elshaer, S E; Moussa, W A

    2014-01-01

    The main objective of this work is to introduce a new expression for the hydrogel’s hydration for use within the Poisson Nernst–Planck chemo electro mechanical (PNP CEM) transport models. This new contribution to the models support large deformation by considering the higher order terms in the Green–Lagrangian strain tensor. A detailed discussion of the CEM transport models using Poisson Nernst–Planck (PNP) and Poisson logarithmic Nernst–Planck (PLNP) equations for chemically and electrically stimulated hydrogels will be presented. The assumptions made to simplify both CEM transport models for electric field application in the order of 0.833 kV m −1 and a highly diluted electrolyte solution (97% is water) will be explained. This PNP CEM model has been verified accurately against experimental and numerical results. In addition, different definitions for normalizing the parameters are used to derive the dimensionless forms of both the PNP and PLNP CEM. Four models, PNP CEM, PLNP CEM, dimensionless PNP CEM and dimensionless PNLP CEM transport models were employed on an axially symmetric cylindrical hydrogel problem with an aspect ratio (diameter to thickness) of 175:3. The displacement and osmotic pressure obtained for the four models are compared against the variation of the number of elements for finite element analysis, simulation duration and solution rate when using the direct numerical solver. (papers)

  17. Coupled mechanical and chemo-transport model for the simulation of cementitious materials subjected to external sulfate attack

    International Nuclear Information System (INIS)

    Bary, B.; Le Bescop, P.; Leterrier, N.; Deville, E.

    2012-01-01

    We propose in this study to develop a chemo-transport-mechanical model for the simulation of external sulfate attack in cementitious materials. This degradation mainly consists in the hydrate decalcification/dissolution due to leaching, and in the reaction between the sulfate ions migrating within the material and mono-sulfate initially present to precipitate into ettringite. It may generate macroscopic expansions leading to severe microcracking. The key point in this study is the use of the integration numerical platform ALLIANCES which couples a code solving the chemical equations, the diffusion of ionic species into the porosity and the mechanical problem. The crystallization pressures resulting from the interaction between growing mono-sulfate crystals and the surrounding C-S-H matrix are assumed to cause the observed macroscopic swelling. A macroscopic bulk strain tensor calculated from the volume of formed ettringite is introduced for directly reproducing these expansions. Explicit up-scaling techniques applied on a simplified representation of the materials allow estimating both mechanical and diffusive properties of the evolving microstructure. The calculated macroscopic free expansions are in quite good agreement with experimental data, provided a correct calibration of the parameter involved in the expression of the bulk strain tensor. However, it is asserted that the model would lead to very high stress levels in the structures in the particular case of restrained displacements at its boundaries

  18. Study of the chemo-hydro-mechanical behavior of stiff clays in the context of radioactive waste disposal

    International Nuclear Information System (INIS)

    Nguyen, Xuan Phu

    2013-01-01

    The present research aims to understand the chemo-hydro-mechanical behavior of stiff clays through two geological formations, the Boom Clay and the Ypresian clays which are considered as possible host formations for the radioactive wastes disposal in Belgium. The volume change behavior was studied in both intact and reconstituted states, and under different conditions: under K0 and isotropic loading, under loading/unloading loops. The results show that the volume change behavior is governed by the competition between the physico-chemical effect and the mechanical effect, characterized by a threshold stress which corresponds to the swelling stress in terms of structure changes. A constitutive law was developed to capture this aspect. The permeability was determined, compared with the results in literature and correlated with the parameters as void ratio. The permeability variation with depth shows the important role of macro-pores in fluids' transfer. The volume change behavior and permeability of intact Boom Clay and Ypresian clays are also influenced by pore water chemical composition changes which modify the diffuse double layer and give rise to the aggregation of clay particles. The elastic parameters, yield curve and failure envelope of Boom Clay and Ypresian clays were identified. A conceptual elasto-plastic model was developed, accounting for the swelling effects and the competition between the physico-chemical effect and the mechanical effect. (author)

  19. Chemo-mechanical modeling of low-pH concretes: Application to the calculation of storage facilities for radioactive waste

    International Nuclear Information System (INIS)

    El Bitouri, Youssef

    2014-01-01

    The purpose of this work concerns to design a tool able to simulate the chemo-mechanical behavior of low-pH concretes used in radioactive waste storage, from early age to a long-term. This tool consists of a phenomenological hydration model and an original chemical evolution model. The first model is used for short-term. It can predict the development of hydration at early age and its consequences. But taking into account that this model has been developed for applications at a young age, it is based on a fixed stoichiometry of hydrates and does not take into account the specificities of low-pH cements (chemical evolution at the long-term by reaction of residual silica). Thus, a chemical evolution model at the long-term is proposed. This model is based on a variable stoichiometry of hydrates. For this, it uses the calcium mass balance for describing calcium exchange between the various hydrates and anhydrous. This equation includes the kinetics of exchanges which are based on the difference in the thermodynamic equilibrium, of the microstructure and temperature. Finally, a coupling with mechanical properties evolution was performed to simulate the mechanical behavior of the radioactive waste storage facility. (author)

  20. Tribological approach to study polishing of road surface under traffic

    OpenAIRE

    KANE, Malal; DO, Minh Tan

    2007-01-01

    The polishing phenomenon of road pavements under the vehicle traffic constitutes the main mechanism inherent to the loss of skid resistance over time. A better understanding of this phenomenon would allow an improvement of road safety. This study comprises a review of laboratory test and a model simulating the polishing of road surfaces. The laboratory test uses a polishing machine so called 'Wehner-Schulze' which can reproduce the evolution of the road texture from specimens taken directly f...

  1. “Two-Step” Raman Imaging Technique To Guide Chemo-Photothermal Cancer Therapy

    KAUST Repository

    Deng, Lin; Li, Qiujin; Yang, Yang; Omar, Haneen; Tang, Naijun; Zhang, Jianfei; Nie, Zhihong; Khashab, Niveen M.

    2015-01-01

    Graphene oxide-wrapped gold nanorods (GO@AuNRs) offer efficient drug delivery as well as NIR laser photothermal therapy (PTT) in vitro and in vivo. However, no real-time observation of drug release has been reported to better understand the synergy of chemotherapy and PTT. Herein, surface-enhance Raman spectroscopy (SERS) is employed to guide chemo-photothermal cancer therapy by a two-step mechanism. In the presence of GO as an internal standard, SERS signals of DOX (doxorubicin) loaded onto GO@AuNRs are found to be pH-responsive. Both DOX and GO show strong SERS signals before the DOX@GO@AuNRs are endocytic. However, when the DOX@GO@AuNRs enter acidic microenvironments such as endosomes and/or lysosomes, the DOX signals start decreasing while the GO signals remain the same. This plasmonic antenna could be used to identify the appropriate time to apply the PTT laser during chemo-photothermal therapy.

  2. “Two-Step” Raman Imaging Technique To Guide Chemo-Photothermal Cancer Therapy

    KAUST Repository

    Deng, Lin

    2015-08-13

    Graphene oxide-wrapped gold nanorods (GO@AuNRs) offer efficient drug delivery as well as NIR laser photothermal therapy (PTT) in vitro and in vivo. However, no real-time observation of drug release has been reported to better understand the synergy of chemotherapy and PTT. Herein, surface-enhance Raman spectroscopy (SERS) is employed to guide chemo-photothermal cancer therapy by a two-step mechanism. In the presence of GO as an internal standard, SERS signals of DOX (doxorubicin) loaded onto GO@AuNRs are found to be pH-responsive. Both DOX and GO show strong SERS signals before the DOX@GO@AuNRs are endocytic. However, when the DOX@GO@AuNRs enter acidic microenvironments such as endosomes and/or lysosomes, the DOX signals start decreasing while the GO signals remain the same. This plasmonic antenna could be used to identify the appropriate time to apply the PTT laser during chemo-photothermal therapy.

  3. In situ X-ray micro-CT characterization of chemo-mechanical relaxations during Sn lithiation

    Science.gov (United States)

    Gonzalez, Joseph F.; Antartis, Dimitrios A.; Chasiotis, Ioannis; Dillon, Shen J.; Lambros, John

    2018-03-01

    Sn has been proposed for use as a high capacity anode material. Because of its ductile metallic nature, Sn may exhibit unique stress evolution during lithiation. Here, 2D radiography and 3D tomography are employed to visualize the evolution of geometry, internal structure, alloying, and damage during lithiation, delithiation, and rest of Sn wires with micron scale diameters. Lithiation proceeds isotropically, resulting in geometric and dimensional changes after 25% of total lithiation when the tensile stresses are sufficiently high to exceed the flow stress of the unlithiated Sn core and cause elongation and diameter increase. Damage occurs at later stages in the form of cracks terminating at the wire surface and voids forming in the unlithiated core. Notably, significant fragmentation occurs during delithiation which, due to void formation that accommodates the resulting stresses, does not measurably alter the wire cross-section and length. The distinguishing feature of the chemo-mechanics of Sn compared to Si or Ge is the pronounced creep rate at applied strain rates as high as 10-6 s-1, which promotes large strains in the core, eventually leading to void nucleation in the unlithiated core during lithiation, and more importantly, continues driving the deformation of the anode while at rest.

  4. Exploration of a Chemo-Mechanical Technique for the Isolation of Nanofibrillated Cellulosic Fiber from Oil Palm Empty Fruit Bunch as a Reinforcing Agent in Composites Materials

    Directory of Open Access Journals (Sweden)

    Ireana Yusra A. Fatah

    2014-10-01

    Full Text Available The aim of the present study was to determine the influence of sulphuric acid hydrolysis and high-pressure homogenization as an effective chemo-mechanical process for the isolation of quality nanofibrillated cellulose (NFC. The cellulosic fiber was isolated from oil palm empty fruit bunch (OPEFB using acid hydrolysis methods and, subsequently, homogenized using a high-pressure homogenizer to produce NFC. The structural analysis and the crystallinity of the raw fiber and extracted cellulose were carried out by Fourier transform infrared spectroscopy (FT-IR and X-ray diffraction (XRD. The morphology and thermal stability were investigated by scanning electron microscopy (SEM, transmission electron microscopy (TEM and thermogravimetric (TGA analyses, respectively. The FTIR results showed that lignin and hemicellulose were removed effectively from the extracted cellulose nanofibrils. XRD analysis revealed that the percentage of crystallinity was increased from raw EFB to microfibrillated cellulose (MFC, but the decrease for NFC might due to a break down the hydrogen bond. The size of the NFC was determined within the 5 to 10 nm. The TGA analysis showed that the isolated NFC had high thermal stability. The finding of present study reveals that combination of sulphuric acid hydrolysis and high-pressure homogenization could be an effective chemo-mechanical process to isolate cellulose nanofibers from cellulosic plant fiber for reinforced composite materials.

  5. Smart activatable and traceable dual-prodrug for image-guided combination photodynamic and chemo-therapy.

    Science.gov (United States)

    Hu, Fang; Yuan, Youyong; Mao, Duo; Wu, Wenbo; Liu, Bin

    2017-11-01

    Activatable photosensitizers (PSs) and chemo-prodrugs are highly desirable for anti-cancer therapy to reduce systemic toxicity. However, it is difficult to integrate both together into a molecular probe for combination therapy due to the complexity of introducing PS, singlet oxygen quencher, chemo-drug, chemo-drug inhibitor and active linker at the same time. To realize activatable PS and chemo-prodrug combination therapy, we develop a smart therapeutic platform in which the chemo-prodrug serves as the singlet oxygen quencher for the PS. Specifically, the photosensitizing activity and fluorescence of the PS (TPEPY-SH) are blocked by the chemo-prodrug (Mitomycin C, MMC) in the probe. Meanwhile, the cytotoxicity of MMC is also inhibited by the electron-withdrawing acyl at the nitrogen position next to the linker. Upon glutathione activation, TPEPY-S-MMC can simultaneously release active PS and MMC for combination therapy. The restored fluorescence of TPEPY-SH is also used to report the activation for both PS and MMC as well as to guide the photodynamic therapy. Copyright © 2017 Elsevier Ltd. All rights reserved.

  6. The Electronic CardioMetabolic Program (eCMP) for Patients With Cardiometabolic Risk: A Randomized Controlled Trial.

    Science.gov (United States)

    Azar, Kristen M J; Koliwad, Suneil; Poon, Tak; Xiao, Lan; Lv, Nan; Griggs, Robert; Ma, Jun

    2016-05-27

    Effective lifestyle interventions targeting high-risk adults that are both practical for use in ambulatory care settings and scalable at a population management level are needed. Our aim was to examine the potential effectiveness, feasibility, and acceptability of delivering an evidence-based Electronic Cardio-Metabolic Program (eCMP) for improving health-related quality of life, improving health behaviors, and reducing cardiometabolic risk factors in ambulatory care high-risk adults. We conducted a randomized, wait-list controlled trial with 74 adults aged ≥18 years recruited from a large multispecialty health care organization. Inclusion criteria were (1) BMI ≥35 kg/m(2) and prediabetes, previous gestational diabetes and/or metabolic syndrome, or (2) BMI ≥30 kg/m(2) and type 2 diabetes and/or cardiovascular disease. Participants had a mean age of 59.7 years (SD 11.2), BMI 37.1 kg/m(2) (SD 5.4) and were 59.5% female, 82.4% white. Participants were randomized to participate in eCMP immediately (n=37) or 3 months later (n=37). eCMP is a 6-month program utilizing video conferencing, online tools, and pre-recorded didactic videos to deliver evidence-based curricula. Blinded outcome assessments were conducted at 3 and 6 months postbaseline. Data were collected and analyzed between 2014 and 2015. The primary outcome was health-related quality of life. Secondary outcomes included biometric cardiometabolic risk factors (eg, body weight), self-reported diet and physical activity, mental health status, retention, session attendance, and participant satisfaction. Change in quality of life was not significant in both immediate and delayed participants. Both groups significantly lost weight and reduced waist circumference at 6 months, with some cardiometabolic factors trending accordingly. Significant reduction in self-reported anxiety and perceived stress was seen in the immediate intervention group at 6 months. Retention rate was 93% at 3 months and 86% at 6 months

  7. Interim Action Proposed Plan for the Chemicals, Metals, and Pesticides (CMP) Pits Operable Unit; FINAL

    International Nuclear Information System (INIS)

    Bradley, J.

    2002-01-01

    The purpose of this Interim Action Proposed Plan (IAPP) is to describe the preferred interim remedial action for addressing the Chemicals, Metals, and Pesticides (CMP) Pits Operable Unit and to provide an opportunity for public input into the remedial action selection process

  8. HYDROGEN PEROXIDE BLEACHING OF CMP PULP USING MAGNESIUM HYDROXIDE

    Directory of Open Access Journals (Sweden)

    Farhad Zeinaly

    2009-11-01

    Full Text Available Conventional bleaching of hardwood CMP pulp with magnesium hydroxide (Mg(OH2 show significant benefits over bleaching with sodium hydroxide (NaOH under various conditions. Magnesium hydroxide bleaching generate higher optical properties, higher pulp yield and lower effluent COD at the same chemical charge, but the physical properties were found to be similar for both processes. The initial freeness of the bleached pulps and refining value to reach a target freeness (about 350 ml. CSF were more for the Mg(OH2-based process. The residual peroxide of filtrate from the Mg(OH2-based process was very high as compared to conventional bleaching.

  9. 1.06 μm 150 psec laser damage study of diamond turned, diamond turned/polished and polished metal mirrors

    International Nuclear Information System (INIS)

    Saito, T.T.; Milam, D.; Baker, P.; Murphy, G.

    1975-01-01

    Using a well characterized 1.06 μm 150 ps glass laser pulse the damage characteristics for diamond turned, diamond turned/ polished, and polished copper and silver mirrors less than 5 cm diameter were studied. Although most samples were tested with a normal angle of incidence, some were tested at 45 0 with different linear polarization showing an increase in damage threshold for S polarization. Different damage mechanisms observed will be discussed. Laser damage is related to residual surface influences of the fabrication process. First attempts to polish diamond turned surfaces resulted in a significant decrease in laser damage threshold. The importance of including the heat of fusion in the one dimensional heat analysis of the theoretical damage threshold and how close the samples came to the theoretical damage threshold is discussed. (auth)

  10. Stent patency in patients with distal malignant biliary obstruction receiving chemo(radio)therapy

    Science.gov (United States)

    Haal, Sylke; van Hooft, Jeanin E.; Rauws, Erik A. J.; Fockens, Paul; Voermans, Rogier P.

    2017-01-01

    Background and study aims  Recent literature suggests that chemo(radio)therapy might reduce the patency of plastic stents in patients with malignant biliary obstruction. Whether this might also be valid for other types of stents is unknown. The aim of this study was to determine the influence of chemo(radio)therapy on the patency of fully-covered self-expandable metal stents (FCSEMSs) and plastic stents. Patients and methods  We retrospectively reviewed the electronic medical records of patients with distal malignant biliary obstruction who underwent biliary stent placement between April 2001 and July 2015. Primary outcome was duration of stent patency. Secondary outcome was stent patency at 3 and 6 months. We used Kaplan–Meier survival analyses to compare stent patency rates between patients who received chemo(radio)therapy and patients who did not. Results  A total of 291 biliary stents (151 metal and 140 plastic) were identified. The median cumulative stent patency of FCSEMSs did not differ between patients receiving chemo(radio)therapy (n = 51) and those (n = 100) who did not ( P  = 0.70, log-rank test). The estimated cumulative stent patency of plastic stents was also comparable in 99 patients without and 41 patients with chemo(radio)therapy ( P  = 0.73, log-rank test). At 3 and 6 months, FCSEMS patency rates were 87 % and 83 % in patients without chemo(radio)therapy and 96 % and 83 % in patients with therapy, respectively. Plastic patency rates were 69 % and 55 % in patients without and 85 % and 39 % in patients with therapy, respectively. After 1 year, 78 % of the FCSEMSs were still patent in patients without chemo(radio)therapy and 69 % of the FCSEMSs were still patent in patients with therapy. Conclusion  Our data indicate that chemo(radio)therapy does not reduce the patency of biliary fully-covered metal and plastic stents. PMID:29090242

  11. Chemo-dynamical signatures in simulated Milky Way-like galaxies

    Science.gov (United States)

    Spagna, Alessandro; Curir, Anna; Giammaria, Marco; Lattanzi, Mario G.; Murante, Giuseppe; Re Fiorentin, Paola

    2018-04-01

    We have investigated the chemo-dynamical evolution of a Milky Way-like disk galaxy, AqC4, produced by a cosmological simulation integrating a sub-resolution ISM model. We evidence a global inside-out and upside-down disk evolution, that is consistent with a scenario where the ``thin disk'' stars are formed from the accreted gas close to the galactic plane, while the older ``thick disk'' stars are originated in situ at higher heights. Also, the bar appears the most effective heating mechanism in the inner disk. Finally, no significant metallicity-rotation correlation has been observed, in spite of the presence of a negative [Fe/H] radial gradient.

  12. Cleansing orthodontic brackets with air-powder polishing: effects on frictional force and degree of debris.

    Science.gov (United States)

    Leite, Brisa Dos Santos; Fagundes, Nathalia Carolina Fernandes; Aragón, Mônica Lídia Castro; Dias, Carmen Gilda Barroso Tavares; Normando, David

    2016-01-01

    Debris buildup on the bracket-wire interface can influence friction. Cleansing brackets with air-powder polishing can affect this process. The aim of this study was to evaluate the frictional force and amount of debris remaining on orthodontic brackets subjected to prophylaxis with air-powder polishing. Frictional force and debris buildup on the surface of 28 premolar brackets were evaluated after orthodontic treatment. In one hemiarch, each bracket was subjected to air-powder polishing (n = 14) for five seconds, while the contralateral hemiarch (n = 14) served as control. Mechanical friction tests were performed and images of the polished bracket surfaces and control surfaces were examined. Wilcoxon test was applied for comparative analysis between hemiarches at p Brackets that had been cleaned with air-powder polishing showed lower friction (median = 1.27 N) when compared to the control surfaces (median = 4.52 N) (p orthodontic brackets with air-powder polishing significantly reduces debris buildup on the bracket surface while decreasing friction levels observed during sliding mechanics.

  13. Cancer morbidity among polishers.

    Science.gov (United States)

    Järvholm, B; Thiringer, G; Axelson, O

    1982-01-01

    The mortality pattern among 86 men was determined to investigate the possible hazards of polishing steel. The men had polished steel with polishing paste for at least five years. The polishing pastes had contained tallow, beeswax, carnauba wax, alundum, carborundum, ferric oxide, and chalk. A total of 18 men had died compared with 13.3 expected. Four had died of stomach cancer compared with 0.44 expected (p less than 0.005). The mortality for other causes of death was not increased. The study does not permit any definite conclusion but indicates a possible cancer hazard among polishers. PMID:7066237

  14. ZnO homoepitaxy on the O polar face of hydrothermal and melt-grown substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Technical Univ. of Troyes (France); CNRS, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Largeteau, A.; Demazeau, G. [ICMCB-CNRS, Bordeaux 1 University (Science and Technology), Pessac (France); Moisson, C.; Turover, D. [Novasic, Savoie Technolac, Arche Bat. 4, BP 267, Le Bourget du Lac (France); Nause, J. [Cermet Inc., Atlanta, GA (United States); Garry, G. [Thales Research, Domaine de Corbeville, Orsay (France); Kling, R.; Gruber, T. [Ulm University, Department of Semiconductor Physics, Ulm (Germany); Waag, A. [Braunschweig Technical University, Institute of Semiconductor Technology, Braunschweig (Germany); Jomard, F.; Galtier, P.; Lusson, A. [LPSC-CNRS, Meudon (France); Monteiro, T.; Soares, M.J.; Neves, A.; Carmo, M.C.; Peres, M. [University of Aveiro, Physics Department, Aveiro (Portugal); Lerondel, G.; Hubert, C. [Technical University of Troyes-CNRS (FRE2671), 12 rue Marie Curie, BP 2060, Troyes (France)

    2007-07-15

    2 cm diameter hydrothermal ZnO crystals were grown and then made into substrates using both mechanical and chemical-mechanical polishing (CMP). CMP polishing showed superior results with an (0002) {omega} scan full width half maximum (FWHM) of 67 arcsec and an root mean square (RMS) roughness of 2 Aa. In comparison, commercial melt-grown substrates exhibited broader X-ray diffraction (XRD) linewidths with evidence of sub-surface crystal damage due to polishing, including a downward shift of c-lattice parameter. Secondary ion mass spectroscopy revealed strong Li, Fe, Co, Al and Si contamination in the hydrothermal crystals as opposed to the melt-grown substrates, for which glow discharge mass spectroscopy studies had reported high levels of Pb, Fe, Cd and Si. Low temperature photoluminescence (PL) studies indicated that the hydrothermal crystal had high defect and/or impurity concentrations compared with the melt-grown substrate. The dominant bound exciton for the melt-grown substrate was indexed to Al. ZnO films were grown using pulsed laser deposition. The melt-grown substrates gave superior results with XRD (0002) {omega} and 2{theta}/{omega} WHM of 124 and 34 arcsec, respectively. Atomic force microscope measurements indicated a low RMS roughness (1.9 nm) as confirmed by fringes in the XRD 2{theta}/{omega} scan. It was suggested that the improvement in XRD response relative to the substrate might be due to ''healing'' of sub-surface polishing damage due to the elevated T{sub s} used for the growth. Indeed the c-lattice parameter for the homoepitaxial layer on the melt-grown substrate had become that which would be expected for strain-free ZnO. Furthermore, the stability of the PL peak positions relative to bulk ZnO, confirmed that the films appear practically strain free. (orig.)

  15. Polishing large NaCl windows on a continuous polisher

    International Nuclear Information System (INIS)

    Williamson, R.

    1979-01-01

    The Helios and Antares CO 2 fusion laser systems incorporate numerous large sodium chloride windows. These must be refinished periodically, making necessary a consistent and predictable polishing capability. A continuous polisher (or annular lap) which might at Kirtland's Developmental Optical Facility. Large NaCl windows had not been polished on this type of machine. The machine has proven itself capable of producing lambda/16 figures at 633 nm (HeNe) with extremely smooth surfaces on glass. Since then, we have been working exclusively on NaCl optics. Due to different polishing parameters between NaCl and glass, and the slight solubility of the pitch in the slurry, this phase presents new problems. The work on glass will be reviewed. Results on NaCl to date will be reported. The potential of this type of machine relative to prisms, thin and irregularly shaped optics will be discussed

  16. Cancer 'survivor-care': II. Disruption of prefrontal brain activation top-down control of working memory capacity as possible mechanism for chemo-fog/brain (chemotherapy-associated cognitive impairment).

    Science.gov (United States)

    Raffa, R B

    2013-08-01

    Cancer chemotherapy-associated cognitive impairments (termed 'chemo-fog' or 'chemo-brain'), particularly in memory, have been self-reported or identified in cancer survivors previously treated with chemotherapy. Although a variety of deficits have been detected, a consistent theme is a detriment in visuospatial working memory. The parietal cortex, a major site of storage of such memory, is implicated in chemotherapy-induced damage. However, if the findings of two recent publications are combined, the (pre)frontal cortex might be an equally viable target. Two recent studies, one postulating a mechanism for 'top-down control' of working memory capacity and another visualizing chemotherapy-induced alterations in brain activation during working memory processing, are reviewed and integrated. A computational model and the proposal that the prefrontal cortex plays a role in working memory via top-down control of parietal working memory capacity is consistent with a recent demonstration of decreased frontal hyperactivation following chemotherapy. Chemotherapy-associated impairment of visuospatial working memory might include the (pre)frontal cortex in addition to the parietal cortex. This provides new opportunity for basic science and clinical investigation. © 2013 John Wiley & Sons Ltd.

  17. Kinematic analysis of in situ measurement during chemical mechanical planarization process

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hongkai; Wang, Tongqing; Zhao, Qian; Meng, Yonggang; Lu, Xinchun, E-mail: xclu@tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2015-10-15

    Chemical mechanical planarization (CMP) is the most widely used planarization technique in semiconductor manufacturing presently. With the aid of in situ measurement technology, CMP tools can achieve good performance and stable productivity. However, the in situ measurement has remained unexplored from a kinematic standpoint. The available related resources for the kinematic analysis are very limited due to the complexity and technical secret. In this paper, a comprehensive kinematic analysis of in situ measurement is provided, including the analysis model, the measurement trajectory, and the measurement time of each zone of wafer surface during the practical CMP process. In addition, a lot of numerical calculations are performed to study the influences of main parameters on the measurement trajectory and the measurement velocity variation of the probe during the measurement process. All the efforts are expected to improve the in situ measurement system and promote the advancement in CMP control system.

  18. RNA-Seq and iTRAQ Reveal the Dwarfing Mechanism of Dwarf Polish Wheat (Triticum polonicum L.).

    Science.gov (United States)

    Wang, Yi; Xiao, Xue; Wang, Xiaolu; Zeng, Jian; Kang, Houyang; Fan, Xing; Sha, Lina; Zhang, Haiqin; Zhou, Yonghong

    2016-01-01

    The dwarfing mechanism of Rht-dp in dwarf Polish wheat (DPW) is unknown. Each internode of DPW was significantly shorter than it in high Polish wheat (HPW), and the dwarfism was insensitive to photoperiod, abscisic acid (ABA), gibberellin (GA), cytokinin (CK), auxin and brassinolide (BR). To understand the mechanism, three sets of transcripts, DPW, HPW, and a chimeric set (a combination of DPW and HPW), were constructed using RNA sequencing (RNA-Seq). Based on the chimeric transcripts, 2,446 proteins were identified using isobaric tags for relative and absolute quantification (iTRAQ). A total of 108 unigenes and 12 proteins were considered as dwarfism-related differentially expressed genes (DEGs) and differentially expressed proteins (DEPs), respectively. Among of these DEGs and DEPs, 6 DEGs and 6 DEPs were found to be involved in flavonoid and S-adenosyl-methionine (SAM) metabolisms; 5 DEGs and 3 DEPs were involved in cellulose metabolism, cell wall plasticity and cell expansion; 2 DEGs were auxin transporters; 2 DEPs were histones; 1 DEP was a peroxidase. These DEGs and DEPs reduced lignin and cellulose contents, increased flavonoid content, possibly decreased S-adenosyl-methionine (SAM) and polyamine contents and increased S-adenosyl-L-homocysteine hydrolase (SAHH) content in DPW stems, which could limit auxin transport and reduce extensibility of the cell wall, finally limited cell expansion (the cell size of DPW was significantly smaller than HPW cells) and caused dwarfism in DPW.

  19. Convergent Polishing: A Simple, Rapid, Full Aperture Polishing Process of High Quality Optical Flats & Spheres

    Science.gov (United States)

    Suratwala, Tayyab; Steele, Rusty; Feit, Michael; Dylla-Spears, Rebecca; Desjardin, Richard; Mason, Dan; Wong, Lana; Geraghty, Paul; Miller, Phil; Shen, Nan

    2014-01-01

    Convergent Polishing is a novel polishing system and method for finishing flat and spherical glass optics in which a workpiece, independent of its initial shape (i.e., surface figure), will converge to final surface figure with excellent surface quality under a fixed, unchanging set of polishing parameters in a single polishing iteration. In contrast, conventional full aperture polishing methods require multiple, often long, iterative cycles involving polishing, metrology and process changes to achieve the desired surface figure. The Convergent Polishing process is based on the concept of workpiece-lap height mismatch resulting in pressure differential that decreases with removal and results in the workpiece converging to the shape of the lap. The successful implementation of the Convergent Polishing process is a result of the combination of a number of technologies to remove all sources of non-uniform spatial material removal (except for workpiece-lap mismatch) for surface figure convergence and to reduce the number of rogue particles in the system for low scratch densities and low roughness. The Convergent Polishing process has been demonstrated for the fabrication of both flats and spheres of various shapes, sizes, and aspect ratios on various glass materials. The practical impact is that high quality optical components can be fabricated more rapidly, more repeatedly, with less metrology, and with less labor, resulting in lower unit costs. In this study, the Convergent Polishing protocol is specifically described for fabricating 26.5 cm square fused silica flats from a fine ground surface to a polished ~λ/2 surface figure after polishing 4 hr per surface on a 81 cm diameter polisher. PMID:25489745

  20. CMP [Chemicals, Metals, and Pesticides] Pits: Environmental information document

    International Nuclear Information System (INIS)

    Scott, S.C.; Kolb, N.L.; Price, V.; Bledsoe, H.W.

    1986-12-01

    This document provides environmental information on postulated closure options for the Chemicals, Metals, and Pesticides (CMP) Pits at the Savannah River Plant and was developed as background technical documentation for the Department of Energy's proposed Environmental Impact Statement (EIS) on waste management activities for groundwater protection at the plant. The results of groundwater and atmospheric pathway analyses, accident analysis, and other environmental assessments discussed in this document are based upon a conservative analysis of all foreseeable scenarios as defined by the National Environmental Policy Act (40 CFR 1500-1508). The scenarios do not necessarily represent actual environmental conditions. This document is not meant to be used as a regulatory closure plan or other regulatory document to comply with required federal or state environmental regulations

  1. A Multimodal System with Synergistic Effects of Magneto-Mechanical, Photothermal, Photodynamic and Chemo Therapies of Cancer in Graphene-Quantum Dot-Coated Hollow Magnetic Nanospheres.

    Science.gov (United States)

    Wo, Fangjie; Xu, Rujiao; Shao, Yuxiang; Zhang, Zheyu; Chu, Maoquan; Shi, Donglu; Liu, Shupeng

    2016-01-01

    In this study, a multimodal therapeutic system was shown to be much more lethal in cancer cell killing compared to a single means of nano therapy, be it photothermal or photodynamic. Hollow magnetic nanospheres (HMNSs) were designed and synthesized for the synergistic effects of both magneto-mechanical and photothermal cancer therapy. By these combined stimuli, the cancer cells were structurally and physically destroyed with the morphological characteristics distinctively different from those by other therapeutics. HMNSs were also coated with the silica shells and conjugated with carboxylated graphene quantum dots (GQDs) as a core-shell composite: HMNS/SiO2/GQDs. The composite was further loaded with an anticancer drug doxorubicin (DOX) and stabilized with liposomes. The multimodal system was able to kill cancer cells with four different therapeutic mechanisms in a synergetic and multilateral fashion, namely, the magnetic field-mediated mechanical stimulation, photothermal damage, photodynamic toxicity, and chemotherapy. The unique nanocomposites with combined mechanical, chemo, and physical effects will provide an alternative strategy for highly improved cancer therapy efficiency.

  2. Material Characterization in the Electro-Analytic Approach for Applications in Chemical Mechanical Planarization and Electrochemical Energy Systems

    Science.gov (United States)

    Rock, Simon E.

    the generation of ion-incorporated tantalum pentoxide. DBSA strongly affects the CMP chemistry of Cu, but exhibits relatively weaker effects on the surface activity of Ta, and thus plays a vital role in dictating the selectivity of Ta:Cu polish rates. CMP of tantalum nitride is also an essential step of material processing in the fabrication of integrated circuits, which is looked separately in this thesis. The present work investigates certain chemical aspects of this strategy of TaN-CMP by also using guanidine carbonate (GC) as a surface complexing agent, and employing electrochemical experiments. The experiments are designed to study the chemical and electrochemical origins of the CMP-specific surface complex films formed on a TaN wafer in acidic solutions of GC and hydrogen peroxide. Open circuit potential, polarization resistance, and electrochemical impedance measurements are employed to probe the surface effects that facilitate material removal in chemically prevailing CMP of TaN. The results are discussed in view of designing slurry variables to support barrier layer planarization with reduced roles of mechanical abrasion. Nonvolatile and nonflammable ionic liquids (ILs) have distinct thermal advantages over the traditional organic solvent electrolytes of lithium ion batteries. However, this beneficial feature of ILs is often counterbalanced by their high viscosity (a limiting factor for ionic conductivity) and, sometimes, by their unsuitable electrochemistry for generating protective layers on electrode surfaces. In an effort to alleviate these limiting Aspects of ILs, we have synthesized a PEGylated imidazolium bis(triflouromethylsulfonyl)amide (bistriflamide) IL that exhibited better thermal and electrochemical stability than a conventional electrolyte based on a blend of ethylene carbonate and diethyl carbonate. The electrochemical performance of this IL has been demonstrated using a cathode consisting of ball-milled LiMn2O4 particles. A direct comparison

  3. [A recent trial of chemo-radiation with S-1 against gastric cancer].

    Science.gov (United States)

    Saikawa, Yoshiro; Kiyota, Tsuyoshi; Nakamura, Rieko; Wada, Norihito; Yoshida, Masashi; Kubota, Tetsuro; Kumai, Koichiro; Shigematsu, Naoyuki; Kubo, Atsushi; Kitajima, Masaki

    2006-06-01

    A recent development of novel anticancer agents like S-1, CPT-11 or taxanes has improved a therapeutic outcome for advanced gastric cancer, while conventional anticancer agents showed less anticancer effect against gastric cancer. The present main drug in Japan is S-1, which is easily used for outpatient with a high efficacy rate and low toxicity, also shows better effect in combination with other anticancer drugs than S-1 alone. In the present article, we demonstrated significant meaning of additional radiation therapy with anticancer drugs like S-1. With novel anticancer drugs like S-1, we will expose a clinical advantage and appropriateness for chemo-radiation therapy against gastric cancer discussed in the present references according to chemo-radiation therapy. Although chemo-radiation therapy has been recognized as one of the standard therapies for gastric cancer in Western countries, radiation therapy was selected in Japan for palliation therapy of recurrent disease or a terminal cancer to improve patients' QOL. On the other hand, we demonstrated in our trial of chemo-radiation therapy with S-1/low-dose CDDP/radiation (TSLDR), which was applied to initial treatment against highly advanced Stage IV gastric cancer and revealed the usefulness of the regimen in anticancer effect and toxicity. In addition, chemo-radiation therapy including novel anticancer agents like S-1 will be discussed based on various kinds of view points, expecting a better clinical outcome of multimodal therapies against advanced gastric cancer.

  4. Research on high-efficiency polishing technology of photomask substrate

    Science.gov (United States)

    Zhao, Shijie; Xie, Ruiqing; Zhou, Lian; Liao, Defeng; Chen, Xianhua; Wang, Jian

    2018-03-01

    A method of photomask substrate fabrication is demonstrated ,that the surface figure and roughness of fused silica will converge to target precision rapidly with the full aperture polishing. Surface figure of optical flats in full aperture polishing processes is primarily dependent on the surface profile of polishing pad, therefor, a improved function of polishing mechanism was put forward based on two axis lapping machine and technology experience, and the pad testing based on displacement sensor and the active conditioning method of the pad is applied in this research. Moreover , the clamping deformation of the thin glass is solved by the new pitch dispensing method. The experimental results show that the surface figure of the 152mm×152mm×6.35mm optical glass is 0.25λ(λ=633nm) and the roughness is 0.32nm ,which has meet the requirements of mask substrate for 90 45nm nodes.

  5. APRIL is a novel clinical chemo-resistance biomarker in colorectal adenocarcinoma identified by gene expression profiling

    International Nuclear Information System (INIS)

    Petty, Russell D; Wang, Weiguang; Gilbert, Fiona; Semple, Scot; Collie-Duguid, Elaina SR; Samuel, Leslie M; Murray, Graeme I; MacDonald, Graham; O'Kelly, Terrence; Loudon, Malcolm; Binnie, Norman; Aly, Emad; McKinlay, Aileen

    2009-01-01

    5-Fluorouracil(5FU) and oral analogues, such as capecitabine, remain one of the most useful agents for the treatment of colorectal adenocarcinoma. Low toxicity and convenience of administration facilitate use, however clinical resistance is a major limitation. Investigation has failed to fully explain the molecular mechanisms of resistance and no clinically useful predictive biomarkers for 5FU resistance have been identified. We investigated the molecular mechanisms of clinical 5FU resistance in colorectal adenocarcinoma patients in a prospective biomarker discovery project utilising gene expression profiling. The aim was to identify novel 5FU resistance mechanisms and qualify these as candidate biomarkers and therapeutic targets. Putative treatment specific gene expression changes were identified in a transcriptomics study of rectal adenocarcinomas, biopsied and profiled before and after pre-operative short-course radiotherapy or 5FU based chemo-radiotherapy, using microarrays. Tumour from untreated controls at diagnosis and resection identified treatment-independent gene expression changes. Candidate 5FU chemo-resistant genes were identified by comparison of gene expression data sets from these clinical specimens with gene expression signatures from our previous studies of colorectal cancer cell lines, where parental and daughter lines resistant to 5FU were compared. A colorectal adenocarcinoma tissue microarray (n = 234, resected tumours) was used as an independent set to qualify candidates thus identified. APRIL/TNFSF13 mRNA was significantly upregulated following 5FU based concurrent chemo-radiotherapy and in 5FU resistant colorectal adenocarcinoma cell lines but not in radiotherapy alone treated colorectal adenocarcinomas. Consistent withAPRIL's known function as an autocrine or paracrine secreted molecule, stromal but not tumour cell protein expression by immunohistochemistry was correlated with poor prognosis (p = 0.019) in the independent set

  6. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  7. Concomitant chemo-radiation in therapeutic management of pancreatic and gastric adenocarcinoma

    International Nuclear Information System (INIS)

    Mornex, F.; Chauffert, B.

    1998-01-01

    The prognosis of pancreatic adenocarcinoma remains poor, with a 5-year survival rate lower than 5 %. Resection, the gold standard treatment, can be performed in less than 10 % of patients. Following surgery, the median survival is 12 months for the most favorable cancer patients. Concomitant chemo-radiation, as an adjuvant treatment is superior to surgery alone, in terms of survival; controlled trials are currently performed. Neo-adjuvant chemo-radiation is a new approach, potentially able to increase survival and resection rate. This work justifies the role of these schemes, in terms of modalities and potential advantages. A second part is dedicated to gastric carcinoma, with a review of the current results of chemo-radiation, whose efficiency, even though a trend can be observed, remains to be proven. Prospective adjuvant combined treatments are ongoing, in France and in the States. (authors)

  8. Laser polishing of 3D printed mesoscale components

    International Nuclear Information System (INIS)

    Bhaduri, Debajyoti; Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung; Sten, Stella; Harrysson, Urban; Zhang, Zhenxue; Dong, Hanshan

    2017-01-01

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S_a) was achieved at the optimised settings (fluence of 9 J/cm"2 and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes, lumps

  9. Laser polishing of 3D printed mesoscale components

    Energy Technology Data Exchange (ETDEWEB)

    Bhaduri, Debajyoti, E-mail: debajyoti.bhaduri@gmail.com [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Penchev, Pavel; Batal, Afif; Dimov, Stefan; Soo, Sein Leung [Department of Mechanical Engineering, School of Engineering, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom); Sten, Stella; Harrysson, Urban [Digital Metal, Höganäs AB, 263 83 Höganäs (Sweden); Zhang, Zhenxue; Dong, Hanshan [School of Metallurgy and Materials, University of Birmingham, Edgbaston, Birmingham, B15 2TT (United Kingdom)

    2017-05-31

    Highlights: • Process optimisation for laser polishing novel 3D printed SS316L parts. • Evaluating the effects of key polishing parameters on SS316L surface roughness. • Detailed spectroscopic analysis of oxide layer formation due to laser polishing. • Comparative surface integrity analysis of SS parts polished in air and argon. • A maximum reduction in roughness of over 94% achieved at optimised polishing settings. - Abstract: Laser polishing of various engineered materials such as glass, silica, steel, nickel and titanium alloys, has attracted considerable interest in the last 20 years due to its superior flexibility, operating speed and capability for localised surface treatment compared to conventional mechanical based methods. The paper initially reports results from process optimisation experiments aimed at investigating the influence of laser fluence and pulse overlap parameters on resulting workpiece surface roughness following laser polishing of planar 3D printed stainless steel (SS316L) specimens. A maximum reduction in roughness of over 94% (from ∼3.8 to ∼0.2 μm S{sub a}) was achieved at the optimised settings (fluence of 9 J/cm{sup 2} and overlap factors of 95% and 88–91% along beam scanning and step-over directions respectively). Subsequent analysis using both X-ray photoelectron spectroscopy (XPS) and glow discharge optical emission spectroscopy (GDOES) confirmed the presence of surface oxide layers (predominantly consisting of Fe and Cr phases) up to a depth of ∼0.5 μm when laser polishing was performed under normal atmospheric conditions. Conversely, formation of oxide layers was negligible when operating in an inert argon gas environment. The microhardness of the polished specimens was primarily influenced by the input thermal energy, with greater sub-surface hardness (up to ∼60%) recorded in the samples processed with higher energy density. Additionally, all of the polished surfaces were free of the scratch marks, pits, holes

  10. Functional Median Polish

    KAUST Repository

    Sun, Ying

    2012-08-03

    This article proposes functional median polish, an extension of univariate median polish, for one-way and two-way functional analysis of variance (ANOVA). The functional median polish estimates the functional grand effect and functional main factor effects based on functional medians in an additive functional ANOVA model assuming no interaction among factors. A functional rank test is used to assess whether the functional main factor effects are significant. The robustness of the functional median polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science, including one-way and two-way ANOVA when functional data are either curves or images. Specifically, Canadian temperature data, U. S. precipitation observations and outputs of global and regional climate models are considered, which can facilitate the research on the close link between local climate and the occurrence or severity of some diseases and other threats to human health. © 2012 International Biometric Society.

  11. Mechanical Adaptivity as a Process: Implications to New Materials and Material System Design

    Science.gov (United States)

    2012-08-01

    Mfg / Origami HT Thermal Shape Memory PhotoChem- Mechanical Autonomic Chemo-Mechanical Building Blocks Predictive Models...structure Farkas et al. Chaos 5 1995 Bishop et al. JACS, 2005 Controlling oscillations through medium selection and geometry Controlling...Additive Mfg / Origami HT Thermal Shape Memory PhotoChem- Mechanical Autonomic Chemo-Mechanical Building Blocks Predictive Models

  12. Functional Median Polish

    KAUST Repository

    Sun, Ying; Genton, Marc G.

    2012-01-01

    polish is demonstrated by comparing its performance with the traditional functional ANOVA fitted by means under different outlier models in simulation studies. The functional median polish is illustrated on various applications in climate science

  13. Effect of electroporation on radiosensitization with cisplatin in two cell lines with different chemo- and radiosensitivity

    International Nuclear Information System (INIS)

    Kranjc, S.; Cemazar, M.; Grosel, A.; Pipan, Z.; Sersa, G.

    2003-01-01

    Aim. Radiosensitization with cisplatin can be enhanced by electroporation of cells and tumours. The aim of this study was to extend our previous studies on two carcinoma tumour models with different chemo- and radiosensitivity in order to evaluate whether this treatment is effective also on less chemo- and radiosensitive tumour cells. Materials and methods. This in vitro study was performed on carcinoma SCK and EAT-E cells. The cytotoxicity of three-modality treatment consisting of cisplatin, electroporation and irradiation was determined by the clonogenic assay. Results. The radiosensitizing effect of cisplatin on the two cell lines was greatly enhanced by electroporation. By this combined treatment, less chemo and radiosensitive EAT-E cells were rendered as sensitive as more chemo and radiosensitive SCK cells. Conclusion. The enhancement of cisplatin-induced radiosensitization of cells by electroporation could be beneficially used in the treatment of intrinsically less chemo- and radiosensitive tumours. (author)

  14. Production of rare earth polishing powders in Russia

    International Nuclear Information System (INIS)

    Kosynkin, V.D.; Ivanov, E.N.; Kotrekhov, V.A.; Shtutza, M.G.; Grabko, A.I.

    1998-01-01

    Full text: Russia is a potent producer of polishing powders made of rare earth material presented as an extensive and well developed base. Considering the reserves, the facilities predisposition and the polishing agent (cerium dioxide) content the chief mineral source is loparite, apatite and monazite. The production of rare earth polishing powders is based on specially developed continuous technological processes, corrosion-proof equipment, ensuring a high and stable production quality. A special attention is paid to the radiation safety of the powders. The initial material for the rare earth polishing powders based on loparite is the fusion cake of rare earth chlorides obtained at that mineral chlorination. The technology of the polishing powder production from the REE fusion cake includes the following stages: dissolution of the REE fusion cake chlorides; - thorough cleaning of the REE fusion cake chlorides from radioactive and non-rare-earth impurities; chemical precipitation of REE carbonates, obtaining middlings with proper material and granulometric composition, thermal treatment of precipitated carbonates followed with the operations of drying and roasting; classification of roasted oxides, obtaining end products - polishing powders. The production of fluorine-containing powders includes the stage of their fluorination after the stage of carbonate precipitation. The stabilizing doping can be introduced both into the middlings during one of the technological process of powders manufacturing and into the end product. Rare earth polishing powders are manufactured in Russia by the Share Holding Company 'Chepetz Mechanical Plant' (ChMP Co.), the city of Glasov. The plant produces a number of polishing materials, such as; polishing powder Optinol, containing at least 50% by mass of cerium dioxide, used in the mass production of optical and other articles; polishing powder Optinol-10 with doping to improve the sedimentary and aggregate stability of the solid phase

  15. Anaerobic biodegradability and methanogenic toxicity of key constituents in copper chemical mechanical planarization effluents of the semiconductor industry.

    Science.gov (United States)

    Hollingsworth, Jeremy; Sierra-Alvarez, Reyes; Zhou, Michael; Ogden, Kimberly L; Field, Jim A

    2005-06-01

    Copper chemical mechanical planarization (CMP) effluents can account for 30-40% of the water discharge in semiconductor manufacturing. CMP effluents contain high concentrations of soluble copper and a complex mixture of organic constituents. The aim of this study is to perform a preliminary assessment of the treatability of CMP effluents in anaerobic sulfidogenic bioreactors inoculated with anaerobic granular sludge by testing individual compounds expected in the CMP effluents. Of all the compounds tested (copper (II), benzotriazoles, polyethylene glycol (M(n) 300), polyethylene glycol (M(n) 860) monooleate, perfluoro-1-octane sulfonate, citric acid, oxalic acid and isopropanol) only copper was found to be inhibitory to methanogenic activity at the concentrations tested. Most of the organic compounds tested were biodegradable with the exception of perfluoro-1-octane sulfonate and benzotriazoles under sulfate reducing conditions and with the exception of the same compounds as well as Triton X-100 under methanogenic conditions. The susceptibility of key components in CMP effluents to anaerobic biodegradation combined with their low microbial inhibition suggest that CMP effluents should be amenable to biological treatment in sulfate reducing bioreactors.

  16. The effect of chemo-embolization on E-cadherin expression of primary hepatocellular carcinoma

    International Nuclear Information System (INIS)

    Xiao Enhua; Hu Guodong; Liu Pengcheng; Hu Daoyu; Liu Shaochun; Hao Chunrong

    2001-01-01

    Objective: To study the significance of E-cadherin (E-cad) expression of primary hepatocellular carcinoma (PHC), and the effect of the different chemo-embolization treatment on E-cad. Methods:Ninety-eight histopathological verified PHC specimens were obtained. The patients were treated with surgical resection alone (57 cases), and second stage surgical resection after four kinds of chemo-embolization (41 cases). Strept avidin-biotin complex (SABC) immunohistochemical staining with monoclonal antibody against human E-cad was used to observe the E-cad in all specimens. The experimental results were compared with the surgical and clinical findings. Results: The metastatic rates in E-cad (+) and (-) were 43.3%, 70.4% respectively (x 2 = 4.22, P 0.05). The E-cad expression of trabecular and clear cell PHC was higher than that of solid and poorly differentiated PHC. After chemo-embolization, the E-cad expression of the former decreased, the latter increased. The E-cad expression decreased as pathologic grades increasing. After chemo-embolization, the E-cad expression increased as pathological grades increasing. The metastatic rates in interventional group and surgical resection alone were 48.8%, 56.1% respectively (P > 0.05). Conclusions: The increased expression of E-cad would restrain PHC from metastasis. It could act as a prognosis-predictive marker. The effect of chemo-embolization on E-cadherin expression of primary hepatocellular carcinoma had histopathologic difference

  17. Health Information in Polish (polski)

    Science.gov (United States)

    ... Tools You Are Here: Home → Multiple Languages → Polish (polski) URL of this page: https://medlineplus.gov/languages/polish.html Health Information in Polish (polski) To use the sharing features on this page, ...

  18. Bioclipse: an open source workbench for chemo- and bioinformatics

    Directory of Open Access Journals (Sweden)

    Wagener Johannes

    2007-02-01

    Full Text Available Abstract Background There is a need for software applications that provide users with a complete and extensible toolkit for chemo- and bioinformatics accessible from a single workbench. Commercial packages are expensive and closed source, hence they do not allow end users to modify algorithms and add custom functionality. Existing open source projects are more focused on providing a framework for integrating existing, separately installed bioinformatics packages, rather than providing user-friendly interfaces. No open source chemoinformatics workbench has previously been published, and no sucessful attempts have been made to integrate chemo- and bioinformatics into a single framework. Results Bioclipse is an advanced workbench for resources in chemo- and bioinformatics, such as molecules, proteins, sequences, spectra, and scripts. It provides 2D-editing, 3D-visualization, file format conversion, calculation of chemical properties, and much more; all fully integrated into a user-friendly desktop application. Editing supports standard functions such as cut and paste, drag and drop, and undo/redo. Bioclipse is written in Java and based on the Eclipse Rich Client Platform with a state-of-the-art plugin architecture. This gives Bioclipse an advantage over other systems as it can easily be extended with functionality in any desired direction. Conclusion Bioclipse is a powerful workbench for bio- and chemoinformatics as well as an advanced integration platform. The rich functionality, intuitive user interface, and powerful plugin architecture make Bioclipse the most advanced and user-friendly open source workbench for chemo- and bioinformatics. Bioclipse is released under Eclipse Public License (EPL, an open source license which sets no constraints on external plugin licensing; it is totally open for both open source plugins as well as commercial ones. Bioclipse is freely available at http://www.bioclipse.net.

  19. Syringic acid from Tamarix aucheriana possesses antimitogenic and chemo-sensitizing activities in human colorectal cancer cells.

    Science.gov (United States)

    Abaza, Mohamed-Salah; Al-Attiyah, Raja'a; Bhardwaj, Radhika; Abbadi, Ghaneim; Koyippally, Mathew; Afzal, Mohammad

    2013-09-01

    For its variety of biological activities, Tamarix aucheriana (Decne.) Baum. (Tamaricaceae) has an extensive history as a traditional Arab medicine. Antimitogenic and chemo-sensitizing activities of syringic acid (SA) were studied against human colorectal cancer. Chromatographic and spectral data were used for the isolation and identification of SA. MTT, flow cytometry, in vitro invasion and angiogenesis assays, fluoremetry, ELISA and Real Time qPCR were used to test antimitogenic and chemo-sensitizing activities of SA, cell cycle, apoptosis, proteasome and NFκB-DNA-binding activities, cancer cell invasion and angiogenesis, and expression of cell cycle/apoptosis-related genes. SA showed a time- and dose-dependent (IC₅₀ = 0.95-1.2 mg mL⁻¹) antimitogenic effect against cancer cells with little cytotoxicity on normal fibroblasts (≤20%). SA-altered cell cycle (S/G2-M or G1/G2-M phases) in a time-dependent manner, induced apoptosis, inhibited DNA-binding activity of NFκB (p ≤ 0.0001), chymotrypsin-like/PGPH (peptidyl-glutamyl peptide-hydrolyzing) (p ≤ 0.0001) and the trypsin-like (p ≤ 0.002) activities of 26S proteasome and angiogenesis. SA also differentially sensitized cancer cells to standard chemotherapies with a marked increase in their sensitivity to camptothecin (500-fold), 5FU (20,000-fold), doxorubicin (210-fold), taxol (3134-fold), vinblastine (1000-fold), vincristine (130-fold) and amsacrine (107-fold) compared to standard drugs alone. SA exerted its chemotherapeutic and chemo-sensitizing effects through an array of mechanisms including cell-cycle arrest, apoptosis induction, inhibition of cell proliferation, cell migration, angiogenesis, NFκB DNA-binding and proteasome activities. These results demonstrate the potential of SA as an antimitogenic and chemo-sensitizing agent for human colorectal cancer.

  20. Curcumin induces chemo/radio-sensitization in ovarian cancer cells and curcumin nanoparticles inhibit ovarian cancer cell growth

    Directory of Open Access Journals (Sweden)

    Yallapu Murali M

    2010-04-01

    -treatment lowered β-catenin expression and transcriptional activity. Nano-CUR was successfully generated and physico-chemical characterization of Nano-CUR indicated an average particle size of ~70 nm, steady and prolonged release of curcumin, antibody conjugation capability and effective inhibition of ovarian cancer cell growth. Conclusion Curcumin pre-treatment enhances chemo/radio-sensitization in A2780CP ovarian cancer cells through multiple molecular mechanisms. Therefore, curcumin pre-treatment may effectively improve ovarian cancer therapeutics. A targeted PLGA nanoparticle formulation of curcumin is feasible and may improve the in vivo therapeutic efficacy of curcumin.

  1. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  2. ChemoPy: freely available python package for computational biology and chemoinformatics.

    Science.gov (United States)

    Cao, Dong-Sheng; Xu, Qing-Song; Hu, Qian-Nan; Liang, Yi-Zeng

    2013-04-15

    Molecular representation for small molecules has been routinely used in QSAR/SAR, virtual screening, database search, ranking, drug ADME/T prediction and other drug discovery processes. To facilitate extensive studies of drug molecules, we developed a freely available, open-source python package called chemoinformatics in python (ChemoPy) for calculating the commonly used structural and physicochemical features. It computes 16 drug feature groups composed of 19 descriptors that include 1135 descriptor values. In addition, it provides seven types of molecular fingerprint systems for drug molecules, including topological fingerprints, electro-topological state (E-state) fingerprints, MACCS keys, FP4 keys, atom pairs fingerprints, topological torsion fingerprints and Morgan/circular fingerprints. By applying a semi-empirical quantum chemistry program MOPAC, ChemoPy can also compute a large number of 3D molecular descriptors conveniently. The python package, ChemoPy, is freely available via http://code.google.com/p/pychem/downloads/list, and it runs on Linux and MS-Windows. Supplementary data are available at Bioinformatics online.

  3. The Protective Effect of Non-fluoroquinolones against Chemo- and Radiation Therapy-Induced Damage in Mice

    International Nuclear Information System (INIS)

    Ahmed, S.F.; Abd-El-Rahman, M.A.

    2015-01-01

    Cancers of head and neck has increased in the last years with increased use of chemo-radiotherapy. So, the trials to achieve newly developed agents that combat the hazards of cancer therapy have been urgent. This study was carried out to investigate the possible protective effect of new quinolone derivative against the oxidative damage of chemo-radiotherapy. Twenty four male mice were divided into four groups; group C, mice were served as control, group SR, mice were injected with cisplatin for 5 days and then irradiated with 2 Gy at the last day, group QSR, mice were injected with non-fluoro quinolone; 2-(1-Ethyl-4-hydroxy-2-oxo-1,2-dihydroquinolin-3-yl)-2-oxoacetic acid (EHQA) for 14 days in addition to injection with cisplatin in the last 5 days then irradiated, group Q, mice were injected with EHQA for 14 days. Tongue specimen was subjected to histological and immune-histochemical evaluation for proliferative and apoptotic activity. Histologically, EHQA administration prior to cisplatin and radiation exposure ameliorated the damaging effects of both on tongue. Morphometric studies of the treated group represented marked increase in proliferative and anti-apoptotic capacity of the epithelial cells especially the basal cells. Administration of EHQA before chemo-radiotherapy can to great extent, reduce the hazardous effects and the mechanism by which exerted its effect can be related to regulation of cell cycle and cell death processes

  4. Study of long term chemo-hydro-mechanic behaviour of hydraulic barrier reinforced by polymer

    International Nuclear Information System (INIS)

    Razakamanantsoa, Andry Rico

    2009-01-01

    Passive barrier for landfill liners are designed with bentonite material as Geo-synthetic Clay Liners (GCL's) or Sand Bentonite Mixtures (SBM). This thesis is focused on the experimental study of the long term Chemo-Hydro-Mechanic behaviour of polymer treated geo-materials. Tests are performed with two powder polyelectrolyte polymers (P1, P2). Soil and one selected type of bentonite from a set of six are used. The corresponding testing fluid is composed with: synthesized leachate, CaCl_2 and NaCl. This first step of the study is to select the suitable bentonite (B) and the corresponding polymer concentration (2%) that gives the best swelling ability to the bentonite. Compatibility test of the bentonite polymer mixture with synthesized leachable is done. Tests are performed by fabricating GL's, with filter press and oedo-permeameter. Results show that hydraulic performance grows with the bentonite concentration. And the LS aggressiveness occurs immediately in a case of bentonite. The effects of polymer treatment are different: P1 increases the swelling ability of bentonite by flocculation, P2 increases the hydraulic performance of the bentonite by dispersion. The long term hydraulic performance tests with SBM are carried out with a rigid wall permeameter. Tests results show that pre-hydration delays only the fluid aggressiveness in spite of reducing the corresponding effects. The long term effect of polymer treatment reveals benefits to geo-material behaviour by increasing water retention and reducing the undesired effects of pollutant. The chemical index is proposed to forecast the geo-material degradation. (author)

  5. Polish Cartographical Review

    Directory of Open Access Journals (Sweden)

    Nedjeljko Frančula

    2017-07-01

    Full Text Available The Polish Cartographical Review (PCR journal has been published in English four times a year since 2015. The journal is in open access and it is published by De Gruyter Open. It is edited by Polish scientists in collaboration with international experts.

  6. Resistance to the nucleotide analogue cidofovir in HPV(+) cells: a multifactorial process involving UMP/CMP kinase 1

    Czech Academy of Sciences Publication Activity Database

    Topalis, D.; Nogueira, T. C.; De Schutter, T.; El Amri, C.; Krečmerová, Marcela; Naesens, L.; Balzarini, J.; Andrei, G.; Snoeck, R.

    2016-01-01

    Roč. 7, č. 9 (2016), s. 10386-10401 ISSN 1949-2553 R&D Projects: GA ČR(CZ) GA14-00522S Institutional support: RVO:61388963 Keywords : human papillomavirus * cervical carcinoma * UMP-CMP kinase * cidofovir * NTP metabolism Subject RIV: CC - Organic Chemistry Impact factor: 5.168, year: 2016

  7. Polish-German bilingualism at school. A Polish perspective

    Directory of Open Access Journals (Sweden)

    Pulaczewska, Hanna

    2014-03-01

    Full Text Available This article presents the institutional frames for the acquisition of Polish literacy skills in Germany and the maintenance of Polish-German bilingualism after the repatriation of bilingual children to Poland. These processes are examined in the context of recent developments in the European domestic job market. While the European Union has placed proficiency in several languages among its educational objectives, and foreign languages have been made obligatory school subjects in all member countries, the potential advantages of internal European migrations for producing high-proficiency bilinguals are being ignored. Bilingualism resulting from migration and biculturalism enjoys little social prestige in the host countries. In Germany, there is significant regional variation in how school authorities react to challenges posed by the presence of minority languages. In many cases, the linguistic potential of many second-generation migrants and re-emigrants gets largely wasted because of lacking interest and incentives from German and Polish institutions alike.

  8. Chromatic stability of acrylic resins of artificial eyes submitted to accelerated aging and polishing.

    Science.gov (United States)

    Goiato, Marcelo Coelho; Santos, Daniela Micheline dos; Souza, Josiene Firmino; Moreno, Amália; Pesqueira, Aldiéris Alves

    2010-12-01

    Esthetics and durability of materials used to fabricate artificial eyes has been an important issue since artificial eyes are essential to restore esthetics and function, protect the remaining tissues and help with patients' psychological therapy. However, these materials are submitted to degrading effects of environmental agents on the physical properties of the acrylic resin. This study assessed the color stability of acrylic resins used to fabricate sclera in three basic shades (N1, N2 and N3) when subjected to accelerated aging, mechanical and chemical polishing. Specimens of each resin were fabricated and submitted to mechanical and chemical polishing. Chromatic analysis was performed before and after accelerated aging through ultraviolet reflection spectrophotometry. All specimens revealed color alteration following polishing and accelerated aging. The resins presented statistically significant chromatic alteration (p<0.01) between the periods of 252 and 1008 h. Both polishing methods presented no significant difference between the values of color derivatives of resins.

  9. Chemo-radiation in advanced nasopharyngeal carcinoma, disease ...

    African Journals Online (AJOL)

    This is a case report of a patient with advanced nasopharyngeal Carcinoma, (T4 N2 MO) who had chemo-radiation with Cisplatin based chemotherapy and total midplane dose of 60 Gray external beam radiation. Six years after treatment patient has remained disease free and the primary site histologically confirmed ...

  10. Effects of surface polishing and annealing on the optical conductivity of intermetallic compounds

    CERN Document Server

    Rhee, J Y

    1999-01-01

    The optical conductivity spectra of several intermetallic compounds were measured by spectroscopic ellipsometry. Three spectra were measured for each compound; just after the sample was mechanically polished, at high temperature, and after the sample was annealed at 110 .deg. C for at least one day and cooled to room temperature. An equiatomic FeTi alloy showed the typical effects of annealing after mechanical polishing of surface. The spectrum after annealing had a larger magnitude and sharper structures than the spectrum before annealing. We also observed shifts of peaks in the spectrum. A relatively low-temperature annealing gave rise to unexpectedly substantial effects, and the effects were explained by recrystallization and/or a disorder -> order transition of the surface of the sample which was damaged and, hence, became highly disordered by mechanical polishing. Similar effects were also observed when the sample temperature was lowered. The observed changes upon annealing could partly be explained by p...

  11. Surface morphology changes of acrylic resins during finishing and polishing phases

    Directory of Open Access Journals (Sweden)

    Glaucio Serra

    2013-12-01

    Full Text Available INTRODUCTION: The finishing and polishing phases are essential to improve smoothness and shining on the surface of acrylic resins used to make removable orthodontic appliances. A good surface finishing reduces roughness, which facilitates hygiene, prevents staining and provides greater comfort to the patients. OBJECTIVE: The aim of this paper was to analyze the changes on surface morphology of acrylic resins during finishing and polishing phases. METHODS: Thirty discs (10 mm in diameter and 5 mm in length were made with acrylic resin and randomly divided into ten groups. The control group did not receive any treatment while the other groups received gradual finishing and polishing. The last group received the entire finishing and polishing procedures. Surface morphology was qualitatively analyzed through scanning electron microscopy and quantitatively analyzed through a laser profilometer test. RESULTS: The acrylic resin surfaces without treatment showed bubbles which were not observed in the subsequent phases. Wearing out with multilaminated burs, finishing with wood sandpaper and finishing with water sandpaper resulted in surfaces with decreasing irregularities. The surfaces that were polished with pumice and with low abrasive liquids showed high superficial smoothness. CONCLUSION: Highly smooth acrylic resin surfaces can be obtained after mechanical finishing and polishing performed with multilaminated burs, wood sandpaper, water sandpaper, pumice and low abrasive liquids.

  12. Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN

    Directory of Open Access Journals (Sweden)

    Piotr Žmigrodzki

    2014-12-01

    Full Text Available The paper describes a lexicographical project involving the development of the newest general dictionary of the Polish language: the Polish Academy of Sciences Great Dictionary of Polish [Wielki słownik języka polskiego PAN]. The project is coordinated by the Institute of Polish Language at the Polish Academy of Sciences and carried out in collaboration with linguists and lexicographers from several other Polish academic centres. The paper offers a brief description of the genesis of the project and the scope of information included in the dictionary, the organisation of work, the life of the dictionary on the Web as well as the plans for the future.

  13. Chemo Resistance of Breast Cancer Stem Cells

    Science.gov (United States)

    2007-05-01

    165-72. 60. Vestergaard J, Pedersen MW, Pedersen N, Ensinger C, Tumer Z, Tommerup N, et al. Hedgehog signaling in small-cell lung cancer : frequent......NUMBER Chemo Resistance of Breast Cancer Stem Cells 5b. GRANT NUMBER W81XWH-04-1-0471 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d

  14. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  15. Polish Higher Education: Intersectoral Distinctiveness

    Science.gov (United States)

    Musial, Joanna

    2014-01-01

    This study analyzes degrees of differences between the private and public sectors of Polish higher education. It finds them to be strong: Polish private institutions function very differently from Polish public institutions and these differences correspond with those found in the literature on higher education elsewhere in the world. Polish…

  16. Key Processes of Silicon-On-Glass MEMS Fabrication Technology for Gyroscope Application.

    Science.gov (United States)

    Ma, Zhibo; Wang, Yinan; Shen, Qiang; Zhang, Han; Guo, Xuetao

    2018-04-17

    MEMS fabrication that is based on the silicon-on-glass (SOG) process requires many steps, including patterning, anodic bonding, deep reactive ion etching (DRIE), and chemical mechanical polishing (CMP). The effects of the process parameters of CMP and DRIE are investigated in this study. The process parameters of CMP, such as abrasive size, load pressure, and pH value of SF1 solution are examined to optimize the total thickness variation in the structure and the surface quality. The ratio of etching and passivation cycle time and the process pressure are also adjusted to achieve satisfactory performance during DRIE. The process is optimized to avoid neither the notching nor lag effects on the fabricated silicon structures. For demonstrating the capability of the modified CMP and DRIE processes, a z-axis micro gyroscope is fabricated that is based on the SOG process. Initial test results show that the average surface roughness of silicon is below 1.13 nm and the thickness of the silicon is measured to be 50 μm. All of the structures are well defined without the footing effect by the use of the modified DRIE process. The initial performance test results of the resonant frequency for the drive and sense modes are 4.048 and 4.076 kHz, respectively. The demands for this kind of SOG MEMS device can be fulfilled using the optimized process.

  17. Violation of interest-rate parity: a Polish example

    Science.gov (United States)

    Przystawa, Jerzy; Wolf, Marek

    2000-09-01

    The mechanism of the so-called “Bagsik Oscillator” is presented and discussed. In essence, it is a repeated exploitation of arbitrage opportunities that resulted from a marked departure from the interest-rate parity relationship between the local Polish currency and the western currencies.

  18. Surface Roughness and Gloss of Actual Composites as Polished With Different Polishing Systems.

    Science.gov (United States)

    Rodrigues-Junior, S A; Chemin, P; Piaia, P P; Ferracane, J L

    2015-01-01

    This in vitro study evaluated the effect of polishing with different polishing systems on the surface roughness and gloss of commercial composites. One hundred disk-shaped specimens (10 mm in diameter × 2 mm thick) were made with Filtek P-90, Filtek Z350 XT, Opallis, and Grandio. The specimens were manually finished with #400 sandpaper and polished by a single operator using three multistep systems (Superfix, Diamond Pro, and Sof-lex), one two-step system (Polidores DFL), and one one-step system (Enhance), following the manufacturer's instructions. The average surface roughness (μm) was measured with a surface profilometer (TR 200 Surface Roughness Tester), and gloss was measured using a small-area glossmeter (Novo-Curve, Rhopoint Instrumentation, East Sussex, UK). Data were analyzed by two-way analysis of variance and Tukey's test (α=0.05). Statistically significant differences in surface roughness were identified by varying the polishing systems (pGloss was influenced by the composites (pone-step system, Enhance, produced the lowest gloss for all composites. Surface roughness and gloss were affected by composites and polishing systems. The interaction between both also influenced these surface characteristics, meaning that a single polishing system will not behave similarly for all composites. The multistep systems produced higher gloss, while the one-step system produced the highest surface roughness and the lowest gloss of all.

  19. Polish visit

    CERN Document Server

    2003-01-01

    On 6 October, Professor Michal Kleiber, Polish Minister of Science and Chairman of the State Committee for Scientific Research, visited CERN and met both the current and designated Director General, Luciano Maiani and Robert Aymar. Professor Kleiber visited the CMS and ATLAS detector assembly halls, the underground cavern for ATLAS, and the LHC superconducting magnet string test hall. Michal Kleiber (left), Polish minister of science and Jan Krolikowski, scientist at Warsaw University and working for CMS, who shows the prototypes of the Muon Trigger board of CMS.

  20. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.; Holdener, F.R.; Heck, J.L. Jr.

    1994-04-01

    New machining and polishing techniques have been developed for large scintillating crystal arrays such as the Barium Fluoride Electromagnetic Calorimeter for the GEM Detector at SSCL, the Crystal Clear Collaboration's cerium fluoride or lead tungstenate calorimeter at the proposed LHC and CERN, the PHENIX Detector at RHIC (barium fluoride), and the cesium iodide Calorimeter for the BaBar Detector at PEP-2 B Factory at SLAC. The machining and polishing methods to be presented in this paper provide crystalline surfaces without sub-surface damage or deformation as verified by Rutherford Back-scattering (RBS) analysis. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large barium fluoride crystal samples. Mass production techniques have also been developed for machining the proper angled surfaces and polishing up to five 50 cm long crystals at one time. These techniques utilize kinematic mount technology developed at LLNL to allow precision machining and polishing of complex surfaces. They will present this technology along with detailed surface studies of barium fluoride and cerium fluoride crystals polished with this technique

  1. Effects of surface polishing and annealing on the optical conductivity of intermetallic compounds

    International Nuclear Information System (INIS)

    Rhee, Joo Yull

    1999-01-01

    The optical conductivity spectra of several intermetallic compounds were measured by spectroscopic ellipsometry. Three spectra were measured for each compound; just after the sample was mechanically polished, at high temperature, and after the sample was annealed at 110 .deg. C for at least one day and cooled to room temperature. An equiatomic FeTi alloy showed the typical effects of annealing after mechanical polishing of surface. The spectrum after annealing had a larger magnitude and sharper structures than the spectrum before annealing. We also observed shifts of peaks in the spectrum. A relatively low-temperature annealing gave rise to unexpectedly substantial effects, and the effects were explained by recrystallization and/or a disorder → order transition of the surface of the sample which was damaged and, hence, became highly disordered by mechanical polishing. Similar effects were also observed when the sample temperature was lowered. The observed changes upon annealing could partly be explained by presumption that the recrystallization would be realized in such a way that the average atomic spacing would be reduced

  2. Amphiphilic semiconducting polymer as multifunctional nanocarrier for fluorescence/photoacoustic imaging guided chemo-photothermal therapy.

    Science.gov (United States)

    Jiang, Yuyan; Cui, Dong; Fang, Yuan; Zhen, Xu; Upputuri, Paul Kumar; Pramanik, Manojit; Ding, Dan; Pu, Kanyi

    2017-11-01

    Chemo-photothermal nanotheranostics has the advantage of synergistic therapeutic effect, providing opportunities for optimized cancer therapy. However, current chemo-photothermal nanotheranostic systems generally comprise more than three components, encountering the potential issues of unstable nanostructures and unexpected conflicts in optical and biophysical properties among different components. We herein synthesize an amphiphilic semiconducting polymer (PEG-PCB) and utilize it as a multifunctional nanocarrier to simplify chemo-photothermal nanotheranostics. PEG-PCB has a semiconducting backbone that not only serves as the diagnostic component for near-infrared (NIR) fluorescence and photoacoustic (PA) imaging, but also acts as the therapeutic agent for photothermal therapy. In addition, the hydrophobic backbone of PEG-PCB provides strong hydrophobic and π-π interactions with the aromatic anticancer drug such as doxorubicin for drug encapsulation and delivery. Such a trifunctionality of PEG-PCB eventually results in a greatly simplified nanotheranostic system with only two components but multimodal imaging and therapeutic capacities, permitting effective NIR fluorescence/PA imaging guided chemo-photothermal therapy of cancer in living mice. Our study thus provides a molecular engineering approach to integrate essential properties into one polymer for multimodal nanotheranostics. Copyright © 2017 Elsevier Ltd. All rights reserved.

  3. Precision machining and polishing of scintillating crystals for large calorimeters and hodoscopes

    International Nuclear Information System (INIS)

    Wuest, C.R.; Fuchs, B.A.

    1993-05-01

    New machining and polishing techniques have been developed for large barium fluoride scintillating crystals that provide crystalline surfaces without sub-surface damage or deformation as verified by Atomic Force Microscopy (AFM) and Rutherford Back-scattering (RBS) analyses. Surface roughness of about 10--20 angstroms and sub-micron mechanical tolerances have been demonstrated on large crystal samples. Mass production techniques have also been developed for machining and polishing up to five 50 cm long crystals at one time. We present this technology along with surface studies of barium fluoride crystals polished with this technique. This technology is applicable for a number of new crystal detectors proposed at Colliders including the Barium Fluoride Electromagnetic Calorimeter at SSC, the Crystal Clear Collaboration's cerium fluoride calorimeter at LHC, and the KTeV and PHENIX scintillating hodoscopes at Fermilab, and RHIC, respectively. Lawrence Livermore National Laboratory (LLNL) has an active program of study on barium fluoride scintillating crystals for the Barium Fluoride Electromagnetic Calorimeter Collaboration and cerium fluoride and lead fluoride for the Crystal Clear Collaboration. This program has resulted in a number of significant improvements in the mechanical processing, polishing and coating of fluoride crystals. Techniques have been developed using diamond-loaded pitch lapping that can produce 15 angstrom RMS surface finishes over large areas. Also, special polishing fixtures have been designed based on mounting technology developed for the 1.1 m diameter optics used in LLNL's Nova Laser. These fixtures allow as many as five 25--50 cm long crystals to be polished and lapped at the same time with tolerances satisfying the stringent requirements of crystal calorimeters. We also discuss results on coating barium fluoride with UV reflective layers of magnesium fluoride and aluminum

  4. Fabrication and Characterization of FeNiCr Matrix-TiC Composite for Polishing CVD Diamond Film

    Institute of Scientific and Technical Information of China (English)

    Zhuji Jin; Zewei Yuan; Renke Kang; Boxian Dong

    2009-01-01

    Dynamic friction polishing (DFP) is one of the most promising methods appropriate for polishing CVD diamond film with high efficiency and low cost.By this method CVD diamond film is polished through being simply pressed against a metal disc rotating at a high speed utilizing the thermochemical reaction occurring as a result of dynamic friction between them in the atmosphere.However, the relatively soft materials such as stainless steel, cast iron and nickel alloy widely used for polishing CVD diamond film are easy to wear and adhere to diamond film surface, which may further lead to low efficiency and poor polishing quality.In this paper, FeNiCr matrix-TiC composite used as grinding wheel for polishing CVD diamond film was obtained by combination of mechanical alloying (MA) and spark plasma sintering (SPS).The process of ball milling,composition, density, hardness, high-temperature oxidation resistance and wear resistance of the sintered piece were analyzed.The results show that TiC was introduced in MA-SPS process and had good combination with FeNiCr matrix and even distribution in the matrix.The density of composite can be improved by mechanical alloying.The FeNiCr matrix-TiC composite obtained at 1273 K was found to be superior to at 1173 K sintering in hardness, high-temperature oxidation resistance and wearability.These properties are more favorable than SUS304 for the preparation of high-performance grinding wheel for polishing CVD diamond film.

  5. Role of octreotide in chemo and radiotherapy induced diarrhea

    International Nuclear Information System (INIS)

    Farooqi, J.I.; Farooqi, R. J.

    2000-01-01

    An international, quasi-experimental, clinical trial of 'before-and-after type' was conducted to find out the role of octreotide in chemo and radiotherapy-induced diarrhea on thirty patients. Among these 19 patients had advanced cancer and 11 with acute leukemia. All patients were given IV fluids and Loperamide for 5 days. The patients who did not respond during this period were administered with octreotide subcutaneously for another 5 days and response against diarrhea was noted. We found that only 10% patients responded to loperamide therapy whereas in the remaining 90% patients an excellent response was noted as 96.29% of these patients responded to octreotide therapy which stopped their diarrhea (P<0.005) leading us to the conclusion that, octreotide is a safe and effective drug in the management of chemo and radiotherapy-induced diarrhea. (author)

  6. Clinical evaluation of Carisolv in the chemico-mechanical removal of carious dentin.

    Science.gov (United States)

    Munshi, A K; Hegde, A M; Shetty, P K

    2001-01-01

    The Chemo-mechanical caries removal technique involves the application of chemical agents, to cause a selective softening of the carious dentine and facilitate removal by gentle excavation. Carisolv is one such new chemical agent used in this minimal invasive technique of carious dentine removal. The present study was, therefore, undertaken to evaluate the efficacy of Carisolv in the chemo-mechanical removal of carious dentine, the time taken for caries removal, the perception of the treatment by the patient, and to evaluate the restorations, radiographically. Accordingly, fifty primary and permanent molars with dentinal carious lesions were excavated using this technique of caries removal. The chemo-mechanical caries removal technique using Carisolv proved to be an effective atraumatic treatment modality with potential interest for use in clinical pediatric dentistry.

  7. Nd:YOV4 laser polishing on WC-Co HVOF coating

    Science.gov (United States)

    Giorleo, L.; Ceretti, E.; Montesano, L.; La Vecchia, G. M.

    2017-10-01

    WC/Co coatings are widely applied to different types of components due to their extraordinary performance properties including high hardness and wear properties. In industrial applications High Velocity Oxy-Fuel (HVOF) technique is extensively used to deposit hard metal coatings. The main advantage of HVOF compared to other thermal spray techniques is the ability to accelerate the melted powder particles of the feedstock material at a relatively high velocity, leading to obtain good adhesion and low porosity level. However, despite the mentioned benefits, the surface finish quality of WC-Co HVOF coatings results to be poor (Ra higher than 5 µm) thus a mechanical polishing process is often needed. The main problem is that the high hardness of coating leads the polishing process expensive in terms of time and tool wear; moreover polishing becomes difficult and not always possible in case of limited accessibility of a part, micro dimensions or undercuts. Nowadays a different technique available to improve surface roughness is the laser polishing process. The polishing principle is based on focused radiation of a laser beam that melts a microscopic layer of surface material. Compared to conventional polishing process (as grinding) it ensures the possibility of avoiding tool wear, less pollution (no abrasive or liquids), no debris, less machining time and coupled with a galvo system it results to be more suitable in case of 3D complex workpieces. In this paper laser polishing process executed with a Nd:YOV4 Laser was investigated: the effect of different process parameters as initial coating morphology, laser scan speed and loop cycles were tested. Results were compared by a statistical approach in terms of average roughness along with a morphological analysis carried out by Scanning Electron Microscope (SEM) investigation coupled with EDS spectra.

  8. Chemo-enzymatic Baeyer-Villiger oxidation of 4-methylcyclohexanone via kinetic resolution of racemic carboxylic acids: direct access to enantioenriched lactone.

    Science.gov (United States)

    Drożdż, Agnieszka; Chrobok, Anna

    2016-01-21

    A new method for the asymmetric chemo-enzymatic Baeyer-Villiger oxidation of prochiral 4-methylcyclohexanone to (R)-4-methylcaprolactone in the presence of (±)-4-methyloctanoic acid, Candida Antarctica lipase B and 30% aq. H2O2 has been developed. A mechanism for the asymmetric induction based on kinetic resolution of racemic carboxylic acids is proposed.

  9. In-situ photopatterning of hydrogel microarrays in polished microchips

    NARCIS (Netherlands)

    Gümüscü, B.; van den Berg, Albert; Eijkel, Jan C.T.

    2015-01-01

    We present a fabrication method which enables simple and eproducible photopatterning of micron- sized hydrogel arrays inside closed microchips. To achieve this, the glass cover of the microchip is thinned by mechanical grinding and polishing. This procedure reduces the spacing between the photomask

  10. Liquid-solid phase transition of physical hydrogels subject to an externally applied electro-chemo-mechanical coupled field with mobile ionic species.

    Science.gov (United States)

    Wu, Tao; Li, Hua

    2017-08-09

    In this study, a model was multiphysically developed for the simulation of the phase transition of physical hydrogels between liquid solution and solid gel states, subject to an electro-chemo-mechanically coupled field, with the effect of the mobile ionic species in the solution. The present model consists of the governing equations for the equilibrium of forces and the conservation of mass, Maxwell's equations, and an evolution equation for the interface. Based on the second law of thermodynamics, the constitutive equations are formulated from the energy viewpoint, including a novel formulation of free energy with the effect of crosslink density. The present model may be reduced to Suo's non-equilibrium thermodynamic theory if the interface is ignored when only a single phase exists. It may also be reduced to Dolbow's model for gel-gel phase transition when the electric field is ignored. Therefore, the present model becomes more generalized since it is able to represent both the bulk phase and the interface behaviors, and the mechanical field is simultaneously coupled with both the electric and chemical fields. In the first case study, the system at equilibrium state was numerically investigated for analysis of the influences of the electrical and chemical potentials as well as the mechanical pressure externally imposed on the boundary of the system domain. The second case study presents a spherically symmetrical solution-gel phase transition at non-equilibrium states, with the emphasis on the evolution of both the interface and electrochemical potentials.

  11. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  12. Salvage definitive chemo-radiotherapy for locally recurrent oesophageal carcinoma after primary surgery: retrospective review

    International Nuclear Information System (INIS)

    Baxi, S. H.; Burmeister, B.; Harvey, J. A.; Smithers, M.; Thomas, J.

    2008-01-01

    Full text: To determine the overall survival and gastrointestinal toxicity for patients treated with salvage definitive chemo-radiotherapy after primary surgery for locoregional relapse of oesophageal carcinoma. A retrospective review of 525 patients who had a resection for oesophageal or oesophagogastric carcinoma at Princess Alexandra Hospital identified 14 patients treated with salvage definitive radiotherapy or chemo-radiotherapy, following localized recurrence of their disease. We analysed the patient and treatment characteristics to determine the median overall survival as the primary end point. Gastrointestinal toxicity was examined to determine if increased toxicity occurred when the stomach was irradiated within the intrathoracic radiotherapy field. The median overall survival for patients treated with curative intent using salvage definitive chemo-radiotherapy was 16 months and the 2-year overall survival is 21%. One patient is in clinical remission more than 5 years after therapy. Age <60 years old and nodal recurrence were favourable prognostic factors. Treatment compliance was 93% with only one patient unable to complete the intended schedule. Fourteen per cent of patients experienced grade 3 or 4 gastrointestinal toxicity. Salvage definitive chemo-radiotherapy should be considered for good performance status patients with oesophageal carcinoma who have a locoregional relapse after primary surgery. The schedule is tolerable with low toxicity and an acceptable median survival

  13. Prognostic value of pathological response to chemo radiotherapy of locally advanced low rectal cancer

    International Nuclear Information System (INIS)

    Bannura C, Guillermo; Vargas N, Claudio; Barrera E, Alejandro; Melo L, Carlos; Illanes F, Felipe

    2013-01-01

    Background: Preoperative chemo radiotherapy improves the prognosis of locally advanced low rectal cancer and induces a pathological response in the tumor, which may have prognostic value. Aim: To assess the results of rectal cancer treatment according to the degree of pathological response of the tumor after chemo radiotherapy. Patients and Methods: All patients with a locally advanced rectal cancer located within 11 cm of the rectal margin, subjected to preoperative chemo radiotherapy followed by surgical treatment in a period of 13 years, were included. Pathological response was classified as complete, intermediate and poor. The tumor was staged according to TNM 2002 classification. Survival was analyzed with Kaplan Meier curves and Cox regression. Results: Patients were followed for a mean of 50 months (range 18-156). Exclusive and global local relapse was observed in 3 and 9.6% of patients, respectively. Pathological response was complete in 13 patients (none died), intermediate in 23 (three died) and poor in 68 (22 died). Global five years survival was 74%. There was a concordance of 0.64 between survival and pathological response. The concordance between survival and TNM classification was 0.69. Conclusions: The pathological response of the tumor to chemo radiotherapy has a good concordance with prognosis, although it is not superior to the final pathological status

  14. Friction Properties of Polished Cvd Diamond Films Sliding against Different Metals

    Science.gov (United States)

    Lin, Zichao; Sun, Fanghong; Shen, Bin

    2016-11-01

    Owing to their excellent mechanical and tribological properties, like the well-known extreme hardness, low coefficient of friction and high chemical inertness, chemical vapor deposition (CVD) diamond films have found applications as a hard coating for drawing dies. The surface roughness of the diamond films is one of the most important attributes to the drawing dies. In this paper, the effects of different surface roughnesses on the friction properties of diamond films have been experimentally studied. Diamond films were fabricated using hot filament CVD. The WC-Co (Co 6wt.%) drawing dies were used as substrates. A gas mixture of acetone and hydrogen gas was used as the feedstock gas. The CVD diamond films were polished using mechanical polishing. Polished diamond films with three different surface roughnesses, as well as the unpolished diamond film, were fabricated in order to study the tribological performance between the CVD diamond films and different metals with oil lubrication. The unpolished and polished CVD diamond films are characterized with scanning electron microscope (SEM), atomic force microscope (AFM), surface profilometer, Raman spectrum and X-ray diffraction (XRD). The friction examinations were carried out by using a ball-on-plate type reciprocating friction tester. Low carbide steel, stainless steel, copper and aluminum materials were used as counterpart balls. Based on this study, the results presented the friction coefficients between the polished CVD films and different metals. The friction tests demonstrate that the smooth surface finish of CVD diamond films is beneficial for reducing their friction coefficients. The diamond films exhibit low friction coefficients when slid against the stainless steel balls and low carbide steel ball, lower than that slid against copper ball and aluminum ball, attributed to the higher ductility of copper and aluminum causing larger amount of wear debris adhering to the sliding interface and higher adhesive

  15. Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

    Institute of Scientific and Technical Information of China (English)

    Wang Liang-Yong; Liu Bo; Song Zhi-Tang; Liu Wei-Li; Feng Song-Lin; David Huang; S.V Babu

    2011-01-01

    We report on the investigation of the origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid. The oxide to nitride removal selectivity of the ceria slurry with picolinic acid is as high as 76.6 in the chemical mechanical polishing. By using zeta potential analyzer, particle size analyzer, horizon profilometer, thermogravimetric analysis and Fourier transform infrared spectroscopy, the pre-and the post-polished wafer surfaces as well as the pre-and the post-used ceria-based slurries are compared. Possible mechanism of high oxide to nitride selectivity with using ceria-based slurry with picolinic acid is discussed.

  16. Endotoxin and cancer chemo-prevention.

    Science.gov (United States)

    Mastrangelo, Giuseppe; Fadda, Emanuela; Cegolon, Luca

    2013-10-01

    Reduced rates of lung cancer have been observed in several occupational groups exposed to high levels of organic dusts contaminated by endotoxin. The underlying anti-neoplastic mechanism of endotoxin may be an increased secretion of endogenous anti-neoplastic mediators and activation of the toll-like receptors (TLR). A detoxified endotoxin derivative, Monophosphoryl Lipid A (MPL(®)) is marketed in Europe since 1999 as part of the adjuvant systems in allergy vaccines for treatment of allergic rhino-conjunctivitis and allergic asthma. Over 200,000 patients have used them to date (nearly 70% in Germany). Since detailed exposure (MPL(®) dose and timing of administration) and individual data are potentially available, an observational follow-up study could be conducted in Germany to investigate the protective effect of MPL(®) against cancer, comparing cancer incidence in two groups of patients with allergic rhinitis: those treated with allergoids plus MPL(®) and those treated with a vaccine including the same allergoids but not MPL(®). The protective effect of MPL(®) could be quantified in ever and never smokers. If this proposed observational study provides evidence of protective effects, MPL(®) could be immediately used as a chemo-preventive agent since it is already in use as adjuvant in human vaccines against cancer. Copyright © 2013 Elsevier Ltd. All rights reserved.

  17. Chemo-predictive assay for targeting cancer stem-like cells in patients affected by brain tumors.

    Directory of Open Access Journals (Sweden)

    Sarah E Mathis

    Full Text Available Administration of ineffective anticancer therapy is associated with unnecessary toxicity and development of resistant clones. Cancer stem-like cells (CSLCs resist chemotherapy, thereby causing relapse of the disease. Thus, development of a test that identifies the most effective chemotherapy management offers great promise for individualized anticancer treatments. We have developed an ex vivo chemotherapy sensitivity assay (ChemoID, which measures the sensitivity of CSLCs as well as the bulk of tumor cells to a variety of chemotherapy agents. Two patients, a 21-year old male (patient 1 and a 5-month female (patient 2, affected by anaplastic WHO grade-III ependymoma were screened using the ChemoID assay. Patient 1 was found sensitive to the combination of irinotecan and bevacizumab, which resulted in a prolonged disease progression free period of 18 months. Following recurrence, the combination of various chemotherapy drugs was tested again with the ChemoID assay. We found that benzyl isothiocyanate (BITC greatly increased the chemosensitivity of the ependymoma cells to the combination of irinotecan and bevacizumab. After patient 1 was treated for two months with irinotecan, bevacizumab and supplements of cruciferous vegetable extracts containing BITC, we observed over 50% tumoral regression in comparison with pre-ChemoID scan as evidenced by MRI. Patient 2 was found resistant to all treatments tested and following 6 cycles of vincristine, carboplatin, cyclophosphamide, etoposide, and cisplatin in various combinations, the tumor of this patient rapidly progressed and proton beam therapy was recommended. As expected animal studies conducted with patient derived xenografts treated with ChemoID screened drugs recapitulated the clinical observation. This assay demonstrates that patients with the same histological stage and grade of cancer may vary considerably in their clinical response, suggesting that ChemoID testing which measures the sensitivity

  18. and Three-Photon Absorption Properties of PRODAN based chemo ...

    Indian Academy of Sciences (India)

    Mehboob

    Solvent dependent One-, Two- and Three-Photon Absorption. Properties of PRODAN based chemo-sensors. Md. Mehboob Alam, Mausumi Chattopadhyaya. Department of Chemistry, University of Calcutta, 92 A.P.C. Road, Kolkata - 700009,. India. CONTENTS. 1) Optimized coordinates of all the systems in Gas phase and ...

  19. A Biologically Based Chemo-Sensing UAV for Humanitarian Demining

    Directory of Open Access Journals (Sweden)

    Paul F.M.J. Verschure

    2008-11-01

    Full Text Available Antipersonnel mines, weapons of cheap manufacture but lethal effect, have a high impact on the population even decades after the conflicts have finished. Here we investigate the use of a chemo-sensing Unmanned Aerial Vehicle (cUAV for demining tasks. We developed a blimp based UAV that is equipped with a broadly tuned metal-thin oxide chemo-sensor. A number of chemical mapping strategies were investigated including two biologically based localization strategies derived from the moth chemical search that can optimize the efficiency of the detection and localization of explosives and therefore be used in the demining process. Additionally, we developed a control layer that allows for both fully autonomous and manual controlled flight, as well as for the scheduling of a fleet of cUAVs. Our results confirm the feasibility of this technology for demining in real-world scenarios and give further support to a biologically based approach where the understanding of biological systems is used to solve difficult engineering problems.

  20. A Biologically Based Chemo-Sensing UAV for Humanitarian Demining

    Directory of Open Access Journals (Sweden)

    Sergi Bermúdez i Badia

    2007-06-01

    Full Text Available Antipersonnel mines, weapons of cheap manufacture but lethal effect, have a high impact on the population even decades after the conflicts have finished. Here we investigate the use of a chemo-sensing Unmanned Aerial Vehicle (cUAV for demining tasks. We developed a blimp based UAV that is equipped with a broadly tuned metal-thin oxide chemo-sensor. A number of chemical mapping strategies were investigated including two biologically based localization strategies derived from the moth chemical search that can optimize the efficiency of the detection and localization of explosives and therefore be used in the demining process. Additionally, we developed a control layer that allows for both fully autonomous and manual controlled flight, as well as for the scheduling of a fleet of cUAVs. Our results confirm the feasibility of this technology for demining in real-world scenarios and give further support to a biologically based approach where the understanding of biological systems is used to solve difficult engineering problems.

  1. Study of Pneumatic Servo Loading System in Double-Sided Polishing

    International Nuclear Information System (INIS)

    Qian, N; Ruan, J; Li, W

    2006-01-01

    The precise double-sided polishing process is one of the main methods to get the ultra-smooth surface of workpiece. In double-sided polishing machine, a loading system is required to be able to precisely control the load superimposed on the workpiece, while the polishing is being carried out. A pneumatic servo loading system is proposed for this purpose. In the pneumatic servo system, the servo valve, which acts both the electrical to mechanical converter and the power amplifier, has a substantial influence on the performance of the loading system. Therefore a specially designed pneumatic digital servo valve is applied in the control system. In this paper, the construction of the pneumatic servo loading system in double-sided polishing machine and control strategy associated with the digital servo valve are first addressed. The mathematical model of the system established and the hardware of the pneumatic servo system is designed. Finally, the experiments are carried out by measuring the practical load on the workpiece and the quality of the surface finish. It is demonstrated that the error rate of load is less than 5% and a super-smooth surface of silicon wafer with roughness Ra 0.401 nm can be obtained

  2. A Conway-Maxwell-Poisson (CMP) model to address data dispersion on positron emission tomography.

    Science.gov (United States)

    Santarelli, Maria Filomena; Della Latta, Daniele; Scipioni, Michele; Positano, Vincenzo; Landini, Luigi

    2016-10-01

    Positron emission tomography (PET) in medicine exploits the properties of positron-emitting unstable nuclei. The pairs of γ- rays emitted after annihilation are revealed by coincidence detectors and stored as projections in a sinogram. It is well known that radioactive decay follows a Poisson distribution; however, deviation from Poisson statistics occurs on PET projection data prior to reconstruction due to physical effects, measurement errors, correction of deadtime, scatter, and random coincidences. A model that describes the statistical behavior of measured and corrected PET data can aid in understanding the statistical nature of the data: it is a prerequisite to develop efficient reconstruction and processing methods and to reduce noise. The deviation from Poisson statistics in PET data could be described by the Conway-Maxwell-Poisson (CMP) distribution model, which is characterized by the centring parameter λ and the dispersion parameter ν, the latter quantifying the deviation from a Poisson distribution model. In particular, the parameter ν allows quantifying over-dispersion (ν1) of data. A simple and efficient method for λ and ν parameters estimation is introduced and assessed using Monte Carlo simulation for a wide range of activity values. The application of the method to simulated and experimental PET phantom data demonstrated that the CMP distribution parameters could detect deviation from the Poisson distribution both in raw and corrected PET data. It may be usefully implemented in image reconstruction algorithms and quantitative PET data analysis, especially in low counting emission data, as in dynamic PET data, where the method demonstrated the best accuracy. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. A chemo-electro-mechanical model for simulation of responsive deformation of glucose-sensitive hydrogels with the effect of enzyme catalysis

    Science.gov (United States)

    Li, Hua; Luo, Rongmo; Birgersson, Erik; Lam, Khin Yong

    2009-02-01

    A multi-effect-coupling glucose-stimulus (MECglu) model is developed and solved numerically for the swelling behavior of soft smart hydrogels responding to changes in the environmental glucose concentration. The model considers the effect of the glucose oxidation reaction catalyzed by enzymes including glucose oxidase and catalase. It is composed of the Nernst-Planck equation for the mobile species in the solvent, the Poisson equation for the electric potential, and a nonlinear mechanical equation for the large deformations of the hydrogel that arise due to the conversion of chemical energy to mechanical. Based on the theory of the chemo-electro-mechanical-coupled fields, the formulation of the fixed charge groups bound onto the cross-linked polymer network is associated with the change of the ambient solution pH. The MECglu model is validated by comparison between the steady-state computation and experimental equilibrium swelling curves, and good agreement is obtained. A parameter study is then conducted by steady-state simulations to ascertain the impact of various solvent parameters on the responsive swelling behavior of the hydrogel. One key parameter is the glucose concentration, which is varied within the range of practical physiological glucose concentrations from 0 to 16.5 mM (300 mg/ml) to support the design and optimization of an insulin delivery system based on a glucose-sensitive hydrogel with immobilized glucose oxidase and catalase. The influence of oxygen and glucose concentrations in the solvent is then further studied for the distributive profiles of reacting and diffusive species concentrations, the electric potential, the displacement, as well as the swelling ratio of the glucose-sensitive hydrogel.

  4. Electro-chemo-hydro-mechanical coupling in clayey media; Couplage electro-chimio-hydro-mecaniques dans les milieux argileux

    Energy Technology Data Exchange (ETDEWEB)

    Lemaire, Th

    2004-12-15

    The aim of this study is to understand coupled phenomena that occur in swelling porous materials like clays. Electro-chemo-hydro-mechanical contributions are taken into account to analyze transfers in such minerals. In a first part, a general discussion is proposed to introduce mineralogical and physico- chemical considerations of clayey media. An important objective of this chapter is to show the crucial role of the microstructure. In a second part is presented an imbibition test in a MX80 bentonite powder. The hydraulic diffusivity versus water content curve's decrease is explained thanks to a double porosity model that shows the progressive collapse of meso-pores due to swelling effects at the micro-scale. Thus a multi-scale analysis is necessary to well describe clayey media behaviour. The third chapter exposes such a multi-scale modelling (periodic homogenization). It is based on the double-layer theory and introduces an innovative concept of virtual electrolyte solution. First numerical results are given in a simple geometry (parallel platelets). In the next part are proposed numerical simulations of two kinds: response of the system to a chemical gradient and simulation of electro-osmosis. The end of this chapter puts into relief the necessity to integrate pH effects in the model. In the last part, chemical surface exchanges are incorporated in the modelling to understand pH and ionic force roles in electro-osmotic process. (author)

  5. The tourism attractiveness of Polish libraries

    OpenAIRE

    Miedzińska, Magdalena; Tanaś, Sławoj

    2009-01-01

    The aim of the article is to draw the reader's attention to the tourism attractiveness of renowned Polish libraries. These have attained a tourism function due to tourism exploration and penetration, but remain in the shadow of other Polish cultural assets. The article outlines the historical geography of Polish libraries, an analysis of tourism assets and an attempt to classify and catalogue libraries in Poland.

  6. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  7. Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

    International Nuclear Information System (INIS)

    Wang Liang-Yong; Liu Bo; Song Zhi-Tang; Liu Wei-Li; Feng Song-Lin; David Huang; Babu, S.V

    2011-01-01

    We report on the investigation of the origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid. The oxide to nitride removal selectivity of the ceria slurry with picolinic acid is as high as 76.6 in the chemical mechanical polishing. By using zeta potential analyzer, particle size analyzer, horizon profilometer, thermogravimetric analysis and Fourier transform infrared spectroscopy, the pre- and the post-polished wafer surfaces as well as the pre- and the post-used ceria-based slurries are compared. Possible mechanism of high oxide to nitride selectivity with using ceria-based slurry with picolinic acid is discussed. (interdisciplinary physics and related areas of science and technology)

  8. The influence of polishing techniques on pre-polymerized CAD\\CAM acrylic resin denture bases.

    Science.gov (United States)

    Alammari, Manal Rahma

    2017-10-01

    Lately, computer-aided design and computer-aided manufacturing (CAD/CAM) has broadly been successfully employed in dentistry. The CAD/CAM systems have recently become commercially available for fabrication of complete dentures, and are considered as an alternative technique to conventionally processed acrylic resin bases. However, they have not yet been fully investigated. The purpose of this study was to inspect the effects of mechanical polishing and chemical polishing on the surface roughness (Ra) and contact angle (wettability) of heat-cured, auto-cured and CAD/CAM denture base acrylic resins. This study was conducted at the Advanced Dental Research Laboratory Center of King Abdulaziz University from March to June 2017. Three denture base materials were selected: heat cure poly-methylmethacrylate resin, thermoplastic (polyamide resin) and (CAD\\CAM) denture base resin. Sixty specimens were prepared and divided into three groups, twenty in each. Each group was divided according to the polishing techniques into (Mech P) and (Chem P), ten specimens in each; surface roughness and wettability were investigated. Data were analyzed by SPSS version 22, using one-way ANOVA and Pearson coefficient. One-way analysis of variance (ANOVA) and post hoc tests were used for comparing the surface roughness values between three groups which revealed a statistical significant difference between them (p 1 CAD\\CAM denture base material (group III) showed the least mean values (1.08±0.23, 1.39±0.31, Mech P and Chem P respectively). CAD/CAM showed the least contact angle in both polishing methods, which were statistically significant at 5% level (p=0.034 and pCAD\\CAM denture base resin with superior smooth surface compared to chemical polishing. Mechanical polishing is considered the best effective polishing technique. CAD/CAM denture base material should be considered as the material of choice for complete denture construction in the near future, especially for older dental

  9. Detection of paint polishing defects

    Science.gov (United States)

    Rebeggiani, S.; Wagner, M.; Mazal, J.; Rosén, B.-G.; Dahlén, M.

    2018-06-01

    Surface finish plays a major role on perceived product quality, and is the first thing a potential buyer sees. Today end-of-line repairs of the body of cars and trucks are inevitably to secure required surface quality. Defects that occur in the paint shop, like dust particles, are eliminated by manual sanding/polishing which lead to other types of defects when the last polishing step is not performed correctly or not fully completed. One of those defects is known as ‘polishing roses’ or holograms, which are incredibly hard to detect in artificial light but are clearly visible in sunlight. This paper will present the first tests with a measurement set-up newly developed to measure and analyse polishing roses. The results showed good correlations to human visual evaluations where repaired panels were estimated based on the defects’ intensity, severity and viewing angle.

  10. Tooth polishing: The current status

    Directory of Open Access Journals (Sweden)

    Madhuri Alankar Sawai

    2015-01-01

    Full Text Available Healthy teeth and gums make a person feel confident and fit. As people go about their daily routines and with different eating and drinking habits, the tooth enamel turns yellowish or gets stained. Polishing traditionally has been associated with the prophylaxis procedure in most dental practices, which patients know and expect. However, with overzealous use of polishing procedure, there is wearing of the superficial tooth structure. This would lead to more accumulation of local deposits. Also, it takes a long time for the formation of the fluoride-rich layer of the tooth again. Hence, now-a-days, polishing is not advised as a part of routine oral prophylaxis procedure but is done selectively based on the patients′ need. The article here, gives an insight on the different aspects of the polishing process along with the different methods and agents used for the same.

  11. Polish Industry and Art at CERN

    CERN Multimedia

    2000-01-01

    On 17 October 2000 the second Polish industrial and technological exhibition opened at CERN. The first one was held five years ago and nine of the companies that were present then have come back again this year. Six of those companies were awarded contracts with CERN in 1995. Three Polish officials were present at the Opening Ceremony today: Mrs Malgorzata Kozlowska, Under-secretary of State in the State Committee for Scientific Research, Mr Henryk Ogryczak, Under-secretary of State in Ministry of Economy and Prof. Jerzy Niewodniczanski, President of National Atomic Energy Agency. Professor Luciano Maiani welcomed the Polish delegation to CERN and stressed the important contribution of Polish scientists and industrialists to the work of the laboratory. Director General Luciano Maiani (back left) and head of SPL division Karl-Heinz Kissler (back right) visit the Poland at CERN exhibition… The exhibition offers Polish companies the opportunity to establish professional contacts with CERN. Nineteen companies...

  12. Optimized pre-thinning procedures of ion-beam thinning for TEM sample preparation by magnetorheological polishing.

    Science.gov (United States)

    Luo, Hu; Yin, Shaohui; Zhang, Guanhua; Liu, Chunhui; Tang, Qingchun; Guo, Meijian

    2017-10-01

    Ion-beam-thinning is a well-established sample preparation technique for transmission electron microscopy (TEM), but tedious procedures and labor consuming pre-thinning could seriously reduce its efficiency. In this work, we present a simple pre-thinning technique by using magnetorheological (MR) polishing to replace manual lapping and dimpling, and demonstrate the successful preparation of electron-transparent single crystal silicon samples after MR polishing and single-sided ion milling. Dimples pre-thinned to less than 30 microns and with little mechanical surface damage were repeatedly produced under optimized MR polishing conditions. Samples pre-thinned by both MR polishing and traditional technique were ion-beam thinned from the rear side until perforation, and then observed by optical microscopy and TEM. The results show that the specimen pre-thinned by MR technique was free from dimpling related defects, which were still residual in sample pre-thinned by conventional technique. Nice high-resolution TEM images could be acquired after MR polishing and one side ion-thinning. MR polishing promises to be an adaptable and efficient method for pre-thinning in preparation of TEM specimens, especially for brittle ceramics. Copyright © 2017 Elsevier B.V. All rights reserved.

  13. Audit Committee Practice in the Polish Listed Stock Companies. Present Situation and Development Perspectives

    Directory of Open Access Journals (Sweden)

    Piotr Szczepankowski

    2012-06-01

    Full Text Available The audit committee is one of the parts of corporate governance mechanism, which is understood as the relationship between corporate managers, directors and the providers of equity, people and institutions who save and invest their capital to earn the return. This study presents survey research results of audit committee activity in Polish public stock companies quoted on the Warsaw Stock Exchange (WSE. The purpose of this paper is to present the audit committee practice in Poland after 2009. The paper shows that the audit committee practice is still the most problematic issue of transitional Polish corporate governance rules. The survey has shown that the corporate needs and its implementation, and communication with listed companies leave a lot of room for improvement. The paper is based on the documents prepared in 2010 by PricewaterhouseCoopers, the Polish Association of Listed Companies and the Polish Institute of Directors.

  14. Polish polar research (outline

    Directory of Open Access Journals (Sweden)

    Krzysztof Ludwik Birkenmajer

    2017-12-01

    Full Text Available The article describes Polish research and discoveries in the Arctic and the Antarctic since the 19th century. The author is a geologist and since 1956 has been engaged in scientific field research on Spitsbergen, Greenland and Antarctica (23 expeditions. For many years chairman of the Committee on Polar Research of the Polish Academy of Sciences, he is now its Honorary Chairman.

  15. Eddy current measurement of the thickness of top Cu film of the multilayer interconnects in the integrated circuit (IC) manufacturing process

    Science.gov (United States)

    Qu, Zilian; Meng, Yonggang; Zhao, Qian

    2015-03-01

    This paper proposes a new eddy current method, named equivalent unit method (EUM), for the thickness measurement of the top copper film of multilayer interconnects in the chemical mechanical polishing (CMP) process, which is an important step in the integrated circuit (IC) manufacturing. The influence of the underneath circuit layers on the eddy current is modeled and treated as an equivalent film thickness. By subtracting this equivalent film component, the accuracy of the thickness measurement of the top copper layer with an eddy current sensor is improved and the absolute error is 3 nm for sampler measurement.

  16. Neck dissection following chemo radiation for node positive head and neck carcinomas

    International Nuclear Information System (INIS)

    Thariat, J.; Thariat, J.; Marcy, P.Y.; Bozec, A.; Peyrade, F.; Hofman, P.; Hamoir, M.; Janot, F.; De Mones, E.; Marcy, P.Y.; Carrier, P.; Bozec, I.; Guevara, J.; Santini, J.; Albert, S.; Vedrine, P.O.; Graff, P.; Peyrade, F.; Hofman, P.; Bourhis, J.; Lapeyre, M.

    2009-01-01

    The optimal timing and extent of neck dissection in the context of chemo radiation for head and neck cancer remains controversial. For some institutions, it is uncertain whether neck dissection should still be performed up front especially for cystic nodes. For others, neck dissection can be performed after chemo radiation and can be omitted for N1 disease as long as a complete response to chemo radiation is obtained. The question is debated for N2 and N3 disease even after a complete response as the correlation between radiological and clinical assessment and pathology may not be reliable. Response rates are greater than or equal to 60% and isolated neck failures are less than or equal to 10% with current chemo radiation protocols. Some therefore consider that systematic up front or planned neck dissection would lead to greater than or equal to 50% unnecessary neck dissections for N2-N3 disease. Positron-emission tomography (PET) scanning to assess treatment response and have shown a very high negative predictive value of greater than or equal to 95% when using a standard uptake value of 3 for patients with a negative PET at four months after the completion of therapy. These data may support the practice of observing PET-negative necks. More evidence-based data are awaited to assess the need for neck dissection on PET. Selective neck dissection based on radiological assessment and preoperative findings and not exclusively on initial nodal stage may help to limit morbidity and to improve the quality of life without increasing the risk of neck failure. Adjuvant regional radiation boosts might be discussed on an individual basis for aggressive residual nodal disease with extra-capsular spread and uncertain margins but evidence is missing. Medical treatments aiming at reducing the metastatic risk especially for N3 disease are to be evaluated

  17. Conformal polishing approach: Tool footprint analysis

    Directory of Open Access Journals (Sweden)

    José A Dieste

    2016-02-01

    Full Text Available Polishing process is one of the most critical manufacturing processes during a metal part production because it determines the final quality of the product. Free-form surface polishing is a handmade process with lots of rejected parts, scrap generation and time and energy consumption. Two different research lines are being developed: prediction models of the final surface quality parameters and an analysis of the amount of material removed depending on the polishing parameters to predict the tool footprint during the polishing task. This research lays the foundations for a future automatic conformal polishing system. It is based on rotational and translational tool with dry abrasive in the front mounted at the end of a robot. A tool to part concept is used, useful for large or heavy workpieces. Results are applied on different curved parts typically used in tooling industry, aeronautics or automotive. A mathematical model has been developed to predict the amount of material removed in function of polishing parameters. Model has been fitted for different abrasives and raw materials. Results have shown deviations under 20% that implies a reliable and controllable process. Smaller amount of material can be removed in controlled areas of a three-dimensional workpiece.

  18. Lysenko affair and Polish botany.

    Science.gov (United States)

    Köhler, Piotr

    2011-01-01

    This article describes the slight impact of Lysenkoism upon Polish botany. I begin with an account of the development of plant genetics in Poland, as well as the attitude of scientists and the Polish intelligentsia toward Marxist philosophy prior to the World War II. Next I provide a short history of the introduction and demise of Lysenkoism in Polish science, with a focus on events in botany, in context with key events in Polish science from 1939 to 1958. The article outlines the little effects of Lysenkoism upon botanists and their research, as well as how botanists for the most part rejected what was often termed the "new biology." My paper shows that though Lysenko's theories received political support, and were actively promoted by a small circle of scientists and Communist party activists, they were never accepted by most botanists. Once the political climate in Poland altered after the events of 1956, Lysenko's theories were immediately abandoned.

  19. Sensor-based monitoring and inspection of surface morphology in ultraprecision manufacturing processes

    Science.gov (United States)

    Rao, Prahalad Krishna

    This research proposes approaches for monitoring and inspection of surface morphology with respect to two ultraprecision/nanomanufacturing processes, namely, ultraprecision machining (UPM) and chemical mechanical planarization (CMP). The methods illustrated in this dissertation are motivated from the compelling need for in situ process monitoring in nanomanufacturing and invoke concepts from diverse scientific backgrounds, such as artificial neural networks, Bayesian learning, and algebraic graph theory. From an engineering perspective, this work has the following contributions: 1. A combined neural network and Bayesian learning approach for early detection of UPM process anomalies by integrating data from multiple heterogeneous in situ sensors (force, vibration, and acoustic emission) is developed. The approach captures process drifts in UPM of aluminum 6061 discs within 15 milliseconds of their inception and is therefore valuable for minimizing yield losses. 2. CMP process dynamics are mathematically represented using a deterministic multi-scale hierarchical nonlinear differential equation model. This process-machine inter-action (PMI) model is evocative of the various physio-mechanical aspects in CMP and closely emulates experimentally acquired vibration signal patterns, including complex nonlinear dynamics manifest in the process. By combining the PMI model predictions with features gathered from wirelessly acquired CMP vibration signal patterns, CMP process anomalies, such as pad wear, and drifts in polishing were identified in their nascent stage with high fidelity (R2 ~ 75%). 3. An algebraic graph theoretic approach for quantifying nano-surface morphology from optical micrograph images is developed. The approach enables a parsimonious representation of the topological relationships between heterogeneous nano-surface fea-tures, which are enshrined in graph theoretic entities, namely, the similarity, degree, and Laplacian matrices. Topological invariant

  20. Advanced ion exchange resins for PWR condensate polishing

    International Nuclear Information System (INIS)

    Hoffman, B.; Tsuzuki, S.

    2002-01-01

    The severe chemical and mechanical requirements of a pressurized water reactor (PWR) condensate polishing plant (CPP) present a major challenge to the design of ion exchange resins. This paper describes the development and initial operating experience of improved cation and anion exchange resins that were specifically designed to meet PWR CPP needs. Although this paper focuses specifically on the ion exchange resins and their role in plant performance, it is also recognized and acknowledged that excellent mechanical design and operation of the CPP system are equally essential to obtaining good results. (authors)

  1. Chemical polishing of partially oxidized T-111 alloy

    International Nuclear Information System (INIS)

    Teaney, P.E.

    1974-01-01

    The specimens were pressure-mounted in Bakelite and ground through 600 grit on silicon carbide papers. The specimens were rough-polished on a vibratory polisher for 4 to 6 h, using a water slurry of one micron alumina on Texmet, followed by 0.3-μ alumina on Texmet overnight. Final polishing was accomplished by continuous swabbing with a chemical polish. A chemical polish consisting of ten parts lactic acid, four parts nitric acid, and four parts hydrofluoric acid worked well for the T-111 parent material specimens; however, in the partially oxidized specimens, considerable pitting and staining occurred in the oxygen-affected zone and in the transition zone between the oxygen-affected zone and the parent material. A chemical polish was developed for the partially oxidized specimens by adjusting the ratio of the acids to ten parts lactic acid, two parts nitric acid, and two parts hydrofluoric acid. This slowed the chemical attack on the oxygen-affected zone considerably and, with continuous swabbing, the pitting and stain could be avoided. The specimens were rinsed and checked occasionally on the metallograph to determine when the proper polish had been obtained. Some specimens required intermittent polishing times up to 1 / 2 hour. No relationship could be established between the oxygen content of the specimen and the time required for chemical polishing in the partially oxidized specimens. However, the microstructure of the transition zone was the most difficult to obtain, and specimens with uniform reaction zones across the width of the specimen polished quicker than those with the transition zone

  2. Graphite Composite Panel Polishing Fixture

    Science.gov (United States)

    Hagopian, John; Strojny, Carl; Budinoff, Jason

    2011-01-01

    The use of high-strength, lightweight composites for the fixture is the novel feature of this innovation. The main advantage is the light weight and high stiffness-to-mass ratio relative to aluminum. Meter-class optics require support during the grinding/polishing process with large tools. The use of aluminum as a polishing fixture is standard, with pitch providing a compliant layer to allow support without deformation. Unfortunately, with meter-scale optics, a meter-scale fixture weighs over 120 lb (.55 kg) and may distort the optics being fabricated by loading the mirror and/or tool used in fabrication. The use of composite structures that are lightweight yet stiff allows standard techniques to be used while providing for a decrease in fixture weight by almost 70 percent. Mounts classically used to support large mirrors during fabrication are especially heavy and difficult to handle. The mount must be especially stiff to avoid deformation during the optical fabrication process, where a very large and heavy lap often can distort the mount and optic being fabricated. If the optic is placed on top of the lapping tool, the weight of the optic and the fixture can distort the lap. Fixtures to support the mirror during fabrication are often very large plates of aluminum, often 2 in. (.5 cm) or more in thickness and weight upwards of 150 lb (68 kg). With the addition of a backing material such as pitch and the mirror itself, the assembly can often weigh over 250 lb (.113 kg) for a meter-class optic. This innovation is the use of a lightweight graphite panel with an aluminum honeycomb core for use as the polishing fixture. These materials have been used in the aerospace industry as structural members due to their light weight and high stiffness. The grinding polishing fixture consists of the graphite composite panel, fittings, and fixtures to allow interface to the polishing machine, and introduction of pitch buttons to support the optic under fabrication. In its

  3. A Study of Polishing Feature of Ultrasonic-Assisted Vibration Method in Bamboo Charcoal

    Directory of Open Access Journals (Sweden)

    Hsin-Min Lee

    2017-01-01

    Full Text Available Focusing on the feature of porosity in bamboo charcoal, this study applies the ultrasonic-assisted vibration method to perform surface polishing of the silicon wafer workpiece. The self-developed bamboo charcoal polishing spindle and ultrasonic- assisted vibration mechanism are attached to a single lapping machine. In the machining process, ultrasonic vibration enables the diamond slurry to smoothly pass through the microscopic holes of bamboo charcoal; the end of the bamboo charcoalis able to continue machining on the surface of the workpiece through the grasping force which exists in the microscopic holes. Under the polishing and machining parameters of ultrasonic-assisted vibration, with a diamond slurry concentration of 0.3%, the experimental results show a polishing time of 20 min, a loading of 25 N on the workpiece surface, a spindle speed of 1200 rpm, a vibration frequency of 30 kHz and the original surface roughness value of Ra 0.252 μm equals that of a mirror-like surface at Ra 0.017 μm. These research results prove that by using bamboo charcoal and ultrasonic-assisted vibration for polishing, a very good improvement can be achieved on the workpiece surface.

  4. Magnetic field sensor based on double-sided polished fibre-Bragg gratings

    International Nuclear Information System (INIS)

    Tien, Chuen-Lin; Hwang, Chang-Chou; Liu, Wen-Feng; Chen, Hong-Wei

    2009-01-01

    A new magnetic field sensor based on double-sided polished fibre-Bragg gratings (FBGs) coated with an iron thin film for measuring magnetic flux density was experimentally demonstrated with the sensitivity of 25.6 nm T −1 . The sensing mechanism is based on the Bragg wavelength shift as the magnetic field is measured by the proposed sensing head. Results of this study present the intensity of the reflected optical signal as a function of the applied strain on the FBG. This paper shows that an improved method for sensing the wavelength shift with changes in external magnetic field is developed by use of the double-sided polished FBGs

  5. APS 3D: a new benchmark in aspherical polishing

    Science.gov (United States)

    Gauch, Daniel; Mikulic, Dalibor; Veit, Christian

    2017-10-01

    The APS 3D system performs polishing and form correction in one step in order to reduce overall process time, reduce the number of polishing steps required and eliminate the need for highly skilled operators while providing a repeatable polishing process. This new 3D Polishing system yields better surface quality, and a better slope error, automatically determining the optimum speeds, feed rates and polish pressures to achieve a deterministic process based on the required quality parameters input by the operator. The process flow is always the same to ensure consistent quality and target quality values are defined before polishing begins.

  6. Polish Americans. Second, Revised Edition.

    Science.gov (United States)

    Lopata, Helen Znaniecka

    This book examines Polonia, the Polish ethnic community in America created by three giant waves of immigration between 1880 and 1990. The complicated history of this ethnic group is reflected in the lives of increasing numbers of Polish Americans, including recent immigrants brought by political and economic changes, as they achieve middle class…

  7. Catalase-loaded cisplatin-prodrug-constructed liposomes to overcome tumor hypoxia for enhanced chemo-radiotherapy of cancer.

    Science.gov (United States)

    Zhang, Rui; Song, Xuejiao; Liang, Chao; Yi, Xuan; Song, Guosheng; Chao, Yu; Yang, Yu; Yang, Kai; Feng, Liangzhu; Liu, Zhuang

    2017-09-01

    Aiming at improved therapeutic efficacies, the combination of chemotherapy and radiotherapy (chemo-radiotherapy) has been widely studied and applied in clinic. However, the hostile characteristics of tumor microenvironment such as hypoxia often limit the efficacies in both types of cancer therapies. Herein, catalase (CAT), an antioxidant enzyme, is encapsulated inside liposomes constituted by cisplatin (IV)-prodrug-conjugated phospholipid, forming CAT@Pt (IV)-liposome for enhanced chemo-radiotherapy of cancer. After being loaded inside liposomes, CAT within CAT@Pt (IV)-liposome shows retained and well-protected enzyme activity, and is able to trigger decomposition of H 2 O 2 produced by tumor cells, so as to produce additional oxygen for hypoxia relief. As the result, treatment of CAT@Pt (IV)-liposome induces the highest level of DNA damage in cancer cells after X-ray radiation compared to the control groups. In vivo tumor treatment further demonstrates a remarkably improved therapeutic outcome in chemo-radiotherapy with such CAT@Pt (IV)-liposome nanoparticles. Hence, an exquisite type of liposome-based nanoparticles is developed in this work by integrating cisplatin-based chemotherapy and catalase-induced tumor hypoxia relief together for combined chemo-radiotherapy with great synergistic efficacy, promising for clinical translation in cancer treatment. Copyright © 2017. Published by Elsevier Ltd.

  8. Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements

    Directory of Open Access Journals (Sweden)

    Danuta Roszko

    2015-06-01

    Full Text Available Experimental Polish-Lithuanian Corpus with the Semantic Annotation Elements In the article the authors present the experimental Polish-Lithuanian corpus (ECorpPL-LT formed for the idea of Polish-Lithuanian theoretical contrastive studies, a Polish-Lithuanian electronic dictionary, and as help for a sworn translator. The semantic annotation being brought into ECorpPL-LT is extremely useful in Polish-Lithuanian contrastive studies, and also proves helpful in translation work.

  9. Rhodium-catalyzed Chemo- and Regioselective Cross-dimerization of Two Terminal Alkynes

    Science.gov (United States)

    Xu, Hua-Dong; Zhang, Ren-Wei; Li, Xiaoxun; Huang, Suyu; Tang, Weiping; Hu, Wen-Hao

    2013-01-01

    Cross-dimerization of terminal arylacetylenes and terminal propargylic alcohols/amides has been achieved in the effect of a rhodium catalyst. This method features high chemo- and regioselectivities rendering convenient and atom economical access to functionalized enynes. PMID:23356993

  10. Manipulating mammalian cell morphologies using chemical-mechanical polished integrated circuit chips

    Science.gov (United States)

    Moussa, Hassan I.; Logan, Megan; Siow, Geoffrey C.; Phann, Darron L.; Rao, Zheng; Aucoin, Marc G.; Tsui, Ting Y.

    2017-12-01

    Tungsten chemical-mechanical polished integrated circuits were used to study the alignment and immobilization of mammalian (Vero) cells. These devices consist of blanket silicon oxide thin films embedded with micro- and nano-meter scale tungsten metal line structures on the surface. The final surfaces are extremely flat and smooth across the entire substrate, with a roughness in the order of nanometers. Vero cells were deposited on the surface and allowed to adhere. Microscopy examinations revealed that cells have a strong preference to adhere to tungsten over silicon oxide surfaces with up to 99% of cells adhering to the tungsten portion of the surface. Cells self-aligned and elongated into long threads to maximize contact with isolated tungsten lines as thin as 180 nm. The orientation of the Vero cells showed sensitivity to the tungsten line geometric parameters, such as line width and spacing. Up to 93% of cells on 10 μm wide comb structures were aligned within ± 20° of the metal line axis. In contrast, only 22% of cells incubated on 0.18 μm comb patterned tungsten lines were oriented within the same angular interval. This phenomenon is explained using a simple model describing cellular geometry as a function of pattern width and spacing, which showed that cells will rearrange their morphology to maximize their contact to the embedded tungsten. Finally, it was discovered that the materials could be reused after cleaning the surfaces, while maintaining cell alignment capability.

  11. Photo(chemo)therapy in the management of atopic dermatitis: an updated systematic review with implications for practice and research

    NARCIS (Netherlands)

    Garritsen, F. M.; Brouwer, M. W. D.; Limpens, J.; Spuls, Ph I.

    2014-01-01

    Photo(chemo)therapy is a common treatment modality in patients with atopic dermatitis (AD), but evidence on its effectiveness has not been recently systematically reviewed. To evaluate the effect of treatment with photo(chemo)therapy in patients with AD and to make treatment recommendations on basis

  12. Analysis the complex interaction among flexible nanoparticles and materials surface in the mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Han Xuesong, E-mail: hanxuesongphd@yahoo.com.cn [School of Mechanical Engineering, Tianjin University, 300072 (China); Gan, Yong X. [Department of Mechanical, Industrial and Manufacturing Engineering, University of Toledo, OH 43606 (United States)

    2011-02-01

    Mechanical polishing (MP), being the important technique of realizing the surface planarization, has already been widely applied in the area of microelectronic manufacturing and computer manufacturing technology. The surface planarization in the MP is mainly realized by mechanical process which depended on the microdynamic behavior of nanoparticle. The complex multibody interaction among nanoparticles and materials surface is different from interaction in the macroscopic multibody system which makes the traditional classical materials machining theory cannot accurately uncover the mystery of the surface generation in the MP. Large-scale classical molecular dynamic (MD) simulation of interaction among nanoparticles and solid surface has been carried out to investigate the physical essence of surface planarization. The particles with small impact angle can generate more uniform global planarization surface but the materials removal rate is lower. The shear interaction between particle and substrate may induce large friction torque and lead to the rotation of particle. The translation plus rotation makes the nanoparticle behaved like micro-milling tool. The results show that the nanoparticles may aggregrate together and form larger cluster thus deteriorate surface the quality. This MD simulation results illuminate that the f inal planarized surface can only be acquired by synergic behavior of all particles using various means such as cutting, impacting, scratching, indentation and so on.

  13. Stress 'deafness' in a language with fixed word stress: an ERP study on Polish

    Directory of Open Access Journals (Sweden)

    Ulrike eDomahs

    2012-11-01

    Full Text Available The aim of the present contribution was to examine the factors influencing the prosodic processing in a language with predictable word stress. For Polish, a language with fixed penultimate stress but several well-defined exceptions, difficulties in the processing and representation of prosodic information have been reported (e.g., Peperkamp & Dupoux, 2002. The present study utilized event-related potentials (ERPs to investigate the factors influencing prosodic processing in Polish. These factors are i the predictability of stress and ii the prosodic structure in terms of metrical feet. Polish native speakers were presented with correctly and incorrectly stressed Polish words and instructed to judge the correctness of the perceived stress patterns. For each stress violation an early negativity was found which was interpreted as reflection of an error-detection mechanism, and in addition exceptional stress patterns (= antepenultimate stress and post-lexical (= initial stress evoked a task-related positivity effect (P300 whose amplitude and latency is correlated with the degree of anomaly and deviation from an expectation. Violations involving the default (= penultimate stress in contrast did not produce such an effect. This asymmetrical result is interpreted to reflect that Polish native speakers are less sensitive to the default pattern than to the exceptional or post-lexical patterns. Behavioral results are orthogonal to the electrophysiological results showing that Polish speakers had difficulties to reject any kind of stress violation. Thus, on a meta-linguistic level Polish speakers appeared to be stress-‘deaf’ for any kind of stress manipulation, whereas the neural reactions differentiate between the default and lexicalized patterns.

  14. Assessing operability of a novel polisher arrangement using MMS

    International Nuclear Information System (INIS)

    Shor, S.W.W.

    1987-01-01

    A condensate polisher is intended to remove both particulate matter and ionic material from the condensate. Condensate polishers have normally been placed directly in the condensate system downstream of the condensate pumps. This inline location has certain disadvantages. These disadvantages are discussed. Placing the polisher in a sidestream location, where water is removed from the condensate system, pumped through the polisher, and then returned to the condensate system provides a solution to these disadvantages. Several possible types of sidestream installations is described. This has a polisher taking unpolished condensate from one compartment from one compartment of a divided hotwell in a specially modified condenser and returning polished condensate to the other compartment. The polisher is supplied by its own dedicated pumps, which have a head requirement sufficient only to overcome the pressure drop through the polisher circuit at a flow rate of 110% of maximum condensate flow. This concept is very attractive but has not yet been tested even though it is being installed in several new units. A simulation was, therefore, performed using MMS to provide confidence that this particular sidestream polisher arrangement was operationally viable

  15. PENINGKATAN KEMAMPUAN CHEMO-ENTREPRENEURSHIP SISWA MELALUI PENERAPAN KONSEP KOLOID YANG BERORIENTASI LIFE SKILL

    Directory of Open Access Journals (Sweden)

    Wibi Tegar Lelono

    2016-01-01

    Full Text Available Penelitian ini bertujuan untuk mengetahui peningkatan kemampuan Chemoentrepreneurship siswa setelah mendapatkan penerapan konsep koloid yang berorientasi Life Skill. Penelitian ini menggunakan Pretest and Posttest Design dengan pengambilan sampel menggunakan teknik Cluster Random Sampling, yakni kelas XI IPA 5 sebagai kelas eksperimen dan kelas XI IPA 6 sebagai kelas kontrol. Penelitian ini dibagi menjadi 3 tahap yaitu persiapan, pelaksanaan, dan tahap akhir atau produk. Untuk kelas eksperimen, produk yang dibuat diserahkan sepenuhnya kepada siswa, sedangkan kelas kontrol telah ditentukan oleh guru. Untuk nilai akhir siswa adalah rerata dari nilai posttest, afektif, psikomotor, dan produk. Berdasarkan hasil penelitian didapatkan rerata pretest kelas eksperimen adalah 37,24 dan kelas kontrol 35,03 sedangkan nilai akhir kelas ekperimen adalah 83,25 dan kelas kontrol 80,75. Pengaruh variabel bebas terhadap variabel kontrol sebesar 0,517 dikategorikan sedang. Peningkatan kemampuan chemo-entrepreneurship kelas eksperimen sebesar 57% sedangkan kelas kontrol sebesar 54%. Uji t nilai akhir mendapatkan hasil kemampuan chemoentrepreneurship kelas eksperimen lebih baik daripada kelas kontrol. Simpulan dari penelitian ini adalah penerapan konsep koloid yang berorientasi Life skill dapat meningkatkan kemampuan Cheom-entrepreneurship siswa, dan kemampuan Chemo-entrepreneurship kelas eksperimen meningkat sebesar 57% sedangkan kelas kontrol sebesar 54%. This study aims to determine the increase in the ability of Chemo-entrepreneurship students after getting the application of the concept of colloid, which is oriented Life Skill. This study used pretest and posttest design with a sampling technique using cluster random sampling, the grade XI science as an experimental class 5 and class 6 as a class XI science of control. This study is divided into three stages: preparation, execution, and the final stage or products. For the experimental class, products made

  16. Laser polishing of additive manufactured Ti alloys

    Science.gov (United States)

    Ma, C. P.; Guan, Y. C.; Zhou, W.

    2017-06-01

    Laser-based additive manufacturing has attracted much attention as a promising 3D printing method for metallic components in recent years. However, surface roughness of additive manufactured components has been considered as a challenge to achieve high performance. In this work, we demonstrate the capability of fiber laser in polishing rough surface of additive manufactured Ti-based alloys as Ti-6Al-4V and TC11. Both as-received surface and laser-polished surfaces as well as cross-section subsurfaces were analyzed carefully by White-Light Interference, Confocal Microscope, Focus Ion Beam, Scanning Electron Microscopy, Energy Dispersive Spectrometer, and X-ray Diffraction. Results revealed that as-received Ti-based alloys with surface roughness more than 5 μm could be reduce to less than 1 μm through laser polishing process. Moreover, microstructure, microhardness and wear resistance of laser-polished zone was investigated in order to examine the thermal effect of laser polishing processing on the substrate of additive manufactured Ti alloys. This proof-of-concept process has the potential to effectively improve the surface roughness of additive manufactured metallic alloy by local polishing method without damage to the substrate.

  17. Mécanisme de l'usure par polissage des cylindres de moteurs diesel Bore Polishing Wear Mechanism in Diesel Engine Cylinders

    Directory of Open Access Journals (Sweden)

    Fayard J. C.

    2006-11-01

    particulier, l'usure des segments ainsi que les débits de gaz de soufflage, sont plus faibles qu'avec une chemise normale. Enfin, le mécanisme de l'usure par polissage par abrasion douce à deux et à trois corps est parfaitement confirmé par une exploration micrographique et une microanalyse des surfaces polies. A fast and economical method for evaluating lubricants and fuels in relation to the bore polishing wear of super charged diesel-engine cylinders has been developed using a single-cylinder laboratory engine within the framework of an Société Nationale Elf Aquitaine - Institut Français du Pétrole (SNEA-lFP research agreement. This method bears the reference IFP-UP-4/80.It also serves to evaluate the deposit-forming and sticking tendency, ring wear and the oil-consumption tendency of lubricants. It is in good correlation with the CEC-Ford Tornado test and makes an excellent discrimination between the Coordinating European Council (CEC reference cils RL 47 and RL 48. The method is used for investigating the bore polishingwear mechanism by searching for the influence exerted by the principal parameters: (a Composition of the lubricant: the phenomenon is influenced by the base oil and its viscosity, by the amount of polymers improving the viscosity index and especially by the choice of detergent additives. As a first approximation and for homogeneous oil familles, polishing wear increases when the thermal stability of the oil decreases. (b Engine running: polishing increases very fart with the engine load after a certain threshold, and its evolution in time as observed by endoscopic rating shows a characteristic S shape as polishing begins on the thrust side and at the top of the cylinder. (c Composition of the fuel: the increase in the sulfur content of diesel fuel considerably decreases polishing wear caused by an oil reputed to be poorfrom this standpoint but has no effect on a goodoil. (d Surface finish of the cylinder: prepolishing the liner by extremely fine

  18. Radio(chemo)therapy for locally advanced squamous cell carcinoma of the esophagus. Long-term outcome

    Energy Technology Data Exchange (ETDEWEB)

    Ordu, Arif Deniz; Deymann, Lisa Felicia; Scherer, Vera; Combs, Stephanie E. [Technische Universitaet Muenchen, Department of Radiation Oncology, Klinikum rechts der Isar, Muenchen (Germany); Nieder, Carsten [University of Tromsoe, Department of Oncology and Palliative Medicine, Nordland Hospital Trust, Bodoe (Norway); Institute of Clinical Medicine, Faculty of Health Sciences, Tromsoe (Norway); Geinitz, Hans [Technische Universitaet Muenchen, Department of Radiation Oncology, Klinikum rechts der Isar, Muenchen (Germany); Krankenhaus der Barmherzigen Schwestern Linz, Department of Radiation Oncology, Linz (Austria); Kup, Philipp Guenther [Marien Hospital Herne, Universitaetsklinikum der Ruhr-Universitaet Bochum, Department of Radiation Oncology, Herne (Germany); Fakhrian, Khashayar [Technische Universitaet Muenchen, Department of Radiation Oncology, Klinikum rechts der Isar, Muenchen (Germany); Marien Hospital Herne, Universitaetsklinikum der Ruhr-Universitaet Bochum, Department of Radiation Oncology, Herne (Germany); Universitaetsklinikum der Ruhr-Universitaet Bochum, Department of Radiation Oncology, Sankt Josef Hospital Bochum, Bochum (Germany)

    2014-11-18

    The purpose of this work is to report the long-term outcomes of three-dimensional conformal radio(chemo)therapy in the curative management of esophageal squamous cell carcinoma (ESCC). A retrospective analysis of patients treated with radio(chemo)therapy between 1988 and 2011 at Klinikum rechts der Isar, Technische Universitaet Muenchen was performed. In all, 168 patients received radio(chemo)therapy for ESCC in curative intention. The median follow-up time was 91 months (range 1-212 months). There were 128 men and 40 women with a median age of 63 years. Selection criteria for radio(chemo)therapy were unfit for surgery and/or unresectable primary tumor (n = 146, 87 %) or patients' choice (n = 22, 13 %). The majority of the patients received a combination of cisplatin and 5-fluorouracil chemotherapy with 54 Gy in 30 fractions of radiotherapy. The median overall survival (OS) was 20 months (95 % confidence interval 17-23 months). The OS at 2 and 5 years for the whole cohort was 41 ± 4 % and 22 ± 3 %, respectively. Forty patients (24 %) suffered an in-field recurrence. The most common acute nonhematologic toxicity >grade 2 was dysphagia in 35 % of the patients. Acute hematologic toxicity > grade 2 was recorded in 14 % of the patients. There was no grade 5 toxicity observed during the study. Poor ECOG performance status (0-1 vs. 2-3, HR = 1.70, p = 0.002) and weight loss ≥ 10 % before the start of therapy (HR = 1.99, p = 0.001) were among the factors significantly associated with poor OS in multivariate analysis. Three-dimensional conformal definitive radio(chemo)therapy is well tolerated and leads to long-term survival in more than 20 % of patients with advanced disease and/or contraindication to surgery. However, 24 % in-field recurrence remains a major concern. Prospective trials are warranted to assess if a well-tailored conformal radiochemotherapy can improve the local control and obviate the need for surgical resection in patients with good general

  19. Transcatheter lipiodol chemo-embolization of the inferior phrenic artery in hepatocellular carcinoma

    International Nuclear Information System (INIS)

    Chen Fanghong; Luo Zuyan; Yuan Jianhua; Yu Wenqiang; Cai Xuexiang; Hu Tingyang; Liu Zijiang

    2002-01-01

    Objective: To evaluate the efficacy of transcatheter lipiodol chemo-embolization therapy (TOCE) for HCC via inferior phrenic artery (IPA) and to analyse the location of the tumor feeding inferior phrenic artery. Methods: Twenty-five cases of HCC underwent the procedure of TOCE via the IPA, as well as the hepatic artery using Seldinger's method. The patterns of tumor growth included huge type in 12 cases, solitary nodular type in 8 cases and multiple nodular type in 5 cases. Hepatic artery and inferior phrenic artery chemo-embolization were performed in all cases. Results: Inferior phrenic artery originated from celiac trunk in 16 cases (64%); abdomen aorta around celiac trunk in 8(32%). The site-sort tumors supplied by IPA in right lobe (VII, VIII segment) were 23 cases and left lobe (IV segment) 2 cases. The cumulative survival rates of IPA chemo-embolization for hepatocellular carcinoma were 84%(1 year) and 68%(2 years). No severe complications occurred. Conclusions: TOCE of the IPA is a safe and effective method in the management of HCC supplied by IPA. When the tumor site is adjacent to diaphragm, hepatic ligaments or bare area, may arouse the blood supply by IPA, especially in no tumor staining or staining defect in hepatic artery angiography but tumor enhancement on CT, and increase of the level of serum α-fetoprotein

  20. Chemo-elastic modeling of invasive carcinoma development accompanied by oncogenic epithelial-mesenchymal transition

    Science.gov (United States)

    Bratsun, D. A.; Krasnyakov, I. V.; Pismen, L.

    2017-09-01

    We present a further development of a multiscale chemo-mechanical model of carcinoma growth in the epithelium tissue proposed earlier. The epithelium is represented by an elastic 2D array of polygonal cells, each with its own gene regulation dynamics. The model allows the simulation of evolution of multiple cells interacting via the chemical signaling or mechanically induced strain. The algorithm takes into account the division and intercalation of cells. The latter is most important since, first of all, carcinoma cells lose cell-cell adhesion and polarity via the oncogenic variant of the epithelial-mesenchymal transition (EMT) at which cells gain migratory and invasive properties. This process is mediated by E-cadherin repression and requires the differentiation of tumor cells with respect to the edge of the tumor that means that front cells should be most mobile. Taking into account this suggestion, we present the results of simulations demonstrating different patterns of carcinoma invasion. The comparison of our results with recent experimental observations is given and discussed.

  1. Microbial biofilms for the removal of Cu²⁺ from CMP wastewater.

    Science.gov (United States)

    Mosier, Aaron P; Behnke, Jason; Jin, Eileen T; Cady, Nathaniel C

    2015-09-01

    The modern semiconductor industry relies heavily on a process known as chemical mechanical planarization, which uses physical and chemical processes to remove excess material from the surface of silicon wafers during microchip fabrication. This process results in large volumes of wastewater containing dissolved metals including copper (Cu(2+)), which must then be filtered and treated before release into municipal waste systems. We have investigated the potential use of bacterial and fungal biomass as an alternative to the currently used ion-exchange resins for the adsorption of dissolved Cu(2+) from high-throughput industrial waste streams. A library of candidate microorganisms, including Lactobacillus casei and Pichia pastoris, was screened for ability to bind Cu(2+) from solution and to form static biofilm communities within packed-bed adsorption columns. The binding efficiency of these biomass-based adsorption columns was assessed under various flow conditions and compared to that of industrially used ion-exchange resins. We demonstrated the potential to regenerate the biomass within the adsorption columns through the use of a hydrochloric acid wash, and subsequently reuse the columns for additional copper binding. While the binding efficiency and capacity of the developed L. casei/P. pastoris biomass filters was inferior to ion-exchange resin, the potential for repeated reuse of these filters, coupled with the advantages of a more sustainable "green" adsorption process, make this technique an attractive candidate for use in industrial-scale CMP wastewater treatment. Copyright © 2015 Elsevier Ltd. All rights reserved.

  2. Sufficient conditions for Hadamard well-posedness of a coupled thermo-chemo-poroelastic system

    Directory of Open Access Journals (Sweden)

    Tetyana Malysheva

    2016-01-01

    Full Text Available This article addresses the well-posedness of a coupled parabolic-elliptic system modeling fully coupled thermal, chemical, hydraulic, and mechanical processes in porous formations that impact drilling and borehole stability. The underlying thermo-chemo-poroelastic model is a system of time-dependent parabolic equations describing thermal, solute, and fluid diffusions coupled with Navier-type elliptic equations that attempt to capture the elastic behavior of rock around a borehole. An existence and uniqueness theory for a corresponding initial-boundary value problem is an open problem in the field. We give sufficient conditions for the well-posedness in the sense of Hadamard of a weak solution to a fully coupled parabolic-elliptic initial-boundary value problem describing homogeneous and isotropic media.

  3. Evaluation of planarization performance for a novel alkaline copper slurry under a low abrasive concentration

    International Nuclear Information System (INIS)

    Jiang Mengting; Liu Yuling; Yuan Haobo; Chen Guodong; Liu Weijuan

    2014-01-01

    A novel alkaline copper slurry that possesses a relatively high planarization performance is investigated under a low abrasive concentration. Based on the action mechanism of CMP, the feasibility of using one type of slurry in copper bulk elimination process and residual copper elimination process, with different process parameters, was analyzed. In addition, we investigated the regular change of abrasive concentration effect on copper and tantalum removal rate and within wafer non-uniformity (WIWNU) in CMP process. When the abrasive concentration is 3 wt%, in bulk elimination process, the copper removal rate achieves 6125 Å/min, while WIWNU is 3.5%, simultaneously. In residual copper elimination process, the copper removal rate is approximately 2700 Å/min, while WIWNU is 2.8%. Nevertheless, the tantalum removal rate is 0 Å/min, which indicates that barrier layer isn't eliminated in residual copper elimination process. The planarization experimental results show that an excellent planarization performance is obtained with a relatively high copper removal rate in bulk elimination process. Meanwhile, after residual copper elimination process, the dishing value increased inconspicuously, in a controllable range, and the wafer surface roughness is only 0.326 nm (sq < 1 nm) after polishing. By comparison, the planarization performance and surface quality of alkaline slurry show almost no major differences with two kinds of commercial acid slurries after polishing. All experimental results are conducive to research and improvement of alkaline slurry in the future. (semiconductor technology)

  4. SR-1000 radiofrequency chemo-hyperthermia for recurrent and metastatic peritoneo-pelvic malignant tumors

    International Nuclear Information System (INIS)

    Luo Jingwei; Xiong Jinghong; Xu Guozhen; Yu Zihao; Li Yexiong; Yin Weibo

    2002-01-01

    Objective: To evaluate the efficacy and tolerance of intraperitoneal chemo-hyperthermia (IPCH) with SR-1000 radiofrequency (RF) for recurrent or metastatic peritoneo-pelvic malignant tumors. Methods: Twenty-one patients with recurrent or metastatic peritoneo-pelvic malignant tumors received chemo-hyperthermia, with 9 having local pain and 14 having ascites. The Karnofsky scores were 40-80. After abdominal cavity aspiration and infusion of hot NS and chemotherapeutic agents, the temperature of abdominal cavity was increased and maintained at 40.5-42.5 degree C for 60-90 minutes with SR-1000 RF. Hyperthermia was given twice per week and chemotherapy once per week, with the whole treatment lasting for 2-4 weeks. The commonly used drugs were DDP, MMC, 5-FU and so on. Results: Local pain was relieved in 8 of 9 patients, complete disappearance of ascites in 10 of 14. The common side-effects were fat necrosis (14.3%) and abdominal pain (24.8%). Conclusions: Intraperitoneal chemo-hyperthermia with SR-1000 RF appears to be a promising new approach for patients with recurrent or metastatic peritoneo-pelvic malignant tumors, especially for those who did not response to systemic chemotherapy or whose tumor recurred after chemotherapy. As to bulky lesions, local supplementary radiotherapy should be given in order to obtain better local control

  5. Influence of Interferon-Alpha Combined with Chemo (Radio Therapy on Immunological Parameters in Pancreatic Adenocarcinoma

    Directory of Open Access Journals (Sweden)

    Svetlana Karakhanova

    2014-03-01

    Full Text Available Prognosis of patients with carcinoma of the exocrine pancreas is particularly poor. A combination of chemotherapy with immunotherapy could be an option for treatment of pancreatic cancer. The aim of this study was to perform an immunomonitoring of 17 patients with pancreatic cancer from the CapRI-2 study, and tumor-bearing mice treated with combination of chemo (radio therapies with interferon-2α. Low doses of interferon-2α led to a decrease in total leukocyte and an increase in monocyte counts. Furthermore, we observed a positive effect of interferon-2α therapy on the dendritic cells and NK (natural killer cell activation immediately after the first injection. In addition, we recorded an increased amount of interferon-γ and IL-10 in the serum following the interferon-2α therapy. These data clearly demonstrate that pancreatic carcinoma patients also show an immunomodulatory response to interferon-2α therapy. Analysis of immunosuppressive cells in the Panc02 orthotopic mouse model of pancreatic cancer revealed an accumulation of the myeloid-derived suppressor cells in spleens and tumors of the mice treated with interferon-2α and 5-fluorouracil. The direct effect of the drugs on myeloid-derived suppressor cells was also registered in vitro. These data expose the importance of immunosuppressive mechanisms induced by combined chemo-immunotherapy.

  6. Dysphagia and trismus after concomitant chemo-Intensity-Modulated Radiation Therapy (chemo-IMRT) in advanced head and neck cancer; dose-effect relationships for swallowing and mastication structures

    NARCIS (Netherlands)

    van der Molen, Lisette; Heemsbergen, Wilma D.; de Jong, Rianne; van Rossum, Maya A.; Smeele, Ludi E.; Rasch, Coen R. N.; Hilgers, Frans J. M.

    2013-01-01

    Prospective assessment of dysphagia and trismus in chemo-IMRT head and neck cancer patients in relation to dose-parameters of structures involved in swallowing and mastication. Assessment of 55 patients before, 10-weeks (N=49) and 1-year post-treatment (N=37). Calculation of dose-volume parameters

  7. Dysphagia and trismus after concomitant chemo-Intensity-Modulated Radiation Therapy (chemo-IMRT) in advanced head and neck cancer; dose-effect relationships for swallowing and mastication structures

    NARCIS (Netherlands)

    van der Molen, L.; Heemsbergen, W.D.; de Jong, R.; van Rossum, M.A.; Smeele, L.E.; Rasch, C.R.N.; Hilgers, F.J.M.

    2013-01-01

    Background and purpose: Prospective assessment of dysphagia and trismus in chemo-IMRT head and neck cancer patients in relation to dose-parameters of structures involved in swallowing and mastication. Material and methods: Assessment of 55 patients before, 10-weeks (N=49) and 1-year post-treatment

  8. Food additives used in meat processing according to the Polish and European Union legislation.

    Science.gov (United States)

    Uradziński, J; Weiner, M

    2003-01-01

    This paper presents the legal regulations related to the use of food additives in meat production in Poland and the European Union. The Polish legal definition of food additives is given as well as the classification of permitted food additives added to food and stimulants by their technological function. In addition, a definition of processing aids in the food industry is included. It shows that Polish legislation includes food additives used to ensure or improve food nutritional value, whereas in the EU legislation, these substances are not included in the list of food additives. Moreover, the Council Directives include food additive specific purity criteria, whereas the Polish regulations do not mention the legal regulations of this issue in practice. The European Union use mechanisms and procedures for the introduction of new food additives into internal markets as well as controlling the circulation of additives. The Polish legislation in practice, however, does not determine approval or methods for the introduction of new food additives to the market. Legal regulations on the monitoring of food additives no exist.

  9. Technological Advances of Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Grønbæk, Jens

    The efficient polishing of surfaces is very important in mould and die industry. Fine abrasive processes are widely used in industry for the first steps for the production of tools of high quality in terms of finishing accuracy, form and surface integrity. While manufacturing of most components....... In this study, the influence of polishing parameters and type of polishing media on fine abrasive surface finishing is investigated. Experimental study is covering 2D rotational surfaces that is widespread used in mould and dies industry. Application of it is essential for process intelligent control, condition...... monitoring and quality inspection....

  10. Stress-induced light scattering method for the detection of latent flaws on fine polished glass substrates.

    Science.gov (United States)

    Sakata, Y; Sakai, K; Nonaka, K

    2014-08-01

    Fine polishing techniques, such as the chemical mechanical polishing treatment, are one of the most important technique to glass substrate manufacturing. Mechanical interaction in the form of friction occurs between the abrasive and the substrate surface during polishing, which may cause formation of latent flaws on the glass substrate surface. Fine polishing-induced latent flaws may become obvious during a subsequent cleaning process if glass surfaces are corroded away by chemical interaction with the cleaning liquid. Latent flaws thus reduce product yield. In general, non-destructive inspection techniques, such as the light-scattering methods, used to detect foreign matters on the glass substrate surface. However, it is difficult to detect latent flaws by these methods because the flaws remain closed. Authors propose a novel inspection technique for fine polishing-induced latent flaws by combining the light scattering method with stress effects, referred to as the stress-induced light scattering method (SILSM). SILSM is able to distinguish between latent flaws and particles on the surface. In this method, samples are deformed by an actuator and stress effects are induced around the tips of latent flaws. Due to the photoelastic effect, the refractive index of the material around the tip of a latent flaw is changed. This changed refractive index is in turn detected by a cooled charge-coupled device camera as variations in light scattering intensity. In this report, surface latent flaws are detected non-destructively by applying SILSM to glass substrates, and the utility of SILSM evaluated as a novel inspection technique.

  11. The Polish Mother on the defensive? The transformation of the myth and its impact on the motherhood of Polish women

    Directory of Open Access Journals (Sweden)

    Agnieszka Imbierowicz

    2012-06-01

    Full Text Available The subject of this presentation is the attempt to define and to present the origins, socio-cultural content and the evolution of The Polish Mother myth, present in the polish national consciousness. The author tries to show how this myth was born, what functions it fulfilled and what forms it took in the changing historical and social reality, from the moment of loss of independence, through a period of real socialism, until the present day. The impact of this myth in the lives of real women and their motherhood is taken into consideration. Then, the author comparing the results of the latest polish sociological researches on the family and its transformation, and transformation of value systems together with theories about the specifics of life in the period of postmodernity, wonders whether it’s time to deconstruct the myth of The Polish Mother, because it does not fit the conditions of today’s world, which is characterized, above all, by the apotheosis of individuality, self-realization and freedom, or perhaps in polish society there is still strong traditionalism in thinking about motherhood, and the myth of The Polish Mother is still alive?

  12. Effect of polishing instruments and polishing regimens on surface topography and phase transformation of monolithic zirconia: An evaluation with XPS and XRD analysis.

    Science.gov (United States)

    Al-Haj Husain, Nadin; Camilleri, Josette; Özcan, Mutlu

    2016-12-01

    Polishing procedures might alter monolithic zirconia (MZ) surface resulting in phase changes that can be deleterious for clinical performance and antagonist tooth wear. This study investigated the topographical features and phase transformation in MZ after polishing with different regimens simulating the clinical workflow. ​ MZ specimens (Katana Zirconia HT, Kuraray-Noritake) (12×12×1.8 mm(3)) were grinded and polished using one of the five systems assessed: BG: Silicone carbide polishers (Brownie, Greenie, Super Greenie); CG: Diamond impregnated ceramic polisher kit (Ceragloss); EV: Synthetically bonded grinder interspersed with diamond (EVE Kit); SL: Urethane coated paper with aluminium oxide grits (Soflex Finishing and Polishing System Kit) and DB: Diamond bur (8 µm). Polished specimens were initially roughened with 220 µm diamond burs (Grinding Bur-GB) (10 s, 160.000160,000 rpm) and considered for baseline measurements. Polishing regimens were performed for 10 s using a slow-speed hand piece under water-cooling except for SL, in a custom made device (750 g; 5000 and 75,000 rpm). Surface roughnesses, phase changes (XRD) were assessed, surface characterization was performed (SEM, EDS). The highest roughness was obtained with the EV system (1.11 µm) compared to those of other systems (0.13-0.4 µm) (pθ and minor peak at 34.94°2θ. While GB, CG, EV, SL and DB exhibited a peak shift to the left, BG demonstrated a right peak shift on the 2θ scale. Monoclinic phase change was not noted in any of the groups. All polishing methods, except BG, exhibited a peak shift towards the lower angles of the 2-theta scale. Since the peak shifts were in the order of fractions of an angle they are attributed to stress formation rather than a phase change in the material. Thus, all polishing systems tested may not be detrimental for the phase transformation of MZ. EV system resulted in the highest roughness and none of the polishing regimens restored the polishability to the

  13. Anatomical changes in the pharyngeal constrictors after chemo-irradiation of head and neck cancer and their dose-effect relationships: MRI-based study

    International Nuclear Information System (INIS)

    Popovtzer, Aron; Cao Yue; Feng, Felix Y.; Eisbruch, Avraham

    2009-01-01

    Purpose: Dysfunction of pharyngeal constrictors (PCs) after chemo-irradiation of head and neck (HN) cancer has been proposed as major cause of dysphagia. We conducted prospective MRI study to evaluate anatomical changes in the PCs after chemo-irradiation, to gain insight of the mechanism of their dysfunction and their dose-effect relationships. The PCs were compared to the sternocleidomastoid muscles (SCMs), which receive high doses but do not relate to swallowing. Patients and methods: Twelve patients with stage III-IV HN cancer underwent MRI before and 3 months after completing chemo-irradiation. T1- and T2-weighted signals and muscle thickness were evaluated for PCs (superior, middle, and inferior), and SCMs. Mean muscle doses were determined after registration with the planning CT. Results: T1-weighted signals decreased in both PCs and SCMs receiving >50 Gy (p 2 = 0.34, p = 0.01). The T2 signal changes in the PCs were significantly higher than the T2 changes in the SCMs (p 50 Gy gaining significantly more thickness than PCs receiving lesser doses (p = 0.02). In contrast, the SCM thickness decreased post-therapy (p = 0.002). Conclusions: These MRI-based findings, notably the differences between PCs and SCMs, suggest that underlying causes of PC dysfunction are inflammation and edema, likely consequential to acute mucositis affecting the submucosa-lying PCs. These results support reducing mean PC doses to ≤50 Gy, as well as reducing acute mucositis, to improve long-term dysphagia.

  14. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz

    2005-01-01

    The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined. Krzysztof Konecki

  15. Sensing roughness and polish direction

    DEFF Research Database (Denmark)

    Jakobsen, Michael Linde; Olesen, Anders Sig; Larsen, Henning Engelbrecht

    2016-01-01

    As a part of the work carried out in a project supported by the Danish Council for Technology and Innovation, we have investigated the option of smoothing standard CNC-machined surfaces. In the process of constructing optical prototypes, involving custom-designed optics, the development cost...... and time consumption can become prohibitive in a research budget. Machining the optical surfaces directly is expensive and time consuming. Alternatively, a more standardized and cheaper machining method can be used, calling for the object to be manually polished. During the polishing process, the operator...... needs information about the RMS-value of the surface roughness and the current direction of the scratches introduced by the polishing process. The RMS-value indicates to the operator how far he is from the final finish, and the scratch orientation is often specified by the customer in order to avoid...

  16. Nutlin-3a and Cytokine Co-loaded Spermine-Modified Acetalated Dextran Nanoparticles for Cancer Chemo-Immunotherapy

    DEFF Research Database (Denmark)

    Bauleth-Ramos, Tomás; Shahbazi, Mohammad-Ali; Liu, Dongfei

    2017-01-01

    The combination of chemo- and immunotherapy represents one promising strategy to overcome the existent challenges in the present-day anticancer therapy. Here, spermine-modified acetalated dextran nanoparticles (Sp-AcDEX NPs), co-loaded with the non-genotoxic molecule Nutlin-3a (Nut3a), and the cy......The combination of chemo- and immunotherapy represents one promising strategy to overcome the existent challenges in the present-day anticancer therapy. Here, spermine-modified acetalated dextran nanoparticles (Sp-AcDEX NPs), co-loaded with the non-genotoxic molecule Nutlin-3a (Nut3a...

  17. chemo-Hydro-mechanical modelling of in-situ disposal of a bituminized radioactive waste in boom clay

    International Nuclear Information System (INIS)

    Mokni, N.; Olivella, S.; Valcke, E.; Marien, A.; Smets, S.; Li, X.; Sillen, X.

    2012-01-01

    Document available in extended abstract form only. The current reference solution of the Belgian Agency for the Management of Radioactive Waste and Fissile Materials (ONDRAF/NIRAS) envisages underground disposal of Eurobitum Bituminized radioactive Waste (BW) in a geologically stable clay formation. In Belgium, the Boom Clay, which is a 30 to 35 million years old and ∼100 m thick marine sediment is being studied as a potential host formation because of its favorable properties to limit and delay the migration of the leached radionuclides to the biosphere over extended periods of time. The current disposal concept foresees that several drums (220 litres) of Eurobitum would be grouped in thick-walled cement-based secondary containers, which in turn would be placed in concrete-lined disposal galleries that are excavated at mid-depth in the clay layer. Only 80-90 % of the total volume of the drum is filled with Eurobitum.The remaining voids between the containers would be backfilled with a cement-based material. The interaction between the BW and the host clay formation is a very complicated chemo-hydro-mechanical process and depends not only on the hydro-mechanical behaviour of the Boom Clay itself, but also on that of the BW. In fact, the osmosis-induced uptake of water by the dehydrated hygroscopic salts embedded in the waste induces a geo-mechanical perturbation of the host formation, caused by the swelling and the increase of the pressure in and around the waste. The objectives of the Chemo-Hydro-Chemical (CHM) analysis presented in this work are (i) to get insights on the kinetics of water uptake by BW, dissolution of the embedded NaNO 3 crystals, solute leaching, and maximum generated pressure under disposal conditions and (ii) to study the stress redistribution due to the recompression of the clay around a gallery caused by the swelling pressure of the bitumen and the admissible swelling pressure for Boom clay. Firstly, a CHM formulation of chemically and

  18. Effects of polishing procedures on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2009-04-01

    Full Text Available The purpose of this study was to investigate the effect of different polishing methods on color stability of posterior, universal and nanohybrid composite resin restorative materials upon exposure to a staining agent. Twenty-five specimens were prepared for each of 5 different composite resins (Filtek Z250, Filtek P60, Quadrant LC, Grandio and Filtek Supreme. Specimens were divided into 5 groups and different polishing procedures, including polishing discs (Pd, polishing discs then diamond polishing paste (PdP, polishing discs then a liquid polishing system (Biscover (PdB, and combinations of these (PdPB were used. Unpolished specimens served as the control (C. The specimens were stored for 48 h in a coffee solution. The color of all specimens was measured before and after exposure with a colorimeter, and total color change (DE* were calculated. The data were analyzed with a two-way ANOVA and the means were compared by Tukey HSD test (a=0.05. The lowest color difference was observed in the groups PdP and C, while the highest color difference was observed in PdPB, and PdB. When comparing the five different restorative materials, no significant difference was observed between FiltekP60 and FiltekZ250, and these materials demonstrated significantly less color change than Quadrant LC and the nanohybrid materials (Grandio, Filtek Supreme. The posterior (Filtek P60 and universal (Filtek Z250 composite resin restorative materials, which do not contain tetraethyleneglycol dimethacrylate (TEGDMA, were found to be less stainable than the nanohybrid (Grandio, Filtek Supreme and universal (Quadrant LC composite resins, which contain TEGDMA. The use of diamond polishing paste after polishing with polishing discs significantly decreased staining when compared to the groups that used polishing discs alone, for all restorative materials tested. The highest color change values were obtained for the specimens that were polished with the Biscover liquid polish

  19. Polish Semantic Parser

    Directory of Open Access Journals (Sweden)

    Agnieszka Grudzinska

    2000-01-01

    Full Text Available Amount of information transferred by computers grows very rapidly thus outgrowing the average man's capability of reception. It implies computer programs increase in the demand for which would be able to perform an introductory classitication or even selection of information directed to a particular receiver. Due to the complexity of the problem, we restricted it to understanding short newspaper notes. Among many conceptions formulated so far, the conceptual dependency worked out by Roger Schank has been chosen. It is a formal language of description of the semantics of pronouncement integrated with a text understanding algorithm. Substantial part of each text transformation system is a semantic parser of the Polish language. It is a module, which as the first and the only one has an access to the text in the Polish language. lt plays the role of an element, which finds relations between words of the Polish language and the formal registration. It translates sentences written in the language used by people into the language theory. The presented structure of knowledge units and the shape of understanding process algorithms are universal by virtue of the theory. On the other hand the defined knowledge units and the rules used in the algorithms ure only examples because they are constructed in order to understand short newspaper notes.

  20. Microbiological flora and nail polish: a brief report.

    Science.gov (United States)

    Rayan, G M; Flournoy, D J; Schlageter, P

    1994-11-01

    Removing nail polish and prosthetic nails from operating room (OR) personnel prior to scrubbing and from patients prior to hand surgery is recommended but not practiced in many hospitals. There is concern that nail polish can act as a vehicle for the transfer of infectious agents. This study was designed to determine the incidence of microbiological flora of nail polish in a clinical setting.

  1. Smoking characteristics of Polish immigrants in Dublin.

    LENUS (Irish Health Repository)

    Kabir, Zubair

    2008-01-01

    BACKGROUND: This study examined two main hypotheses: a) Polish immigrants\\' smoking estimates are greater than their Irish counterparts (b) Polish immigrants purchasing cigarettes from Poland smoke "heavier" (>\\/= 20 cigarettes a day) when compared to those purchasing cigarettes from Ireland. The study also set out to identify significant predictors of \\'current\\' smoking (some days and everyday) among the Polish immigrants. METHODS: Dublin residents of Polish origin (n = 1,545) completed a previously validated Polish questionnaire in response to an advertisement in a local Polish lifestyle magazine over 5 weekends (July-August, 2007). The Office of Tobacco Control telephone-based monthly survey data were analyzed for the Irish population in Dublin for the same period (n = 484). RESULTS: Age-sex adjusted smoking estimates were: 47.6% (95% Confidence Interval [CI]: 47.3%; 48.0%) among the Poles and 27.8% (95% CI: 27.2%; 28.4%) among the general Irish population (p < 0.001). Of the 57% of smokers (n = 345\\/606) who purchased cigarettes solely from Poland and the 33% (n = 198\\/606) who purchased only from Ireland, 42.6% (n = 147\\/345) and 41.4% (n = 82\\/198) were "heavy" smokers, respectively (p = 0.79). Employment (Odds Ratio [OR]: 2.89; 95% CI: 1.25-6.69), lower education (OR: 3.76; 95%CI: 2.46-5.74), and a longer stay in Ireland (>24 months) were significant predictors of current smoking among the Poles. An objective validation of the self-reported smoking history of a randomly selected sub-sample immigrant group, using expired carbon monoxide (CO) measurements, showed a highly significant correlation coefficient (r = 0.64) of expired CO levels with the reported number of cigarettes consumed (p < 0.0001). CONCLUSION: Polish immigrants\\' smoking estimates are higher than their Irish counterparts, and particularly if employed, with only primary-level education, and are overseas >2 years.

  2. Development of an in vitro chemo-radiation response assay for cervical carcinoma.

    Science.gov (United States)

    Monk, Bradley J; Burger, Robert A; Parker, Ricardo; Radany, Eric H; Redpath, Leslie; Fruehauf, John P

    2002-11-01

    To determine if synergistic effects of radiation (RT) and chemotherapy (chemo) on human cervical carcinoma cell lines and fresh tumor explants could be determined using an in vitro assay. In vitro radiation response was determined for 4 cell lines and 26 fresh tumor explants in an agar-based assay. Cells were exposed to increasing doses of RT with or without cisplatin (CDDP), carmustine (BCNU), buthionine sulfoximine (BSO), or paclitaxel (Tax). Cell suspensions were cultured for 5 days, with [(3)H]thymidine added on day 3 and proliferation was measured. Results were reported as the fraction of proliferation compared to control (FC). For each combination of irradiation and drug, synergy was tested using the Chou analysis, where a combination index (CI) value of >0.7 indicated cross-resistance. RT dose-dependent proliferation inhibition was observed for 2 of the 4 cell lines, and for all but 1 of the fresh specimens. Significant heterogeneity of tumor response to RT was seen. Four specimens that were 1 standard deviation below the median FC response after exposure to 300 cGy were classified as extremely radiation resistant. Twenty-one tumors were evaluated for synergistic response using the combination of chemo and RT with a median FC of 0.27 (+/-0.27) for 6.0 Gy of RT alone, 0.22 (+/-0.21) for CDDP alone, and 0.05 (+/-0.08) for the combination. A CI of 0.35 and an R value of 0.09 demonstrated synergy between chemo and RT without cross-resistance. Similar synergy without cross-resistance was found for RT in combination with BCNU, BSO, and TAX. Heterogeneous RT dose-response relationships in the in vitro assay were demonstrated. Explants were more sensitive to RT than cell lines. Unlike cell lines, fresh tumor cells consistently displayed synergy with RT and chemo. The synergy between RT and BSO suggests that glutathione depletion may enhance the effect of RT. The assay was feasible for examining fresh tumors and may be an important tool for studying RT or drug

  3. Silencing of the Wnt transcription factor TCF4 sensitizes colorectal cancer cells to (chemo-) radiotherapy

    Science.gov (United States)

    Kendziorra, Emil; Ahlborn, Kerstin; Spitzner, Melanie; Rave-Fränk, Margret; Emons, Georg; Gaedcke, Jochen; Kramer, Frank; Wolff, Hendrik A.; Becker, Heinz; Beissbarth, Tim; Ebner, Reinhard; Ghadimi, B.Michael; Pukrop, Tobias; Ried, Thomas; Grade, Marian

    2011-01-01

    A considerable percentage of rectal cancers are resistant to standard preoperative chemoradiotherapy. Because patients with a priori-resistant tumors do not benefit from multimodal treatment, understanding and overcoming this resistance remains of utmost clinical importance. We recently reported overexpression of the Wnt transcription factor TCF4, also known as TCF7L2, in rectal cancers that were resistant to 5-fluorouracil-based chemoradiotherapy. Because Wnt signaling has not been associated with treatment response, we aimed to investigate whether TCF4 mediates chemoradioresistance. RNA interference-mediated silencing of TCF4 was employed in three colorectal cancer (CRC) cell lines, and sensitivity to (chemo-) radiotherapy was assessed using a standard colony formation assay. Silencing of TCF4 caused a significant sensitization of CRC cells to clinically relevant doses of X-rays. This effect was restricted to tumor cells with high T cell factor (TCF) reporter activity, presumably in a β-catenin-independent manner. Radiosensitization was the consequence of (i) a transcriptional deregulation of Wnt/TCF4 target genes, (ii) a silencing-induced G2/M phase arrest, (iii) an impaired ability to adequately halt cell cycle progression after radiation and (iv) a compromised DNA double strand break repair as assessed by γH2AX staining. Taken together, our results indicate a novel mechanism through which the Wnt transcription factor TCF4 mediates chemoradioresistance. Moreover, they suggest that TCF4 is a promising molecular target to sensitize resistant tumor cells to (chemo-) radiotherapy. PMID:21983179

  4. Polish Qualitative Sociology: The General Features and Development

    OpenAIRE

    Konecki, Krzysztof Tomasz; Kacperczyk, Anna; Marciniak, Łukasz

    2005-01-01

    Forum Qualitative Sozialforschung / Forum: Qualitative Social Research,2005, 6(3) The article explores the development of Polish qualitative sociology in Poland by presenting its main intellectual routes and some of the general features of Polish sociology. Romanticism and inductionmethod are crucial elements for the development of this discipline in Poland and contribute to its. unigueness. The role of Florian Znaniecki in creating the Polish qualitative sociology is also underlined.

  5. A reliable control system for measurement on film thickness in copper chemical mechanical planarization system

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hongkai; Qu, Zilian; Zhao, Qian; Tian, Fangxin; Zhao, Dewen; Meng, Yonggang; Lu, Xinchun [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China)

    2013-12-15

    In recent years, a variety of film thickness measurement techniques for copper chemical mechanical planarization (CMP) are subsequently proposed. In this paper, the eddy-current technique is used. In the control system of the CMP tool developed in the State Key Laboratory of Tribology, there are in situ module and off-line module for measurement subsystem. The in situ module can get the thickness of copper film on wafer surface in real time, and accurately judge when the CMP process should stop. This is called end-point detection. The off-line module is used for multi-points measurement after CMP process, in order to know the thickness of remained copper film. The whole control system is structured with two levels, and the physical connection between the upper and the lower is achieved by the industrial Ethernet. The process flow includes calibration and measurement, and there are different algorithms for two modules. In the process of software development, C++ is chosen as the programming language, in combination with Qt OpenSource to design two modules’ GUI and OPC technology to implement the communication between the two levels. In addition, the drawing function is developed relying on Matlab, enriching the software functions of the off-line module. The result shows that the control system is running stably after repeated tests and practical operations for a long time.

  6. 21 CFR 872.6030 - Oral cavity abrasive polishing agent.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Oral cavity abrasive polishing agent. 872.6030... (CONTINUED) MEDICAL DEVICES DENTAL DEVICES Miscellaneous Devices § 872.6030 Oral cavity abrasive polishing agent. (a) Identification. An oral cavity abrasive polishing agent is a device in paste or powder form...

  7. 19th Polish Control Conference

    CERN Document Server

    Kacprzyk, Janusz; Oprzędkiewicz, Krzysztof; Skruch, Paweł

    2017-01-01

    This volume contains the proceedings of the KKA 2017 – the 19th Polish Control Conference, organized by the Department of Automatics and Biomedical Engineering, AGH University of Science and Technology in Kraków, Poland on June 18–21, 2017, under the auspices of the Committee on Automatic Control and Robotics of the Polish Academy of Sciences, and the Commission for Engineering Sciences of the Polish Academy of Arts and Sciences. Part 1 deals with general issues of modeling and control, notably flow modeling and control, sliding mode, predictive, dual, etc. control. In turn, Part 2 focuses on optimization, estimation and prediction for control. Part 3 is concerned with autonomous vehicles, while Part 4 addresses applications. Part 5 discusses computer methods in control, and Part 6 examines fractional order calculus in the modeling and control of dynamic systems. Part 7 focuses on modern robotics. Part 8 deals with modeling and identification, while Part 9 deals with problems related to security, fault ...

  8. An Unbiased View of the History of Polish Medical Physics by a Senior Polish Medical Physicist

    International Nuclear Information System (INIS)

    Chomicki, O. A.

    2008-01-01

    Here is a story told by Maria Sklodowska-Curie at the meeting of the International Committee of Intellectual Cooperation in 1921: 'In a free literary competition on the role and importance of elephants the Englishman's story was 'My adventures while shooting elephants in South Africa', the Frenchman was more concerned with 'The sexual and erotic life of elephants', while the Polish approach was invariably 'The elephant versus Poland's national independence', which seemed quite understandable in the light of over 120 years when Poland was partitioned and lost its independence. Since then this saying has become proverbial and came to express the unmistakably Polish tendency to see everything in terms of Polish interests. In my remarks and reminiscences on the history of the Polish Society of Medical Physics you will quickly recognize the same tendency. First, I will, among other things, try to open some old cupboards to 'produce good [things] from the store of good' (Matthew 12:35), especially concerning the first few years of the activity in medical physics in Poland, and second, I will draw some conclusions and/or offer suggestions based on what a senior medical physicist has seen for more than 50 years of his activity in this field. (author)

  9. Chemo- and Enantioselective Intramolecular Silver-Catalyzed Aziridinations.

    Science.gov (United States)

    Ju, Minsoo; Weatherly, Cale D; Guzei, Ilia A; Schomaker, Jennifer M

    2017-08-07

    Asymmetric nitrene-transfer reactions are a powerful tool for the preparation of enantioenriched amine building blocks. Reported herein are chemo- and enantioselective silver-catalyzed aminations which transform di- and trisubstituted homoallylic carbamates into [4.1.0]-carbamate-tethered aziridines in good yields and with ee values of up to 92 %. The effects of the substrate, silver counteranion, ligand, solvent, and temperature on both the chemoselectivity and ee value were explored. Stereochemical models were proposed to rationalize the observed absolute stereochemistry of the aziridines, which undergo nucleophilic ring opening to yield enantioenriched amines with no erosion in stereochemical integrity. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Cannabinoids cases in polish athletes

    OpenAIRE

    A Pokrywka; Z Obmiński; D Kwiatkowska; R Grucza

    2009-01-01

    The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition). Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 year...

  11. The social shaping of innovation in polish companies

    DEFF Research Database (Denmark)

    Lorentzen, Anne

    2003-01-01

    The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies and pres......The paper deals with strategies of innovation in Polish manufacturing companies. The point of departure is a theoretical framework of enterprise level innovation, and of the factors forming strategies of innovation on enterprise level. The paper analyses evidence from 23 Polish companies...... and presents two cases more in detail. The analysis shows that the Polish companies have all been quite innovative, mostly in relation to product innovation. They choose innovation strategies, which are incremental more than radical, and they tend to differentiate their product range rather than to specialise....... They consider quality development a must in the fight for market shares and they adapt equipment and organisation to this goal. The factors forming and determining the strategies of the companies count the technological knowledge and expertise of the owner/founder, the structural changes of the market...

  12. Semi-strong informational efficiency in the Polish foreign exchange market

    OpenAIRE

    Luksz Goczek

    2015-01-01

    During the financial crisis a notion that the Polish exchange rate is not determined effectively was very dominant, because of a contagion effect of the global financial crisis on the Polish economy. In addition, many foreign exchange market analysts explained developments in the Polish exchange market trough a hypothesis that the Polish zloty exchange rate follows other exchange rates. This contradicts market efficiency as this would lead to profitable arbitrage possibility based on past inf...

  13. Symmetry or asymmetry? Cross-border openness of service providers in Polish-Czech and Polish-German border towns

    Directory of Open Access Journals (Sweden)

    Dołzbłasz Sylwia

    2015-03-01

    Full Text Available The symmetry and/or asymmetry in terms of cross-border openness of service providers is examined in this article, for the cases of two border twin towns: Cieszyn/Český Těšín at the Polish-Czech border, and Gubin/Guben at the Polish-German border. To assess the level of openness of firms towards clients from the other side of the border, four trans-border categories were examined: neighbour’s language visible at store location; business offers in the language of the neighbour; the possibilities of payment in the neighbour’s currency; and the staff’s knowledge of the language. This enabled a comparison of both parts of the particular twin towns in relation to the character of cross-border openness, as well as an assessment of their symmetry/asymmetry. Comparisons of Gubin/Guben and Cieszyn/Český Těšín with respect to the analysed features were also carried out. The analysis shows significant variation in the level of cross-border openness towards clients from neighbouring countries. Whereas in the Polish-Czech town a relative symmetry was observed, in the Polish-German case, significant asymmetry was noted.

  14. Transformation of the Polish Banking Sector

    Directory of Open Access Journals (Sweden)

    Marek Stefański

    2009-07-01

    Full Text Available In the post-war period the banking system in Poland underwent two important system transitions: after 1946 and after 1989. The third transformation began after May 1, 2004, but it did not have a systemic character. The Polish banking sector started to operate on the Single European Market. The first part of the paper is devoted to the problems of the banks transformations after 1989 with a special focus on the quantitative development of banks in 19892008, and on subsequent privatisation and consolidation processes. The former intensified in 19891999, and the latter in 19992002. The consolidation process was very noticeable in the sector of cooperative banks after 1994. The second part of the paper includes an economic and financial analysis of the banks. A lot of attention was paid to the liquidity of the banking sector. It was assessed as good, which was confirmed by a short-term rating of Moodys and by the Financial Stability Report 2009, published by the National Bank of Poland in June 2009. The comparison of the net profit of the banking sector in 19972008 shows its dependence on the economic situation and policy. The number of banks with capital adequacy ratio well above the minimum required by the banking supervision is rising. The financial power ratings are not favorable for the domestic banks. The third part of the paper focuses on the development directions of the Polish banking sector. It may be concluded on the basis of the analysis that privatisation and consolidation processes will be continued. They will concentrate on the capital of foreign banks already operating in Poland. As compared with individual foreign banks, the potential of the Polish banking sector is week. The fourth part of the paper focuses on the presentation Polish banking sector in the context of European Union banking sector. The paper finishes with conclusions. Generally, Polish banks have to implement a strategy to enable them to compete on the Single

  15. Effect of Polishing Systems on Surface Roughness and Topography of Monolithic Zirconia.

    Science.gov (United States)

    Goo, C L; Yap, Auj; Tan, Kbc; Fawzy, A S

    2016-01-01

    This study evaluated the effect of different chairside polishing systems on the surface roughness and topography of monolithic zirconia. Thirty-five monolithic zirconia specimens (Lava PLUS, 3M ESPE) were fabricated and divided into five groups of seven and polished with the following: Group 1 (WZ)-Dura white stone followed by Shofu zirconia polishing kit; Group 2 (SZ)-Shofu zirconia polishing kit; Group 3 (CE)-Ceramiste porcelain polishers; Group 4 (CM)-Ceramaster porcelain polishers; and Group 5 (KZ)-Komet ZR zirconia polishers. All specimens were ground with a fine-grit diamond bur prior to polishing procedures to simulate clinical finishing. Baseline and post-polishing profilometric readings were recorded and delta Ra values (difference in mean surface roughness before and after polishing) were computed and analyzed using one-way analysis of variance and Scheffe post hoc test (pSEM) images of the ground but unpolished and polished specimens were acquired. Delta Ra values ranged from 0.146 for CE to 0.400 for KZ. Delta Ra values for KZ, WZ, and SZ were significantly greater than for CE. Significant differences in delta Ra values were also observed between KZ and CM. The SEM images obtained were consistent with the profilometric findings. Diamond-impregnated polishing systems were more effective than silica carbide-impregnated ones in reducing the surface roughness of ground monolithic zirconia.

  16. Simulation and analysis of an alternative kinematics for improving the polishing uniformity over the surface of polished tiles

    Directory of Open Access Journals (Sweden)

    Weingaertner, W. L.

    2010-10-01

    Full Text Available The present work investigates the possibility of adopting a new kinematics at the industrial polishing lines of porcelain stoneware tile. An alternative motion of the transverse oscillation of the polishing heads is proposed, in which no radical changes in the industries facilities are required. The basic idea is to replace the purely sinus motion of the polishing heads by a rather trapezoid wavelike motion. In theory this could be achieved simply by adopting regular delays at the transverse oscillation motion. Consequences of this alternative kinematics were quantitatively analyzed considering the spatial homogeneity of polishing expected for tiles. Such homogeneity was represented by the coefficient of variation of the distribution of polishing time over the surface, which was in turn determined by means of computational simulations, taking into account the effect of multiple polishing heads.

    El presente trabajo investiga la posibilidad de adoptar una nueva cinemática en las líneas de pulido industrial de baldosas de gres porcelánico. Se propone una propuesta alternativa de la oscilación transversal de los cabezales pulidores, en el que no hay cambios radicales en las instalaciones de las industrias son necesarias. La idea básica consiste en sustituir el movimiento totalmente del seno de los cabezales pulidores por un movimiento ondulatorio trapezoide. En teoría, esto podría lograrse sólo mediante la adopción de los habituales retrasos en el movimiento de oscilación transversal. las consecuencias de esta cinemática fueron analizados cuantitativamente, teniendo en cuenta la homogeneidad espacial de pulido. Tal homogeneidad fora representada por el coeficiente de variación de la distribución de lo tiempo de pulido en la superficie, determinada por medio de simulaciones computacionales, teniendo también en cuenta el efecto de pulido de las cabezas múltiples.

  17. Cannabinoids cases in polish athletes

    Directory of Open Access Journals (Sweden)

    A Pokrywka

    2009-07-01

    Full Text Available The aim of this study was to investigate the number of cases and the profiles of Polish athletes who had occasionally been using marijuana or hashish throughout the period of 1998-2004, with respect to: sex, age, and discipline of sport as well as the period of testing (in- and out-of-competition. Results of the study were compared with some data reported by other WADA accredited anti-doping laboratories. Totally, 13 631 urine samples taken from Polish athletes of both sexes, aged 10-67 years, performing 46 disciplines of sport were tested. Cannabinoids were detected in 267 samples. Among Polish athletes the relative number of positive THC (tetrahydrocannabinol samples was one of the highest in Europe. The group of young Polish athletes (aged 16-24 years was the most THC-positive. THC-positive cases were noted more frequently in male athletes tested during out of competitions. The so-called contact sports (rugby, ice hockey, skating, boxing, badminton, body building and acrobatic sports were those sports, where the higher risk of cannabis use was observed. The legal interpretation of some positive cannabinoids results would be difficult because of some accidental and unintentional use of the narcotics by sportsmen. It was concluded that national anti-doping organizations (NADO’s, which are competent to judge whether the anti-doping rules were violated, should take into account the possibility of non-intentional doping use of cannabinoids via passive smoking of marijuana.

  18. Nanoscale wedge polishing of superconducting thin films-an easy way to obtain depth dependent information by surface analysis techniques

    International Nuclear Information System (INIS)

    Shapoval, T; Engel, S; Gruendlich, M; Meier, D; Backen, E; Neu, V; Holzapfel, B; Schultz, L

    2008-01-01

    A mechanical wedge polishing procedure that offers a simple, cost-effective and rapid way to look into the depth of a thin film with different surface-sensitive scanning techniques has been developed. As an example of its wide applicability, this method was utilized for the investigation of two differently prepared superconducting YBa 2 Cu 3 O 7-δ thin films: an Hf-doped film prepared by chemical solution deposition and an undoped film grown by pulsed laser deposition. Upon polishing, the roughness of the samples was reduced to less than 5 nm (peak-to-valley) without influencing the superconducting properties of the films. Thus, nanoscale polishing opens up a unique possibility for microscopic studies with various surface-sensitive techniques. We demonstrate the successful imaging of flux lines by low temperature magnetic force microscopy after polishing a formerly rough as-prepared film. By applying the wedge polishing procedure to the Hf-doped sample, high resolution electron backscattering diffraction investigations reveal the homogeneous distribution of non-superconducting BaHfO 3 nanoparticles in the whole volume of the film

  19. Monitoring of Robot Assisted Polishing through parameters of acoustic emission

    DEFF Research Database (Denmark)

    Lazarev, Ruslan; Top, Søren; Bilberg, Arne

    The polishing process is essential for the surface generation of machine tooling components in advanced manufacturing. While robot assisted polishing is faster and more consistent than manual polishing, it can still consume a significant part of ma- chining time and operator presence time...

  20. Trace element analysis of nail polishes

    International Nuclear Information System (INIS)

    Misra, G.; Mittal, V.K.; Sahota, H.S.

    1999-01-01

    Instrumental neutron activation analysis (INAA) technique was used to measure the concentrations of various trace elements in nail polishes of popular Indian and foreign brands. The aim of the present experiment was to see whether trace elements could distinguish nail polishes of different Indian and foreign brands from forensic point of view. It was found that cesium can act as a marker to differentiate foreign and Indian brands. (author)

  1. Factors influencing the surface quality of polished tool steels

    International Nuclear Information System (INIS)

    Rebeggiani, S; Rosén, B-G

    2014-01-01

    Today’s demands on surface quality of moulds for injection moulding of plastic components involve no/low defect contents and roughness levels in the nm-range for high gloss applications. Material properties as well as operating conditions influence the mould finish, and thus the final surface of moulded products. This paper focuses on how particle content and different polishing strategies influence final surface qualities of moulds. Visual estimations of polished tool steel samples were combined with non-contact 3D-surface texture analysis in order to correlate traditional assessments to more quantitative methods, and to be able to analyse the surfaces at nanometre-level. It was found that steels with a lower proportion of particles, like carbides and oxides, gave rise to smoother polished surfaces. In a comparative study of polishers from different polishing shops, it was found that while different surface preparation strategies can lead to similar final roughness, similar preparation techniques can produce high-quality surfaces from different steel grades. However, the non-contact 3D-surface texture analysis showed that not all smooth polished surfaces have desirable functional topographies for injection moulding of glossy plastic components. (paper)

  2. Understanding the creation of & reducing surface microroughness during polishing & post-processing of glass optics

    Energy Technology Data Exchange (ETDEWEB)

    Suratwala, Tayyab [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-09-22

    In the follow study, we have developed a detailed understanding of the chemical and mechanical microscopic interactions that occur during polishing affecting the resulting surface microroughness of the workpiece. Through targeted experiments and modeling, the quantitative relationships of many important polishing parameters & characteristics affecting surface microroughness have been determined. These behaviors and phenomena have been described by a number of models including: (a) the Ensemble Hertzian Multi Gap (EHMG) model used to predict the removal rate and roughness at atomic force microscope (AFM) scale lengths as a function of various polishing parameters, (b) the Island Distribution Gap (IDG) model used to predict the roughness at larger scale lengths, (c) the Deraguin-Verwey-Landau-Overbeek (DLVO) 3-body electrostatic colloidal model used to predict the interaction of slurry particles at the interface and roughness behavior as a function of pH, and (d) a diffusion/chemical reaction rate model of the incorporation of impurities species into the polishing surface layer (called the Bielby layer). Based on this improved understanding, novel strategies to polish the workpiece have been developed simultaneously leading to both ultrasmooth surfaces and high material removal rates. Some of these strategies include: (a) use of narrow PSD slurries, (b) a novel diamond conditioning recipe of the lap to increase the active contact area between the workpiece and lap without destroying its surface figure, (c) proper control of pH for a given glass type to allow for a uniform distribution of slurry particles at the interface, and (d) increase in applied load just up to the transition between molecular to plastic removal regime for a single slurry particle. These techniques have been incorporated into a previously developed finishing process called Convergent Polishing leading to not just economical finishing process with improved surface figure control, but also

  3. New perspectives in hydrodynamic radial polishing techniques for optical surfaces

    Science.gov (United States)

    Ruiz, Elfego; Sohn, Erika; Luna, Esteban; Salas, Luis; Cordero, Alberto; González, Jorge; Núñez, Manuel; Salinas, Javier; Cruz-González, Irene; Valdés, Jorge; Cabrera, Victor; Martínez, Benjamín

    2004-09-01

    In order to overcome classic polishing techniques, a novel hydrodynamic radial polishing tool (HyDRa) is presented; it is useful for the corrective lapping and fine polishing of diverse materials by means of a low-cost abrasive flux and a hydrostatic suspension system that avoids contact of the tool with the working surface. This tool enables the work on flat or curved surfaces of currently up to two and a half meters in diameter. It has the advantage of avoiding fallen edges during the polishing process as well as reducing tool wear out and deformation. The functioning principle is based on the generation of a high-velocity, high-pressure, abrasive emulsion flux with radial geometry. The polishing process is repeatable by means of the control of the tool operational parameters, achieving high degrees of precision and accuracy on optical and semiconductor surfaces, with removal rates of up to 9 mm3/hour and promising excellent surface polishing qualities. An additional advantage of this new tool is the possibility to perform interferometric measurements during the polishing process without the need of dismounting the working surface. A series of advantages of this method, numerical simulations and experimental results are described.

  4. Radical surgery in patients with residual disease after (chemo)radiation for cervical cancer

    NARCIS (Netherlands)

    Boers, Aniek; Arts, Henriette J. G.; Klip, Harry; Nijhuis, Esther R.; Pras, Elisabeth; Hollema, Harry; Wisman, G. Bea A.; Nijman, Hans W.; Mourits, Marian J. E.; Reyners, Anna K. L.; de Bock, Geertruida H.; Thomas, Gillian; van der Zee, Ate G. J.

    Objective: The aim of this study was to determine possible impact of routinely scheduled biopsies and more radical surgery for residual central disease in locally advanced cervical cancer after (chemo) radiation. Methods/Materials: Data were analyzed of a consecutive series of cervical cancer

  5. Hypothalamic response to the chemo-signal androstadienone in gender dysphoric children and adolescents

    NARCIS (Netherlands)

    Burke, S.M.; Cohen-Kettenis, P.T.; Veltman, D.J.; Klink, D.T.; Bakker, J.

    2014-01-01

    The odorous steroid androstadienone, a putative male chemo-signal, was previously reported to evoke sex differences in hypothalamic activation in adult heterosexual men and women. In order to investigate whether puberty modulated this sex difference in response to androstadienone, we measured the

  6. Self-regulating chemo-mechano-chemical systems

    Science.gov (United States)

    Aizenberg, Joanna; He, Ximin; Aizenberg, Michael

    2017-05-16

    A chemo-mechano-chemical (C.sub.1-M-C.sub.2) system includes a base supporting an actuatable structure, said structure comprising a functionalized portion and being embedded in an environmentally responsive gel capable of volume change in response to an environmental stimulus; a first fluid layer disposed over the base and in contact with the actuatable structure, said first fluid layer comprising the environmentally responsive gel; and a second fluid layer in contact with the actuatable structure, wherein the layers are positioned such that the functionalized portion is in contact with the second layer in a first relaxed state and in contact with the first layer in a second actuated state and wherein the functionalized portion interacts with at least one of the layers to provide a chemical or physical response.

  7. Computer-Controlled Cylindrical Polishing Process for Large X-Ray Mirror Mandrels

    Science.gov (United States)

    Khan, Gufran S.; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    We are developing high-energy grazing incidence shell optics for hard-x-ray telescopes. The resolution of a mirror shells depends on the quality of cylindrical mandrel from which they are being replicated. Mid-spatial-frequency axial figure error is a dominant contributor in the error budget of the mandrel. This paper presents our efforts to develop a deterministic cylindrical polishing process in order to keep the mid-spatial-frequency axial figure errors to a minimum. Simulation software is developed to model the residual surface figure errors of a mandrel due to the polishing process parameters and the tools used, as well as to compute the optical performance of the optics. The study carried out using the developed software was focused on establishing a relationship between the polishing process parameters and the mid-spatial-frequency error generation. The process parameters modeled are the speeds of the lap and the mandrel, the tool s influence function, the contour path (dwell) of the tools, their shape and the distribution of the tools on the polishing lap. Using the inputs from the mathematical model, a mandrel having conical approximated Wolter-1 geometry, has been polished on a newly developed computer-controlled cylindrical polishing machine. The preliminary results of a series of polishing experiments demonstrate a qualitative agreement with the developed model. We report our first experimental results and discuss plans for further improvements in the polishing process. The ability to simulate the polishing process is critical to optimize the polishing process, improve the mandrel quality and significantly reduce the cost of mandrel production

  8. Sexual activity of Polish adults

    Directory of Open Access Journals (Sweden)

    Beata Pastwa-Wojciechowska

    2014-03-01

    Full Text Available Aim. The purpose of this research was to explore the subject of sexual activity in the Polish population, with special focus on age and gender differences, and sexual infidelity. Sexual activity is one of the basic factors in initiating and maintaining relationships. On the one hand, sexual activity enables us to meet natural needs and maintain an intimate relationship with another human being; on the other, it may allow us to overcome loneliness and social isolation by providing the opportunity to express feelings of closeness and unity. Material and method. The research was conducted on a representative group of 3,200 Poles aged between 15–49, with the support of a well-known Polish research company – TNS OBOP. Face-to-face and Pencil and Paper (PAPI interviews were carried out. Results. The results focus on two main issues: the age and motives of sexual initiation among teenagers (with a significant percentage starting their sexual activity at the age of 15, and the quality of the sexual lives of adults (average number of sexual partners, sexual infidelity and sexual satisfaction. Conclusion. There is dependence between the type of relationship and the performance or non-performance of sexual activity, as well as the quality of the relationship. Among both adolescents and adults, remaining in a stable relationship (partnership or marriage promotes loyalty. The performance of sexual goals turns out to be an important mechanism regulating the interpersonal aspects of a relationship, influencing their perception and evaluation.

  9. Evaluation of the effect of polishing on flexural strength of feldspathic porcelain and its comparison with autoglazing and over glazing

    Directory of Open Access Journals (Sweden)

    Jalali H.

    2005-06-01

    Full Text Available Statement of Problem: Ceramic restorations are popular because they can provide the most natural replacement for teeth. However, the brittleness of ceramics is a primary disadvantage. There are various methods for strengthening ceramics such as metal framework, ceramic cores, and surface strengthening mechanisms through glazing, work hardening and ion exchange. Purpose: The purpose of this study was to evaluate the effect of polish on flexural strength of feldspathic porcelain and to compare it with overglaze and autoglaze. Materials and Methods: In this experimental study, one brand of feldspathic porcelain (colorlogic, Ceramco was used and forty bars (25×6×3 mm were prepared according to ISO 6872 and ADA No. 69. The specimens were randomly divided into four groups: overglazed, auto glazed, fine polish and coarse polish (clinic polish. Flexural strength of each specimen was determined by three point bending test (Universal Testing Machine, Zwick 1494, Germany. Collected data was analyzed by ANOVA and post-hoc test with P<0.05 as the limit of significance. Results: A significant difference was observed among the studied groups (P<0.0001. According to post-hoc test, flexural strength in overglaze and fine polish group were significantly stronger than clinic polish and autoglaze group (P<0.001. Although the mean value for overglazed group was higher than fine polish group, this was not statistically significant (P=0.9. Also no statistical difference was seen between autoglazed and coarse polish group (P=0.2. Conclusion: Based on the findings of this study, flexural strength achieved by fine polish (used in this study can compete with overglazing the feldespathic porcelains. It also can be concluded that a final finishing procedure that involves fine polishing may be preferred to simple staining followed by self-glazing.

  10. Strain-free polished channel-cut crystal monochromators: a new approach and results

    Science.gov (United States)

    Kasman, Elina; Montgomery, Jonathan; Huang, XianRong; Lerch, Jason; Assoufid, Lahsen

    2017-08-01

    The use of channel-cut crystal monochromators has been traditionally limited to applications that can tolerate the rough surface quality from wet etching without polishing. We have previously presented and discussed the motivation for producing channel cut crystals with strain-free polished surfaces [1]. Afterwards, we have undertaken an effort to design and implement an automated machine for polishing channel-cut crystals. The initial effort led to inefficient results. Since then, we conceptualized, designed, and implemented a new version of the channel-cut polishing machine, now called C-CHiRP (Channel-Cut High Resolution Polisher), also known as CCPM V2.0. The new machine design no longer utilizes Figure-8 motion that mimics manual polishing. Instead, the polishing is achieved by a combination of rotary and linear functions of two coordinated motion systems. Here we present the new design of C-CHiRP, its capabilities and features. Multiple channel-cut crystals polished using the C-CHiRP have been deployed into several beamlines at the Advanced Photon Source (APS). We present the measurements of surface finish, flatness, as well as topography results obtained at 1-BM of APS, as compared with results typically achieved when polishing flat-surface monochromator crystals using conventional polishing processes. Limitations of the current machine design, capabilities and considerations for strain-free polishing of highly complex crystals are also discussed, together with an outlook for future developments and improvements.

  11. Elastic emission polishing

    Energy Technology Data Exchange (ETDEWEB)

    Loewenthal, M.; Loseke, K.; Dow, T.A.; Scattergood, R.O.

    1988-12-01

    Elastic emission polishing, also called elastic emission machining (EEM), is a process where a stream of abrasive slurry is used to remove material from a substrate and produce damage free surfaces with controlled surface form. It is a noncontacting method utilizing a thick elasto-hydrodynamic film formed between a soft rotating ball and the workpiece to control the flow of the abrasive. An apparatus was built in the Center, which consists of a stationary spindle, a two-axis table for the workpiece, and a pump to circulate the working fluid. The process is controlled by a programmable computer numerical controller (CNC), which presently can operate the spindle speed and movement of the workpiece in one axis only. This apparatus has been used to determine material removal rates on different material samples as a function of time, utilizing zirconium oxide (ZrO{sub 2}) particles suspended in distilled water as the working fluid. By continuing a study of removal rates the process should become predictable, and thus create a new, effective, yet simple tool for ultra-precision mechanical machining of surfaces.

  12. Evaluation and analysis of polished fused silica subsurface quality by the nanoindenter technique

    International Nuclear Information System (INIS)

    Ma Bin; Shen Zhengxiang; He Pengfei; Sha Fei; Wang Chunliang; Wang Bin; Ji Yiqin; Liu Huasong; Li Weihao; Wang Zhanshan

    2011-01-01

    We evaluate the subsurface quality of polished fused silica samples using the nanoindenter technique. Two kinds of samples, consisting of hundreds of nanometers and micrometers of subsurface damage layers, are fabricated by controlling the grinding and polishing processes, and the subsurface quality has been verified by the chemical etching method. Then several nanoindentation experiments are performed using the Berkovich tip to investigate the subsurface quality. Some differences are found by relative measurements in terms of the relationship between the total penetration and the peak load on the surfaces, the modulus calculated over the defined depths and from unload, and the indented morphology at a constant load near the surface collapse threshold. Finally, the capabilities of such a mechanical method for detecting subsurface flaws are discussed and analyzed.

  13. Can Reduced-Step Polishers Be as Effective as Multiple-Step Polishers in Enhancing Surface Smoothness?

    Science.gov (United States)

    Kemaloglu, Hande; Karacolak, Gamze; Turkun, L Sebnem

    2017-02-01

    The aim of this study was to evaluate the effects of various finishing and polishing systems on the final surface roughness of a resin composite. Hypotheses tested were: (1) reduced-step polishing systems are as effective as multiple-step systems on reducing the surface roughness of a resin composite and (2) the number of application steps in an F/P system has no effect on reducing surface roughness. Ninety discs of a nano-hybrid resin composite were fabricated and divided into nine groups (n = 10). Except the control, all of the specimens were roughened prior to be polished by: Enamel Plus Shiny, Venus Supra, One-gloss, Sof-Lex Wheels, Super-Snap, Enhance/PoGo, Clearfil Twist Dia, and rubber cups. The surface roughness was measured and the surfaces were examined under scanning electron microscope. Results were analyzed with analysis of variance and Holm-Sidak's multiple comparisons test (p One-gloss, Enamel Plus Shiny, and Venus Supra groups. (1) The number of application steps has no effect on the performance of F/P systems. (2) Reduced-step polishers used after a finisher can be preferable to multiple-step systems when used on nanohybrid resin composites. (3) The effect of F/P systems on surface roughness seems to be material-dependent rather than instrument- or system-dependent. Reduced-step systems used after a prepolisher can be an acceptable alternative to multiple-step systems on enhancing the surface smoothness of a nanohybrid composite; however, their effectiveness depends on the materials' properties. (J Esthet Restor Dent 29:31-40, 2017). © 2016 Wiley Periodicals, Inc.

  14. Contribution to a hydro-chemo-mechanical multi-mechanisms model based on the multi-scale and multi components structure of Callovo-Oxfordian argillites: experiments and modelling

    International Nuclear Information System (INIS)

    Robinet, J.C.; Trinh, M.H.; Imbert, C.

    2010-01-01

    show an increase of porosity from 12% up to 20% associated with the creation of macro-pores (mercury intrusion porosimetry). - X ray micro tomography observations and pictures analysis made on successive sections of sample argillite and 3D rebuilding of calcite organisation. Mechanical conceptual model of argillite Independently of its origin, the strong density associated with some inclusions like calcite, quartz grains and fibres shaped calcite resulting of the filling of the macro porosity is considered to explain: (i) localized damage occurring on extension paths and/or (ii) diffuse and localized damage, on compression path. Damage is characterized by creation of localized and/or diffuse macro-porosity that confers plasticity to argillite and allows development of classical hydro-chemo-mechanical mechanisms of clays, hydro-mechanical consolidation, swelling, and viscosity (creep) that are responsible of long term deformations. Irreversible strains and weak macro porosity observed on the tests with cored samples could be a consequence of the mechanical and hydraulic discharges. Laboratory tests after a controlled damage produced by a thermal cycle at constant volume highlighted the existence of some mechanisms: - swelling of the argillite samples (attributed to smectite swelling), - increase of the swelling potential with the proportion of macro pores, - decrease of the oedometric modulus with the proportion of macro pores. The existence of a transient phase after damage induced by the brutal creation of a macro porosity partially saturated (cavitations phenomenon) because of low permeability of argillite is due to the water re-saturation of the macro pores. Different mechanical laboratory tests conducted on Bure argillite, for instance drained and undrained triaxial tests, are compared to numerical simulation. The simulation of the behaviour of the galleries and shafts in the Callovian-Oxfordian formation have been realised with the previous model integrated in the

  15. The effect of mechanical load cycling and polishing time on microleakage of class V glass-ionomer and composite restorations: A scanning electron microscopy evaluation

    Directory of Open Access Journals (Sweden)

    Mansoreh Mirzaie

    2014-01-01

    Full Text Available Background: Microleakage is one of the challenging concerns in direct filling restorations. Understanding of its related factors is important in clinical practice. The aim of this study was scanning electron microscopy (SEM evaluation of marginal integrity in three types of tooth-colored restorative materials in class V cavity preparations and the effect of load cycling and polishing time on the microleakage. Materials and Methods: In this in vitro experimental study, class V cavity preparations were prepared on the buccal and lingual surfaces of 60 bovine incisors. The specimens were divided into three groups each containing 20 teeth: group 1: Filtek Z350, Group 2: Fuji IX/G Coat Plus, Group 3: Fuji II LC/GC varnish. In each group, 2 subgroups (n = 20 were established based on finishing time (immediate or delayed by 24 h. All specimens were thermocycled (×2,000, 5-50°C. In each sub groups, half of the teeth were load cycled. Epoxy resin replicas of 24 specimens were evaluated under field emission-SEM and interfacial gaps were measured. All teeth were then immersed in 0.5% basic fuchsin dye for 24 h, sectioned and observed under stereomicroscope. Data were analyzed with Kruskal-Wallis′ test and Mann-Whitney U test and a comparison between incisal and cervical microleakage was made with Wilcoxon test. P < 0.05 was considered as significant. Results: Load cycling and filling material had a significant effect on microleakage, but polishing time did not. Cervical microleakage in Z350/load cycle/immediate polish and Fuji IX/load cycle/immediate or delayed polish and Fuji IX/no load cycle/immediate polish were significantly higher than incisal microleakage. Conclusion: It was concluded that the cervical sealing ability of Fuji IX under load cycling was better than Fuji II LC. Under load cycling and immediate polishing Z350 showed better marginal integrity than both Fuji II LC and Fuji IX. The immediate polishing didn′t cause a statistically

  16. New ion exchange resin designs and regeneration procedures yield improved performance for various condensate polishing applications

    International Nuclear Information System (INIS)

    Najmy, S.W.

    2002-01-01

    Condensate polishing is an application with many different design and operational aspects. The past decade has brought new challenges for improved water quality with respect to both soluble and insoluble contaminants. Nonetheless, the endeavors to understand the compositional complexities of the ion exchange resin bead and the convoluted dynamics of ion exchange chemistry and chemical engineering mechanisms occurring within the mixed bed condensate polisher have brought new ideas and expectations for ion exchange resin in deep-bed condensate polishers than ever before. The new products and procedures presented here are a collaboration of a great deal of effort on the part of researchers, consultants, system engineers, station chemists, lab technicians and others. The studies discussed in this paper unequivocally demonstrate the merits of: 1. A specially designed cation resin to achieve greater than 95% insoluble iron removal efficiency, 2. A less-separable mixed resin for improved control of reactor water sulfate in BWR primary cycles, 3. Applying increased levels of regeneration chemicals and retrofitting the service vessels with re-mixing capability to improve the operation of deep-bed condensate polishers in PWR secondary cycles. (authors)

  17. Wound complications after chemo-port placement in children: Does closure technique matter?

    Science.gov (United States)

    Muncie, Colin; Herman, Richard; Collier, Anderson; Berch, Barry; Blewett, Christopher; Sawaya, David

    2018-03-01

    Wound dehiscence after chemo-port placement is a rare but potentially significant complication. We hypothesize that by using a simple running skin closure technique during chemo-port placement the rate of wound dehiscence and overall wound complications can be significantly decreased. IRB approval was obtained and patients port from June 2012 to April 2016 were analyzed. Data collected on patients included patient demographics, skin closure type, and wound complications within 30days. Chi-square was performed to examine the univariate association with skin closure technique and wound dehiscence. Logistic regression was performed to examine the multivariable association between skin closure type and wound dehiscence and to compute odds ratios. There were 259 ports placed in this cohort: 125 used simple running skin closure technique, and 134 used the subcuticular skin closure. Patients were found to not have any difference in rate of dehiscence or overall wound complications based on gender, age, location of port, or use of steroids or chemotherapy within 1week of port placement. When compared, only 1 case (0.80%) in the simple running group vs 10 cases (7.46%) in the subcuticular group experienced a wound dehiscence [unadjusted OR=14.07 (1.69, 116.99) p=0.0144]. When comparing overall wound complications the simple running group had 3 (2.4%) versus 12 (8.96%) in the subcuticular group [unadjusted OR=4.78 (1.27, 17.94) p=0.0203]. When adjusting for port-number both dehiscence and overall wound complications remained statistically significant. We conclude that the simple running skin closure for chemo-port placement in children has superior outcomes in regards to prevention of dehiscence and overall wound related complications when compared to the subcuticular technique. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Surface geometry of three packable and one hybrid composite after polishing.

    Science.gov (United States)

    Jung, Martin; Bruegger, Hilka; Klimek, Joachim

    2003-01-01

    This study evaluated the surface quality of four composite materials after polishing with six different polishing techniques. Eighty specimens were made using three packable composites (Definite/Degussa, SureFil/ Dentsply and Solitaire/Heraeus-Kulzer) and one hybrid composite (Herculite XRV/Kerr). Five specimens of each material were polished using flexible Sof-Lex discs. The remaining 75 specimens of each composite were prepared using three finishing protocols: a single 30 microm diamond (n = 25), two finishing diamonds (30/20 microm; n = 25) and a 30 microm diamond followed by a tungsten carbide finishing bur (n = 25). Final polishing of each of the three finishing groups was accomplished with SuperBuff, Diafix-oral, OneGloss, Astropol and HaWe Composite Polishers (n = 5, each). Surface roughness was evaluated quantitatively by laser-stylus profilometry. Average roughness (R(a)) was calculated; statistical analysis of the data was performed with two-way ANOVA and Scheffé post-hoc tests. The polished surfaces were examined qualitatively by SEM. The results showed significant effects on surface roughness from the different composites (p = 0.011) and polishing systems (p < 0.001). After polishing, the Solitaire surfaces (R(a) = 0.72 microm) were smoother than Definite (R(a) = 0.87 microm) and SureFil (R(a) = 0.89 microm) and significantly smoother than Herculite (R(a) = 0.92 microm; p = 0.011). Three of the polishing methods (SuperBuff, Diafix-oral and Astropol) achieved lower R(a)-values than Sof-Lex discs. The polishing quality of the one-step systems SuperBuff and Diafix-oral was strongly affected by the initial finishing protocol.

  19. Development of hybrid fluid jet/float polishing process

    Science.gov (United States)

    Beaucamp, Anthony T. H.; Namba, Yoshiharu; Freeman, Richard R.

    2013-09-01

    On one hand, the "float polishing" process consists of a tin lap having many concentric grooves, cut from a flat by single point diamond turning. This lap is rotated above a hydrostatic bearing spindle of high rigidity, damping and rotational accuracy. The optical surface thus floats above a thin layer of abrasive particles. But whilst surface texture can be smoothed to ~0.1nm rms (as measured by atomic force microscopy), this process can only be used on flat surfaces. On the other hand, the CNC "fluid jet polishing" process consists of pumping a mixture of water and abrasive particles to a converging nozzle, thus generating a polishing spot that can be moved along a tool path with tight track spacing. But whilst tool path feed can be moderated to ultra-precisely correct form error on freeform optical surfaces, surface finish improvement is generally limited to ~1.5nm rms (with fine abrasives). This paper reports on the development of a novel finishing method, that combines the advantages of "fluid jet polishing" (i.e. freeform corrective capability) with "float polishing" (i.e. super-smooth surface finish of 0.1nm rms or less). To come up with this new "hybrid" method, computational fluid dynamic modeling of both processes in COMSOL is being used to characterize abrasion conditions and adapt the process parameters of experimental fluid jet polishing equipment, including: (1) geometrical shape of nozzle, (2) position relative to the surface, (3) control of inlet pressure. This new process is aimed at finishing of next generation X-Ray / Gamma Ray focusing optics.

  20. Wastewater polishing by a channelized macrophyte-dominated wetland and anaerobic digestion of the harvested phytomass

    Science.gov (United States)

    : Constructed wetlands (CW) offer a mechanism to meet regulatory standards for wastewater treatment while minimizing energy inputs. To optimize CW wastewater polishing activities and investigate integration of CW with energy production from anaerobic digestion we constructed a pair of three-tier ch...

  1. Sensory factors affecting female consumers' acceptability of nail polish.

    Science.gov (United States)

    Sun, C; Koppel, K; Adhikari, K

    2015-12-01

    The objectives of this study were to determine what sensory factors impact consumers' acceptability of nail polishes, to explore how these sensory factors impact consumers' acceptability of nail polishes, to investigate whether there are any consumer segments according to their overall acceptability on different nail polishes and to scrutinize how the consumer segments are related to the sensory factors. Ninety-eight females participated in a nail polish consumer study at Kansas State University. Eight commercial products belonging to four categories - regular (REG), gel (GEL), flake (FLK) and water-based (WAT) - were evaluated. Each nail polish sample was evaluated twice by each participant in two different tasks - a task devoted to applying and evaluating the product and a task devoted to observing the appearance and evaluating the product. Pearson's correlation analysis, analysis of variance (ANOVA), external preference mapping, cluster analysis and internal preference mapping were applied for data analysis. Participants' scores of overall liking of the nail polishes were similar in the application task and in the observation task. In general, participants liked the REG and GEL product samples more than the FLK and WAT samples. Among all the sensory attributes, appearance attributes were the major factors that affected participants' overall liking. Aroma seemed to be a minor factor to participants' overall liking. Some sensory attributes, such as runny, shininess, opacity, spreadability, smoothness, coverage and wet appearance, were found to drive participants' overall acceptability positively, whereas others such as pinhole, fatty-edges, blister, brushlines, pearl-like, flake-protrusion, glittery and initial-drag impacted participants' overall acceptability negatively. Four clusters of participants were identified according to their overall liking scores from both the application task and the observation task. Participants' acceptability, based on different

  2. Surface polishing of niobium for superconducting radio frequency (SRF) cavity applications

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States)

    2014-08-01

    Niobium cavities are important components in modern particle accelerators based on superconducting radio frequency (SRF) technology. The interior of SRF cavities are cleaned and polished in order to produce high accelerating field and low power dissipation on the cavity wall. Current polishing methods, buffered chemical polishing (BCP) and electro-polishing (EP), have their advantages and limitations. We seek to improve current methods and explore laser polishing (LP) as a greener alternative of chemical methods. The topography and removal rate of BCP at different conditions (duration, temperature, sample orientation, flow rate) was studied with optical microscopy, scanning electron microscopy (SEM), and electron backscatter diffraction (EBSD). Differential etching on different crystal orientations is the main contributor to fine grain niobium BCP topography, with gas evolution playing a secondary role. The surface of single crystal and bi-crystal niobium is smooth even after heavy BCP. The topography of fine grain niobium depends on total removal. The removal rate increases with temperature and surface acid flow rate within the rage of 0~20 °C, with chemical reaction being the possible dominate rate control mechanism. Surface flow helps to regulate temperature and avoid gas accumulation on the surface. The effect of surface flow rate on niobium EP was studied with optical microscopy, atomic force microscopy (AFM), and power spectral density (PSD) analysis. Within the range of 0~3.7 cm/s, no significant difference was found on the removal rate and the macro roughness. Possible improvement on the micro roughness with increased surface flow rate was observed. The effect of fluence and pulse accumulation on niobium topography during LP was studied with optical microscopy, SEM, AFM, and PSD analysis. Polishing on micro scale was achieved within fluence range of 0.57~0.90 J/cm2, with pulse accumulation adjusted accordingly. Larger area treatment was proved possible by

  3. Note on the polishing of small spheres of ferrimagnetic materials

    Energy Technology Data Exchange (ETDEWEB)

    Grunberg, J. G.; Antier, G. [Centre d' etudes nucleaires de Grenoble - C.E.N.G. (France); Seiden, P. E. [Institut Fourier, Universite de Grenoble (France)

    1961-07-01

    This note describes a simple and rapid method that we have used for obtaining a high degree of polish on spheres of ferrimagnetic materials. A high surface polish is of particular importance if one desires to perform ferrimagnetic resonance experiments on very narrow linewidth materials such as Yttrium Iron Garnet. It is not possible to obtain the very narrow linewidths without polishing the sample with a very fine abrasive such as 'Linde A'. Although the methods presently used for the fine polishing of ferrite spheres give satisfactory results, the method described here is of particular interest because of its simplicity and speed. For example with the air-jet tumbling technique it can take as long as three days of polishing to obtain an acceptable surface while our method will give the same results in one to two hours. (author)

  4. Doxorubicin-loaded magnetic nanoparticle clusters for chemo-photothermal treatment of the prostate cancer cell line PC3

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Weibing; Zheng, Xinmin [Department of Urology, Zhongnan Hospital, Wuhan University, Wuhan, 430071 (China); Shen, Shun [School of Pharmacy, Fudan University, No. 826 Zhangheng Road, Shanghai, 201203 (China); Wang, Xinghuan, E-mail: xinghuanwang9@gmail.com [Department of Urology, Zhongnan Hospital, Wuhan University, Wuhan, 430071 (China)

    2015-10-16

    In addition to the conventional cancer treatment such as radiotherapy, chemotherapy and surgical management, nanomedicine-based approaches have attracted widespread attention in recent years. In this paper, a promising nanocarrier, magnetic nanoparticle clusters (MNCs) as porous materials which provided enough room on the surface, was developed for loading chemotherapeutic agent of doxorubicin (DOX). Moreover, MNCs are a good near-infrared (NIR) photothermal mediator. Thus, MNCs have great potential both in photothermal therapy (PTT) and drug delivery for chemo-photothermal therapy of cancer. We firstly explored the destruction of prostate cancer in vitro by the combination of PTT and chemotherapy using DOX@MNCs. Upon NIR irradiation at 808 nm, more cancer cells were killed when PC3 cells incubated with DOX@MNCs, owing to both MNCs-mediated photothermal ablation and cytotoxicity of light-triggered DOX release. Compared with PTT or chemotherapy alone, the chemo-photothermal therapy by DOX@MNCs showed a synergistically higher therapeutic efficacy. - Highlights: • MNCs have great potential both in photothermal therapy and drug delivery. • DOX@MNCs were used for chemo-photothermal therapy of prostate cancer cells. • DOX@MNCs showed a synergistically higher therapeutic efficacy.

  5. Polish energy-system modernisation

    International Nuclear Information System (INIS)

    Drozdz, M.

    2003-01-01

    The Polish energy-system needs intensive investments in new technologies, which are energy efficient, clean and cost effective. Since the early 1990s, the Polish economy has had practically full access to modern technological devices, equipment and technologies. Introducing new technologies is a difficult task for project teams, constructors and investors. The author presents a set of principles for project teams useful in planning and energy modernisation. Several essential features are discussed: Energy-efficient appliances and systems; Choice of energy carriers, media and fuels; Optimal tariffs, maximum power and installed power; Intelligent, integrated, steering systems; Waste-energy recovery; Renewable-energy recovery. In practice there are several difficulties connected with planning and realising good technological and economic solutions. The author presents his own experiences of energy-system modernisation of industrial processes and building new objects. (Author)

  6. Initial polishing time affects gloss retention in resin composites.

    Science.gov (United States)

    Waheeb, Nehal; Silikas, Nick; Watts, David

    2012-10-01

    To determine the effect of finishing and polishing time on the surface gloss of various resin-composites before and after simulated toothbrushing. Eight representative resin-composites (Ceram X mono, Ceram X duo, Tetric EvoCeram, Venus Diamond, EsteliteSigma Quick, Esthet.X HD, Filtek Supreme XT and Spectrum TPH) were used to prepare 80 disc-shaped (12 mm x 2 mm) specimens. The two step system Venus Supra was used for polishing the specimens for 3 minutes (Group A) and 10 minutes (Group B). All specimens were subjected to 16,000 cycles of simulated toothbrushing. The surface gloss was measured after polishing and after brushing using the gloss meter. Results were evaluated using one way ANOVA, two ways ANOVA and Dennett's post hoc test (P = 0.05). Group B (10-minute polishing) resulted in higher gloss values (GV) for all specimens compared to Group A (3 minutes). Also Group B showed better gloss retention compared to Group A after simulated toothbrushing. In each group, there was a significant difference between the polished composite resins (P gloss after the simulated toothbrushing.

  7. Sexual Health of Polish Athletes with Disabilities

    Directory of Open Access Journals (Sweden)

    Ryszard Plinta

    2015-06-01

    Full Text Available The purpose of this study was to determine sexual functioning of Polish athletes with disabilities (including paralympians. The study encompassed 218 people with physical disabilities, aged between 18 and 45 (149 men and 69 women. The entire research population was divided into three groups: Polish paralympians (n = 45, athletes with disabilities (n = 126 and non-athletes with disabilities (n = 47. The quality of sexual life of Polish paralympians was measured by using the Polish version of Female Sexual Function Index and International Index of Erectile Function. Clinically significant erectile dysfunctions were most often diagnosed in non-athletes (83.33% with 50% result of severe erectile dysfunctions, followed by athletes and paralympians with comparable results of 56.98% and 54.17% respectively (p = 0.00388. Statistically significant clinical sexual dysfunctions concerned lubrication, orgasm as well as pain domains, and prevailed among female non-athletes (68.42%, 68.42% and 57.89%. Practising sports at the highest level has a favourable effect on the sexuality of men and women with physical disabilities. Men with physical disabilities manifest more sexual disorders than women, an aspect which should be considered by health-care professionals working with people with disabilities.

  8. Rhodium-catalyzed chemo- and regioselective decarboxylative addition of β-ketoacids to alkynes.

    Science.gov (United States)

    Li, Changkun; Grugel, Christian P; Breit, Bernhard

    2016-04-30

    A highly efficient rhodium-catalyzed chemo- and regioselective addition of β-ketoacids to alkynes is reported. Applying a Rh(i)/(S,S)-DIOP catalyst system, γ,δ-unsaturated ketones were prepared with exclusively branched selectivity under mild conditions. This demonstrates that readily available alkynes can be an alternative entry to allyl electrophiles in transition-metal catalyzed allylic alkylation reactions.

  9. Presentation of the verbs in Bulgarian-Polish electronic dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2014-09-01

    Full Text Available Presentation of the verbs in Bulgarian-Polish electronic dictionary This paper briefly discusses the presentation of the verbs in the first electronic Bulgarian-Polish dictionary that is currently being developed under a bilateral collaboration between IMI-BAS and ISS-PAS. Special attention is given to the digital entry classifiers that describe Bulgarian and Polish verbs. Problems related to the correspondence between natural language phenomena and their presentations are discussed. Some examples illustrate the different types of dictionary entries for verbs.

  10. "Agricultural budget" and the competitiveness of the Polish agriculture

    OpenAIRE

    Lenkiewicz, Stanisław; Rokicki, Bartłomiej

    2014-01-01

    The aim of the publication is to assess the impact of public support on the functioning of the Polish agriculture. In order to achieve this aim the publication includes an analysis of the system of direct payments and rural development policy instruments planned to be implemented in Poland within the CAP 2014-2020. The study also presents an analysis of regional diversity of the Polish agriculture and an assessment of the scale of agricultural investment made in recent years in all the Polish...

  11. Small cell lung cancer: chemo- and radiotherapy

    International Nuclear Information System (INIS)

    Drings, P.

    1992-01-01

    Small-Cell Lung Cancer - Chemo- and Radiotherapy: Small-cell lung cancer (SCLC) should be regarded as a systematic disease for which systematic therapy, i.e. chemotherapy, is considered as the cornerstone of treatment. Combination chemotherapy consisting of 2 or mostly 3 active drugs, given at an adequate dose, should be used. Thoracic radiation therapy promises both survival and local-regional control benefits to patients though its optimal role remains to be definitively established. The results of treatment have reached a plateau with a remission rate of up to 90% in stage 'limited disease' and 60% in stage 'extensive disease'. But considering long-term results diseasefree survival and cure only seem possible in 5-10% of patients with limited disease. (orig.) [de

  12. Elastic properties of ultrathin diamond/AlN membranes

    International Nuclear Information System (INIS)

    Zuerbig, V.; Hees, J.; Pletschen, W.; Sah, R.E.; Wolfer, M.; Kirste, L.; Heidrich, N.; Nebel, C.E.; Ambacher, O.; Lebedev, V.

    2014-01-01

    Nanocrystalline diamond- (NCD) and AlN-based ultrathin single layer and bilayer membranes are investigated towards their mechanical properties. It is shown that chemo-mechanical polishing and heavy boron doping of NCD thin films do not impact the elastic properties of NCD layers as revealed by negligible variations of the NCD Young's modulus (E). In addition, it is demonstrated that the combination of NCD elastic layer and AlN piezo-actuator is highly suitable for the fabrication of mechanically stable ultrathin membranes in comparison to AlN single layer membranes. The elastic parameters of NCD/AlN heterostructures are mainly determined by the outstanding high Young's modulus of NCD (E = 1019 ± 19 GPa). Such ultrathin unimorph membranes allow for fabrication of piezo-actuated AlN/NCD microlenses with tunable focus length. - Highlights: • Mechanical properties of nanocrystalline diamond (NCD) and AlN circular membranes • No influence of polishing of NCD thin films on the mechanical properties of NCD • No influence of heavy boron-doping on the mechanical properties of NCD • Demonstration of mechanically stable piezo-actuated NCD/AlN membranes • Reported performance of AlN/NCD microlenses with adjustable focus length

  13. Computer-Controlled Cylindrical Polishing Process for Development of Grazing Incidence Optics for Hard X-Ray Region

    Science.gov (United States)

    Khan, Gufran Sayeed; Gubarev, Mikhail; Speegle, Chet; Ramsey, Brian

    2010-01-01

    The presentation includes grazing incidence X-ray optics, motivation and challenges, mid spatial frequency generation in cylindrical polishing, design considerations for polishing lap, simulation studies and experimental results, future scope, and summary. Topics include current status of replication optics technology, cylindrical polishing process using large size polishing lap, non-conformance of polishin lap to the optics, development of software and polishing machine, deterministic prediction of polishing, polishing experiment under optimum conditions, and polishing experiment based on known error profile. Future plans include determination of non-uniformity in the polishing lap compliance, development of a polishing sequence based on a known error profile of the specimen, software for generating a mandrel polishing sequence, design an development of a flexible polishing lap, and computer controlled localized polishing process.

  14. Effects of Polishing Bur Application Force and Reuse on Sintered Zirconia Surface Topography.

    Science.gov (United States)

    Fischer, N G; Tsujimoto, A; Baruth, A G

    2018-03-16

    Limited information is available on how to polish and finish zirconia surfaces following computer-aided design/computer-aided manufacturing (CAD/CAM), specifically, how differing application forces and reuse of zirconia polishing systems affect zirconia topography. To determine the effect of differing, clinically relevant, polishing application forces and multiple usages of polishing burs on the surface topography of CAD/CAM zirconia. One hundred twenty 220-grit carbide finished zirconia disks were sintered according to manufacturer's directions and divided into two groups for the study of two coarse polishing bur types. Each group was divided into subgroups for polishing (15,000 rpm) at 15 seconds for 1.0 N, 4.5 N, or 11 N of force using a purpose-built fixture. Subgroups were further divided to study the effects of polishing for the first, fifth, 15th, and 30th bur use, simulating clinical procedures. Unpolished surfaces served as a control group. Surfaces were imaged with noncontact optical profilometry (OP) and atomic force microscopy (AFM) to measure average roughness values (Ra). Polishing burs were optically examined for wear. Scanning electron microscopy (SEM) was performed on burs and zirconia surfaces. One-way ANOVA with post hoc Tukey HSD (honest significant difference) tests (α=0.05) were used for statistical analyses. AFM and OP Ra values of all polished surfaces were significantly lower than those of the unpolished control. Different polishing forces and bur reuse showed no significant differences in AFM Ra. However, significant differences in OP Ra were found due to differing application forces and bur reuse between the first and subsequent uses. SEM and optical micrographs revealed notable bur wear, increasing with increasing reuse. SEM and AFM micrographs clearly showed polished, periodic zirconia surfaces. Nanoscale topography, as analyzed with kurtosis and average groove depth, was found dependent on the specific polishing bur type. These in

  15. The Czechoslovak-Polish Club in Brno (1925–1939)

    Czech Academy of Sciences Publication Activity Database

    Baron, Roman

    2011-01-01

    Roč. 3, č. 1 (2011), s. 43-64 ISSN 1803-6546 Institutional research plan: CEZ:AV0Z80150510 Keywords : Czechoslovak-Polish solidarity * Czechoslovak-Polish relations * Brno * associations * Interwar Period Subject RIV: AB - History

  16. A novel single walled carbon nanotube (SWCNT) functionalization agent facilitating in vivo combined chemo/thermo therapy

    Science.gov (United States)

    Zhang, Liwen; Rong, Pengfei; Chen, Minglong; Gao, Shi; Zhu, Lei

    2015-10-01

    Carbon nanotubes (CNTs) have shown intriguing applications in biotechnological and biomedical fields due to their unique shape and properties. However, the fact that unmodified CNTs are prone to aggregation, stunts CNTs applications under physiological conditions. In this research, we found that as little as 1/5th the single walled carbon nanotube (SWCNT) weight of Evans Blue (EB) is capable of dispersing SWCNT as well as facilitating SWCNT functionalization. In view of the binding between EB and albumin, the yielding product (SWCNT/EB) demonstrated extreme stability for weeks under physiological conditions and it can be endowed with a therapeutic ability by simply mixing SWCNT/EB with an albumin based drug. Specifically, the formed SWCNT/EB/albumin/PTX nanocomplex exhibits strong near-infrared (NIR) absorbance, and can serve as an agent for chemo/thermal therapeutic purposes. Our in vivo result reveals that SWCNT/EB/albumin/PTX after being administered into the MDA-MB-435 tumor would effectively ablate the tumor by chemo and photothermal therapy. Such a combined treatment strategy provides remarkable therapeutic outcomes in restraining tumor growth compared to chemo or photothermal therapy alone. Overall, our strategy of dispersing SWCNTs by EB can be used as a platform for carrying other drugs or functional genes with the aid of albumin to treat diseases. The present study opens new opportunities in surface modification of SWCNTs for future clinical disease treatment.Carbon nanotubes (CNTs) have shown intriguing applications in biotechnological and biomedical fields due to their unique shape and properties. However, the fact that unmodified CNTs are prone to aggregation, stunts CNTs applications under physiological conditions. In this research, we found that as little as 1/5th the single walled carbon nanotube (SWCNT) weight of Evans Blue (EB) is capable of dispersing SWCNT as well as facilitating SWCNT functionalization. In view of the binding between EB and

  17. Performance test of condensate polishing system for Qinshan Nuclear Power Plant

    International Nuclear Information System (INIS)

    You Zhaojin; Qian Shijun; Lu Ruiting

    1995-11-01

    The flow chart, resin performance and water quality specifications of the condensate polishing system for Qinshan Nuclear Power Plant (QNPP) are briefly described. The initial regeneration process and the following service of the condensate polishing system are introduced. And the ability to remove corrosion products and ionic impurities of the condensate polishing system are verified during start-up, normal power operation and condenser leakage of the plant. The result shows that the performance of condensate polishing system in QNPP can completely meet the design requirements. Especially during the start-up of the unit or the leakage of the condenser, despite the inlet water quality of the polishers is far worse than the specified standard, the outlet water quality is still controlled within the indexes. Finally, several existing problems, such as 'volume ratio between resins is not optimum' and 'the inert resin and anion resin can not be stratified completely', in the condensate polishing system are also discussed. (4 refs., 1 fig., 8 tabs.)

  18. Influence of polishing on surface roughness following toothbrushing wear of composite resins.

    Science.gov (United States)

    Dalla-Vecchia, Karine Battestin; Taborda, Talita Damas; Stona, Deborah; Pressi, Heloísa; Burnett Júnior, Luiz Henrique; Rodrigues-Junior, Sinval Adalberto

    2017-01-01

    This study aimed to evaluate the influence of different polishing systems on the surface roughness of composite resins following procedures to simulate the effects of toothbrushing over time. Four currently available commercial composites were used to make 128 cylindrical specimens. The specimens were randomly allocated to polishing with a 1-step polisher or 1 of 3 multistep polishers (n = 8 per group). The baseline surface roughness was measured, and the specimens were submitted to 5000, 10,000, and 20,000 brushing cycles to represent toothbrushing throughout 6, 12, and 24 months, respectively. Results showed that surface roughness was influenced by the type of composite and polishing system and was not influenced by the simulated toothbrushing time. However, the surface roughness, as challenged by toothbrushing wear, was affected by the interaction among the composite, the polisher, and the toothbrushing time. The 1-step polisher produced the highest surface roughness and influenced toothbrushing wear resistance of some composites.

  19. Surface analysis of polished fused-silica laser lenses by ion-scattering spectrometry

    International Nuclear Information System (INIS)

    Orvek, K.; Steward, S.A.

    1982-01-01

    New advances in high-powered glass lasers, particularly the NOVA system, have resulted in a need for lenses having higher damage threshold values than those now available. It is currently thought that surface contaminants on the lenses are responsible for initiating part of the damage. These contaminants are apparently introduced during the final polishing stages. In this study, we used ion-scattering spectrometry (ISS) to identify contaminants arising through the use of different polishing techniques. Five lenses were studied, each having undergone different polishing procedures. The first lens was not polished after receiving it from the manfacturer (No. 381). Ion microprobe data were available for this lens, and they were compared to ISS results. The second lens had been polished with rouge, a polishing compound no longer in use (No. 796). This sample served as a further check on the ISS results. The third lens was studied as received from the manufacturer - with no handling or cleaning (No. 802). The final two lenses had both been polished using high-purity ceria, cerium oxide (No. 800 and No. 801). The difference between these two was that No. 800 was polished using a nylon lap, and No. 801 was polished using pitch as a lap. The 800-series lenses were all made from the same batch, and constituted the major part of the investigation

  20. The study of optimization on process parameters of high-accuracy computerized numerical control polishing

    Science.gov (United States)

    Huang, Wei-Ren; Huang, Shih-Pu; Tsai, Tsung-Yueh; Lin, Yi-Jyun; Yu, Zong-Ru; Kuo, Ching-Hsiang; Hsu, Wei-Yao; Young, Hong-Tsu

    2017-09-01

    Spherical lenses lead to forming spherical aberration and reduced optical performance. Consequently, in practice optical system shall apply a combination of spherical lenses for aberration correction. Thus, the volume of the optical system increased. In modern optical systems, aspherical lenses have been widely used because of their high optical performance with less optical components. However, aspherical surfaces cannot be fabricated by traditional full aperture polishing process due to their varying curvature. Sub-aperture computer numerical control (CNC) polishing is adopted for aspherical surface fabrication in recent years. By using CNC polishing process, mid-spatial frequency (MSF) error is normally accompanied during this process. And the MSF surface texture of optics decreases the optical performance for high precision optical system, especially for short-wavelength applications. Based on a bonnet polishing CNC machine, this study focuses on the relationship between MSF surface texture and CNC polishing parameters, which include feed rate, head speed, track spacing and path direction. The power spectral density (PSD) analysis is used to judge the MSF level caused by those polishing parameters. The test results show that controlling the removal depth of single polishing path, through the feed rate, and without same direction polishing path for higher total removal depth can efficiently reduce the MSF error. To verify the optical polishing parameters, we divided a correction polishing process to several polishing runs with different direction polishing paths. Compare to one shot polishing run, multi-direction path polishing plan could produce better surface quality on the optics.

  1. Electrolytic polishing system for space age materials

    International Nuclear Information System (INIS)

    Coons, W.C.; Iosty, L.R.

    1976-01-01

    A simple electrolytic polishing technique was developed for preparing Cr, Co, Hf, Mo, Ni, Re, Ti, V, Zr, and their alloys for structural analysis on the optical microscope. The base electrolyte contains 5g ZnCl 2 and 15g AlCl 3 . 6H 2 O in 200 ml methyl alcohol, plus an amount of H 2 SO 4 depending on the metal being polished. Five etchants are listed

  2. Effects of different polishing techniques on the surface roughness of dental porcelains

    Directory of Open Access Journals (Sweden)

    Işil Sarikaya

    2010-02-01

    Full Text Available OBJECTIVE: The purpose of this study was to evaluate the effects of different polishing techniques on the surface roughness of dental porcelains. MATERIAL AND METHODS: Fifty-five cylindirical specimens (15x2 mm were prepared for each feldspathic (Vita VMK 95, Ceramco III and low-fusing dental porcelain (Matchmaker. Fifty-five specimens of machinable feldspathic porcelain blocks (Vitablocs Mark II, (12x14x18 mm were cut into 2-mm-thick slices (12x14 mm with low speed saw. The prepared specimens were divided into 11 groups (n=5 representing different polishing techniques including control ((C no surface treatment, glaze (G and other 9 groups that were finished and polished with polishing discs (Sof-Lex (Sl, two porcelain polishing kits (NTI (Pk, Dialite II (Di, a diamond polishing paste (Sparkle (Sp, a zirconium silicate based cleaning and polishing prophy paste (Zircate (Zr, an aluminum oxide polishing paste (Prisma Gloss (Pg, and combinations of them. The surface roughness of all groups was measured with a profilometer. The data were analyzed with a 2-way analysis of variance, and the mean values were compared by the Tukey Honestly Significant Difference test (a=0.05. RESULTS: For all porcelain material groups, the lowest Ra values were observed in Group Gl, Group Sl, Group Pk, and Group Di, which were not significantly different from each other (p>0.05.When comparing the 4 different porcelain materials, the machinable feldspathic porcelain block group (Mark II demonstrated statistically significantly less Ra values than the other porcelain materials tested (p<0.05. No significant difference was observed between the VMK 95 and Ceramco III porcelain groups (p=0.919, also these groups demonstrated the highest Ra values. CONCLUSION: Subjected to surface roughness, the surfaces obtained with polishing and/or cleaning-prophy paste materials used alone were rougher compared to the surfaces finished using Sof-lex, Dialite, and NTI polishing kit

  3. HSPB1 Gene Polymorphisms Predict Risk of Mortality for US Patients After Radio(chemo)therapy for Non-Small Cell Lung Cancer

    International Nuclear Information System (INIS)

    Xu Ting; Wei Qingyi; Lopez Guerra, Jose Luis; Wang Lie; Liu Zhensheng; Gomez, Daniel; O'Reilly, Michael; Lin, Steven Hsesheng; Zhuang Yan; Levy, Lawrence B.; Mohan, Radhe; Zhou Honghao; Liao Zhongxing

    2012-01-01

    Purpose: We investigated potential associations between single-nucleotide polymorphisms (SNPs) in the heat shock protein beta-1 (HSPB1) gene and overall survival in US patients with non-small cell lung cancer (NSCLC). Methods and Materials: Using available genomic DNA samples from 224 patients with NSCLC treated with definitive radio(chemo)therapy, we genotyped 2 SNPs of HSPB1 (NCBI SNP nos. rs2868370 and rs2868371). We used both Kaplan-Meier cumulative probability and Cox proportional hazards analyses to evaluate the effect of HSPB1 genotypes on survival. Results: Our cohort consisted of 117 men and 107 women, mostly white (79.5%), with a median age of 70 years. The median radiation dose was 66 Gy (range, 63-87.5 Gy), and 183 patients (82%) received concurrent platinum-based chemotherapy. The most common genotype of the rs2868371 SNP was CC (61%). Univariate and multivariate analyses showed that this genotype was associated with poorer survival than CG and GG genotypes (univariate hazard ratio [HR] = 1.39, 95% confidence interval [CI], 1.02-1.90; P=.037; multivariate HR = 1.39; 95% CI, 1.01-1.92; P=.045). Conclusions: Our results showed that the CC genotype of HSPB1 rs2868371 was associated with poorer overall survival in patients with NSCLC after radio(chemo)therapy, findings that contradict those of a previous study of Chinese patients. Validation of our findings with larger numbers of similar patients is needed, as are mechanical and clinical studies to determine the mechanism underlying these associations.

  4. Polish Phoneme Statistics Obtained On Large Set Of Written Texts

    Directory of Open Access Journals (Sweden)

    Bartosz Ziółko

    2009-01-01

    Full Text Available The phonetical statistics were collected from several Polish corpora. The paper is a summaryof the data which are phoneme n-grams and some phenomena in the statistics. Triphonestatistics apply context-dependent speech units which have an important role in speech recognitionsystems and were never calculated for a large set of Polish written texts. The standardphonetic alphabet for Polish, SAMPA, and methods of providing phonetic transcriptions are described.

  5. Polishing compound for plastic surfaces

    Science.gov (United States)

    Stowell, M.S.

    1991-01-01

    This invention is comprised of a polishing compound for plastic materials. The compound includes approximately by approximately by weight 25 to 80 parts at least one petroleum distillate lubricant, 1 to 12 parts mineral spirits, 50 to 155 parts abrasive paste, and 15 to 60 parts water. Preferably, the compound includes approximately 37 to 42 parts at least one petroleum distillate lubricant, up to 8 parts mineral spirits, 95 to 110 parts abrasive paste, and 50 to 55 parts water. The proportions of the ingredients are varied in accordance with the particular application. The compound is used on PLEXIGLAS{trademark}, LEXAN{trademark}, LUCITE{trademark}, polyvinyl chloride (PVC), and similar plastic materials whenever a smooth, clear polished surface is desired.

  6. Implications of the Differential Toxicological Effects of III-V Ionic and Particulate Materials for Hazard Assessment of Semiconductor Slurries.

    Science.gov (United States)

    Jiang, Wen; Lin, Sijie; Chang, Chong Hyun; Ji, Zhaoxia; Sun, Bingbing; Wang, Xiang; Li, Ruibin; Pon, Nanetta; Xia, Tian; Nel, André E

    2015-12-22

    Because of tunable band gaps, high carrier mobility, and low-energy consumption rates, III-V materials are attractive for use in semiconductor wafers. However, these wafers require chemical mechanical planarization (CMP) for polishing, which leads to the generation of large quantities of hazardous waste including particulate and ionic III-V debris. Although the toxic effects of micron-sized III-V materials have been studied in vivo, no comprehensive assessment has been undertaken to elucidate the hazardous effects of submicron particulates and released III-V ionic components. Since III-V materials may contribute disproportionately to the hazard of CMP slurries, we obtained GaP, InP, GaAs, and InAs as micron- (0.2-3 μm) and nanoscale (particles for comparative studies of their cytotoxic potential in macrophage (THP-1) and lung epithelial (BEAS-2B) cell lines. We found that nanosized III-V arsenides, including GaAs and InAs, could induce significantly more cytotoxicity over a 24-72 h observation period. In contrast, GaP and InP particulates of all sizes as well as ionic GaCl3 and InCl3 were substantially less hazardous. The principal mechanism of III-V arsenide nanoparticle toxicity is dissolution and shedding of toxic As(III) and, to a lesser extent, As(V) ions. GaAs dissolves in the cell culture medium as well as in acidifying intracellular compartments, while InAs dissolves (more slowly) inside cells. Chelation of released As by 2,3-dimercapto-1-propanesulfonic acid interfered in GaAs toxicity. Collectively, these results demonstrate that III-V arsenides, GaAs and InAs nanoparticles, contribute in a major way to the toxicity of III-V materials that could appear in slurries. This finding is of importance for considering how to deal with the hazard potential of CMP slurries.

  7. EFFECTS OF POLISHING TIME AND THERMALCYCLINGON THE MICROLEAKAGE OF FOUR TOOTH –COLOURED DIRECT RESTORATIVE MATERIALS

    Directory of Open Access Journals (Sweden)

    V MORTAZAVI

    2002-09-01

    Full Text Available Introdaction. Microleakage has been recognized as a major clinical problem with direct filled dental restorations.The purpose of this study was to investigate and to compare the microleakage of four direct filled tooth-coloured materials, evaluation the effects of polishing time and thermocycling on the microleakage of these materials. Methods. Wedge-shaped class V cavities were prepared on buccal and lingual surfaces of 96 intact extracted human molar teeth. The teeth were randomly divided into three treatment groups and four subgroups. The cavities of each subgroup were filled using one of these materials: a conventional glass ionomer; a resin modified glass ionomer; a composite resin and a compomer. Polishing in the teeth of group 1 was done immediately after placement of restorations and in group 2 one week later. In group 3 delayed polishing and thermocycling (X100 was done. All of the teeth were stored in distilled water for one week and then stained with dye, sectioned, and scored for microleakage on occlusal and cervical edges. Results were statistically analyzed by Kruskal wallis and Mann whitney tests. Results. There was a statistically difference between the microleakage scores of four materials (P < 0.001. Immediately polished glass ionomer and compomer groups have significantly more microleakage than delayed polished groups (P < 0.001. Thermocycting could infulence the microleakage of composite on cervical edges (P < 0.05. Discussion. The precense of differences between the nature of materials and also the surface treatment such as primer or etchant application could influence the microleakage. The prescence of differences in reaction rate between the materials and the time that they reach to their adequate mechanical strength and adhesive bond strength lead to presence of differences between the effect of polishing time on the microleakage scores of materials.

  8. Prognostic factors, patterns of recurrence and toxicity for patients with esophageal cancer undergoing definitive radiotherapy or chemo-radiotherapy

    International Nuclear Information System (INIS)

    Haefner, Matthias F.; Lang, Kristin; Krug, David; Koerber, Stefan A.; Debus, Juergen; Sterzing, Florian; Uhlmann, Lorenz; Kieser, Meinhard

    2015-01-01

    The aim of this study was to evaluate the effectiveness and tolerability of definitive chemo-radiation or radiotherapy alone in patients with esophageal cancer. We retrospectively analyzed the medical records of n = 238 patients with squamous cell carcinoma or adenocarcinoma of the esophagus treated with definitive radiotherapy with or without concomitant chemotherapy at our institution between 2000 and 2012. Patients of all stages were included to represent actual clinical routine. We performed univariate and multivariate analysis to identify prognostic factors for overall survival (OS) and progression-free survival (PFS). Moreover, treatment-related toxicity and patterns of recurrence were assessed. Patients received either chemo-radiation (64%), radiotherapy plus cetuximab (10%) or radiotherapy alone (26%). In 69%, a boost was applied, resulting in a median cumulative dose of 55.8 Gy; the remaining 31% received a median total dose of 50 Gy. For the entire cohort, the median OS and PFS were 15.0 and 11.0 months, respectively. In multivariate analysis, important prognostic factors for OS and PFS were T stage (OS: P = 0.005; PFS: P = 0.006), M stage (OS: P = 0.015; PFS: P = 0.003), concomitant chemotherapy (P < 0.001) and radiation doses of >55 Gy (OS: P = 0.019; PFS: P = 0.022). Recurrences occurred predominantly as local in-field relapse or distant metastases. Toxicity was dominated by nutritional impairment (12.6% with G3/4 dysphagia) and chemo-associated side effects. Definitive chemo-radiation in patients with esophageal cancer results in survival rates comparable with surgical treatment approaches. However, local and distant recurrence considerably restrict prognosis. Further advances in radio-oncological treatment strategies are necessary for improving outcome. (author)

  9. Electro-chemo-mechanical response of a free-standing polypyrrole strip

    International Nuclear Information System (INIS)

    Vazquez, G; Otero, T F; Cascales, J J L

    2008-01-01

    Further development of mechanical devices based on conducting polymers; require a precise understanding of their mechanical response, i.e. their control, under a controlled external current. In this work, we show some results for the relation between the electrical current consumed in the electrochemical process and the mechanical work developed by a freestanding polypyrrole strip, when it is subjected to a stretching force (stress). Under these conditions, from the results obtained in this work, we observe how it results almost impossible to predict a straight relationship between mechanical work and current consumed in the electrochemical process. In addition, we will quantify the variation of the mechanical properties of the free standing polypyrrole strip associated with the oxidation state of the polymer by measuring its Young's modulus.

  10. Pseudo-random tool paths for CNC sub-aperture polishing and other applications.

    Science.gov (United States)

    Dunn, Christina R; Walker, David D

    2008-11-10

    In this paper we first contrast classical and CNC polishing techniques in regard to the repetitiveness of the machine motions. We then present a pseudo-random tool path for use with CNC sub-aperture polishing techniques and report polishing results from equivalent random and raster tool-paths. The random tool-path used - the unicursal random tool-path - employs a random seed to generate a pattern which never crosses itself. Because of this property, this tool-path is directly compatible with dwell time maps for corrective polishing. The tool-path can be used to polish any continuous area of any boundary shape, including surfaces with interior perforations.

  11. Median survival time of patients after transcatheter chemo-embolization for hepatocellular carcinoma

    International Nuclear Information System (INIS)

    Haider, Z.; Haq, T.; Munir, K.; Usman, M.U.; Azeemuddin, M.

    2006-01-01

    Objective: To determine the effect on survival after trans arterial chemo embolization (TACE) in patients with unresectable hepatocellular carcinoma (HCC). Design: Longitudinal cohort study. Place and Duration of Study: Radiology Department, The Aga Khan University Hospital, Stadium Road, Karachi, from December 1997 to September 2005. Patients and Methods: Patients undergoing TACE procedure for HCC were prospectively followed. Forty three patients were enrolled from December 1997 to March 2003 in the study and subjected to chemo embolization therapy. Eight out of 43 patients were excluded from the study, who lost to follow-up. All the patients were followed till their death. Median and mean survival were calculated. Results: The median survival of these 35 patients was 410 days (13.6 months), with 95% confidence interval (236 days lower bound and 536 days upper bound). Mean survival time was 603 days (20.1 months) with 95% confidence interval (394 days lower bound and 812 days upper bound). There was significant difference in mean survival time (in days) by Child's Pugh class (X2 = 12.384; df=2, p-value=0.002). Conclusion: The study showed that TACE is an effective palliative treatment. TACE increases the median survival time. (author)

  12. Phonematic translation of Polish texts by the neural network

    International Nuclear Information System (INIS)

    Bielecki, A.; Podolak, I.T.; Wosiek, J.; Majkut, E.

    1996-01-01

    Using the back propagation algorithm, we have trained the feed forward neural network to pronounce Polish language, more precisely to translate Polish text into its phonematic counterpart. Depending on the input coding and network architecture, 88%-95% translation efficiency was achieved. (author)

  13. CD133 expression in chemo-resistant Ewing sarcoma cells

    Directory of Open Access Journals (Sweden)

    Kovar Heinrich

    2010-03-01

    Full Text Available Abstract Background Some human cancers demonstrate cellular hierarchies in which tumor-initiating cancer stem cells generate progeny cells with reduced tumorigenic potential. This cancer stem cell population is proposed to be a source of therapy-resistant and recurrent disease. Ewing sarcoma family tumors (ESFT are highly aggressive cancers in which drug-resistant, relapsed disease remains a significant clinical problem. Recently, the cell surface protein CD133 was identified as a putative marker of tumor-initiating cells in ESFT. We evaluated ESFT tumors and cell lines to determine if high levels of CD133 are associated with drug resistance. Methods Expression of the CD133-encoding PROM1 gene was determined by RT-PCR in ESFT tumors and cell lines. CD133 protein expression was assessed by western blot, FACS and/or immunostaining. Cell lines were FACS-sorted into CD133+ and CD133- fractions and proliferation, colony formation in soft agar, and in vivo tumorigenicity compared. Chemosensitivity was measured using MTS (3-(4,5-dimethylthiazol-2-yl-5-(3-carboxy-methoxyphenyl-2-(4-sulfophenyl-2H-tetrazolium assays. Results PROM1 expression was either absent or extremely low in most tumors. However, PROM1 was highly over-expressed in 4 of 48 cases. Two of the 4 patients with PROM1 over-expressing tumors rapidly succumbed to primary drug-resistant disease and two are long-term, event-free survivors. The expression of PROM1 in ESFT cell lines was similarly heterogeneous. The frequency of CD133+ cells ranged from 2-99% and, with one exception, no differences in the chemoresistance or tumorigenicity of CD133+ and CD133- cell fractions were detected. Importantly, however, the STA-ET-8.2 cell line was found to retain a cellular hierarchy in which relatively chemo-resistant, tumorigenic CD133+ cells gave rise to relatively chemo-sensitive, less tumorigenic, CD133- progeny. Conclusions Up to 10% of ESFT express high levels of PROM1. In some tumors and cell

  14. Electro-chemo-mechanical response of a free-standing polypyrrole strip

    Energy Technology Data Exchange (ETDEWEB)

    Vazquez, G; Otero, T F; Cascales, J J L [Centra de ElectroquImica y Materiales Inteligentes (CEMI), Universidad Politecnica de Cartagena, Cartagena 30203, Murcia (Spain)], E-mail: javier.lopez@upct.es

    2008-08-15

    Further development of mechanical devices based on conducting polymers; require a precise understanding of their mechanical response, i.e. their control, under a controlled external current. In this work, we show some results for the relation between the electrical current consumed in the electrochemical process and the mechanical work developed by a freestanding polypyrrole strip, when it is subjected to a stretching force (stress). Under these conditions, from the results obtained in this work, we observe how it results almost impossible to predict a straight relationship between mechanical work and current consumed in the electrochemical process. In addition, we will quantify the variation of the mechanical properties of the free standing polypyrrole strip associated with the oxidation state of the polymer by measuring its Young's modulus.

  15. Radio(chemo)therapy of the anal carcinoma. A retrospective study

    International Nuclear Information System (INIS)

    Sauer, Thomas Albert

    2013-01-01

    In a retrospective study the data of a consecutive cohort of 138 patients with a locally advanced squamous cell anal cancer were analysed, who were treated with a radio(chemo)therapy in the period from 1988 to 2011. The 5-year overall survival rate was 82%±4%. T category, UICC clinical stage, histopathologic grading and the ECOG performance status were significantly associated with overall survival. Acute toxicity grade 3/4 and chronic side effects grade 3 were found in 58% and 37% of the patients, respectively.

  16. Synthesis and Characterization of PEDOT Derivative with Carboxyl Group and Its Chemo/Bio Sensing Application as Nanocomposite, Immobilized Biological and Enhanced Optical Materials

    International Nuclear Information System (INIS)

    Zhang, Long; Wen, Yangping; Yao, Yuanyuan; Xu, Jingkun; Duan, Xuemin; Zhang, Ge

    2014-01-01

    Graphical abstract: Electropolymerization of C4-EDOT-COOH and corresponding polymer's sensing application for environmental, pharmaceutical, biology and food. -- Highlights: •C4-EDOT-COOH monomer with good solubility in water was synthesized by an efficient five-step route. •That acidic conditions were favorable for the electropolymerization of C4-EDOT-COOH. •The resulting high-quality polymer film can be employed for the fabrication of chemo/bio-sensors and optical sensors. •These as-prepared sensors can be applied to the simple, fast and sensitive detection of different analytes. -- Abstract: Various electrochemical chemo/bio-sensors and optical sensors are facilely explored for the sensitive determination of biomolecules, drug molecules, environmental pollutants, and metal ions using a carboxylic-functionalized poly(3,4-ethylenedioxythiophene) derivative (PC4), which is easily obtained by the direct electropolymerization of a water-soluble 4-((2,3-dihydrothieno[3,4-b][1,4] dioxin-2-yl) methoxy)-4-oxobutanoic acid (C4-EDOT-COOH) monomer in a microemulsion system. The effect of different pH values on the electropolymerization of C4-EDOT-COOH monomer is investigated, and the as-prepared PC4 film is characterized by electrochemical method, infrared spectrum, and scanning electron microscope. The resulting high-quality PC4 film as a sensing material not only can combine with various biologically active species via covalent linkage and inorganic materials via layer-by-layer self-assembly for the construction of electrochemical chemo/bio-sensors, but also excellent optical performance of PC4 can be employed for the fabrication of optical sensors. These as-prepared chemo/bio-sensors can be applied to the simple, fast and sensitive detection of environmental pollutants, pharmaceuticals, hazardous substances, and biological active substance and nutrients present in food by means of electrochemistry, ultraviolet and fluorescence spectroscopy. Satisfactory results

  17. The place of polish in the multilingual space of the European Union

    Directory of Open Access Journals (Sweden)

    T. I. Neprytska

    2015-03-01

    Full Text Available The article studies the position of the Polish language in the multilingual space of the European Union and determines the key factors which facilitate its gaining popularity and spreading in Europe. A large territory and population determine the significant presence of Polish in the European Union. Intense economic development facilitates popularization of learning and using Polish in the business medium, however, English was and still remains the dominating language of business. Active work of the state on improving the reputation of the country abroad, civilizational (value­based unity with other nations of the EU, favorable geographical position, common Indo­European roots of Germanic, Romanic and Slavonic  languages as well as usage of the Latin type create favorable conditions for the development and popularization of Polish on the territory of the EU. The article also mentions a number of concerns, which are rooted in the historical past of a dependent or semi­dependent existence of the Polish people, namely, the existence of the Polish and culture in the shade of German and Russian culture space, the negative international image of modern Poland, which was formed at the beginning of the 1990­s, the low level of Europeans’ familiarization with the Polish culture, absence of popularity and economic necessity of learning Polish abroad.

  18. Financialization and the cultural attitude of Polish society towards the banking sector

    Directory of Open Access Journals (Sweden)

    Lech Kurkliński

    2016-10-01

    Full Text Available The article is dedicated to changes in the cultural attitude of Polish society towards the banking sector under the conditions of progressing financialization and arising consequences for the banking sector. First of all, attention is focused on the cultural characteristics of Poles, their attitude towards finance, especially in the period of transition. The main reference to cultural conditions relates to the tendency to save, incur loans, and the attitude towards banks. It is complemented, among other things, by the model of cultural dimensions by G. Hofstede and S. Schwartz, in line with which attempts are made to explain the mechanisms shaping the financial (banking system and the financialization tendency. This picture is confronted with the present shape and evolution of the Polish banking sector since 1989, including the role of foreign capital. In particular, attention is focused on the image of banks towards challenges related to the global financial crisis and the main problem of Polish banking institutions, namely household mortgage debt in foreign currencies. The author presents a thesis that significant financialization cannot be indicated in Poland and the historical and cultural aspects do not cause its expansion to the same degree as in a number of other countries. However, certain features, such as preference for loans rather than savings, are favorable for this direction.

  19. Mechanics of soft materials

    CERN Document Server

    Volokh, Konstantin

    2016-01-01

    This book provides a concise introduction to soft matter modelling. It offers an up-to-date review of continuum mechanical description of soft and biological materials from the basics to the latest scientific materials. It includes multi-physics descriptions, such as chemo-, thermo-, electro- mechanical coupling. It derives from a graduate course at Technion that has been established in recent years. It presents original explanations for some standard materials and features elaborated examples on all topics throughout the text. PowerPoint lecture notes can be provided to instructors. .

  20. Forming a health culture of future teachers in Polish educational establishments

    Directory of Open Access Journals (Sweden)

    T.S. IERMAKOVA

    2014-10-01

    Full Text Available Aim: to study the experience of the structure and system of training of future teachers in Polish schools. Material: content analysis of domestic and foreign authors. Used data from the survey of students of Polish universities. Also were used survey results through polish service ANKIETKA. For comparison, a questionnaire survey 35 students of the Faculty of Physical Education (future teachers of physical training and 30 students - the future teachers of elementary school of Ukrainian university. Results: the study of Polish teachers consider health culture of a person as the ability to assess individual and community health needs using in everyday life hygiene and health regulations. There have been some differences among Ukrainian and Polish students in their health and health culture. Among the respondents, Polish students - the future teachers of physical culture, is dominated motives such as the improvement of the physical condition, strengthen self-esteem, as well as improved health. Polish students from other disciplines believe that the most important motive for the adoption of physical activity is a concern for the physical well-being and mental health. The majority of Ukrainian students (future teachers of physical culture believe an important part of building health culture of their direct participation in various sports clubs, as well as the ability to organize physical culture, sports and educational work with students outside the classroom. Ukrainian students (other specialty noted the need to improve health, enhance knowledge in specific subjects humanities and promoting healthy lifestyles. Conclusions: It is recommended to use the experience of preparing students of Polish schools in modern Ukrainian higher education.

  1. Confocal Raman spectrocopy for the analysis of nail polish evidence.

    Science.gov (United States)

    López-López, Maria; Vaz, Joana; García-Ruiz, Carmen

    2015-06-01

    Nail polishes are cosmetic paints that may be susceptible of forensic analysis offering useful information to assist in a crime reconstruction. Although the nail polish appearance could allow a quick visual identification of the sample, this analysis is subjected to the perception and subjective interpretation of the forensic examiner. The chemical analysis of the nail polishes offers great deal of information not subjected to analyst interpretation. Confocal Raman spectroscopy is a well-suited technique for the analysis of paints due to its non-invasive and non-destructive nature and its ability to supply information about the organic and inorganic components of the sample. In this work, 77 regular and gel nail polishes were analyzed with confocal Raman spectroscopy using two laser wavelengths (532 and 780 nm). The sample behavior under the two laser wavelengths and the differences in the spectra taken at different points of the sample were studied for each nail polish. Additionally, the spectra obtained for all the nail polishes were visually compared. The results concluded that the longer laser wavelength prevents sample burning and fluorescence effects; the similarity among the spectra collected within the sample is not directly related with the presence of glitter particles; and 64% of the samples analyzed showed a characteristic spectrum. Additionally, the use of confocal Raman spectroscopy for the forensic analysis of nail polishes evidence in the form of flakes or smudges on different surfaces were studied. The results showed that both types of evidence can be analyzed by the technique. Also, two non-invasive sampling methods for the collection of the evidence from the nails of the suspect or the victim were proposed: (i) to use acetone-soaked cotton swabs to remove the nail varnishes and (ii) to scrape the nail polish from the nail with a blade. Both approaches, each exhibiting advantages and drawbacks in terms of transport and handling were appropriate

  2. Jewish problem in the Polish Communist Party

    Directory of Open Access Journals (Sweden)

    Cimek Henryk

    2016-12-01

    Full Text Available Jews accounted for approx. 8-10% of the population of the Second Republic and in the communist movement (Polish Communist Party and Polish Communist Youth Union the rate was approx, 30%, while in subsequent years it much fluctuated. The percentage of Jews was the highest in the authorities of the party and in the KZMP. This had a negative impact on the position of the KPP on many issues, especially in its relation to the Second Republic.

  3. A coupled mechanical and chemical damage model for concrete affected by alkali–silica reaction

    Energy Technology Data Exchange (ETDEWEB)

    Pignatelli, Rossella, E-mail: rossellapignatelli@gmail.com [Department of Civil and Environmental Engineering, Politecnico di Milano, Piazza L. da Vinci 32, 20133 Milano (Italy); Lombardi Ingegneria S.r.l., Via Giotto 36, 20145 Milano (Italy); Comi, Claudia, E-mail: comi@stru.polimi.it [Department of Civil and Environmental Engineering, Politecnico di Milano, Piazza L. da Vinci 32, 20133 Milano (Italy); Monteiro, Paulo J.M., E-mail: monteiro@ce.berkeley.edu [Department of Civil and Environmental Engineering, University of California, Berkeley, CA 94720 (United States)

    2013-11-15

    To model the complex degradation phenomena occurring in concrete affected by alkali–silica reaction (ASR), we formulate a poro-mechanical model with two isotropic internal variables: the chemical and the mechanical damage. The chemical damage, related to the evolution of the reaction, is caused by the pressure generated by the expanding ASR gel on the solid concrete skeleton. The mechanical damage describes the strength and stiffness degradation induced by the external loads. As suggested by experimental results, degradation due to ASR is considered to be localized around reactive sites. The effect of the degree of saturation and of the temperature on the reaction development is also modeled. The chemical damage evolution is calibrated using the value of the gel pressure estimated by applying the electrical diffuse double-layer theory to experimental values of the surface charge density in ASR gel specimens reported in the literature. The chemo-damage model is first validated by simulating expansion tests on reactive specimens and beams; the coupled chemo-mechanical damage model is then employed to simulate compression and flexure tests results also taken from the literature. -- Highlights: •Concrete degradation due to ASR in variable environmental conditions is modeled. •Two isotropic internal variables – chemical and mechanical damage – are introduced. •The value of the swelling pressure is estimated by the diffuse double layer theory. •A simplified scheme is proposed to relate macro- and microscopic properties. •The chemo-mechanical damage model is validated by simulating tests in literature.

  4. Gynecologic examination and cervical biopsies after (chemo) radiation for cervical cancer to identify patients eligible for salvage surgery

    International Nuclear Information System (INIS)

    Nijhuis, Esther R.; Zee, Ate G.J. van der; Hout, Bertha A. in 't; Boomgaard, Jantine J.; Hullu, Joanne A. de; Pras, Elisabeth; Hollema, Harry; Aalders, Jan G.; Nijman, Hans W.; Willemse, Pax H.B.; Mourits, Marian J.E.

    2006-01-01

    Purpose: The aim of this study was to evaluate efficacy of gynecologic examination under general anesthesia with cervical biopsies after (chemo) radiation for cervical cancer to identify patients with residual disease who may benefit from salvage surgery. Methods and Materials: In a retrospective cohort study data of all cervical cancer patients with the International Federation of Gynecology and Obstetrics (FIGO) Stage IB1 to IVA treated with (chemo) radiation between 1994 and 2001 were analyzed. Patients underwent gynecologic examination under anesthesia 8 to 10 weeks after completion of treatment. Cervical biopsy samples were taken from patients judged to be operable. In case of residual cancer, salvage surgery was performed. Results: Between 1994 and 2001, 169 consecutive cervical cancer patients received primary (chemo) radiation, of whom 4 were lost to follow-up. Median age was 56 years (interquartile range [IQR], 44-71) and median follow-up was 3.5 years (IQR, 1.5-5.9). In each of 111 patients a biopsy sample was taken, of which 90 (81%) showed no residual tumor. Vital tumor cells were found in 21 of 111 patients (19%). Salvage surgery was performed in 13 of 21 (62%) patients; of these patients, 5 (38%) achieved long-term, complete remission after salvage surgery (median follow-up, 5.2 years; range, 3.9-8.8 years). All patients with residual disease who did not undergo operation (8/21) died of progressive disease. Locoregional control was more often obtained in patients who underwent operation (7 of 13) than in patients who were not selected for salvage surgery (0 of 8 patients) (p < 0.05). Conclusions: Gynecologic examination under anesthesia 8 to 10 weeks after (chemo) radiation with cervical biopsies allows identification of those cervical cancer patients who have residual local disease, of whom a small but significant proportion may be salvaged by surgery

  5. Borel hierarchies in infinite products of Polish spaces

    Indian Academy of Sciences (India)

    with two product topologies: (i) the product of copies of the Polish topology on X, so that H is again a Polish space and (ii) the product of copies of the discrete topology on X. Define now the Borel hierarchy in the larger topology on H. To do so, we need some notation. An element of H will be denoted by h = (x1,x2,...,xn,.

  6. Engineering solutions for the electro-polishing of multi-cell superconducting accelerators structures

    International Nuclear Information System (INIS)

    Schulz, E.; Bandelmann, R.; Escherich, K.; Keese, D.; Leenen, M.; Lilje, L.; Matheisen, A.; Morales, H.; Schmueser, P.; Seidel, M.; Steinhau-Kuehl, N.; Tiessen, J.

    2003-01-01

    Due to surface treatment with electro-polishing superconducting niobium resonators can potentially reach accelerating gradients well beyond 35 MV/m at a frequency of 1.3 GHz. The anticipated gradient for the 500GeV version of the TESLA collider is 23.4 MV/m. In view of the extendibility of the collider towards higher energies this technology is therefore of great importance for the TESLA project. In this paper we discuss the engineering aspects of the planned electro-polishing facility at DESY. The facility will allow for the treatment of single cell cavities as well as the standard TESLA 9-cell structure, and also a so called superstructure that consists of 2 x 9 cells. The issues described cover the acid circulation including cooling requirements, the required current densities resulting in the specifications of the electrical circuit, removal of oxyhydrogen gas, rotating feed-through and the overall mechanical layout. Furthermore we report on recent tests of critical components. (author)

  7. A competing risk model of first failure site after definitive (chemo) radiation therapy for locally advanced non-small cell lung cancer

    DEFF Research Database (Denmark)

    Nygård, Lotte; Vogelius, Ivan R; Fischer, Barbara M

    2018-01-01

    INTRODUCTION: The aim of the study was to build a model of first failure site and lesion specific failure probability after definitive chemo-radiotherapy for inoperable non-small cell lung cancer (NSCLC). METHODS: We retrospectively analyzed 251 patients receiving definitive chemo......-regional failure, multivariable logistic regression was applied to assess risk of each lesion being first site of failure. The two models were used in combination to predict lesion failure probability accounting for competing events. RESULTS: Adenocarcinoma had a lower hazard ratio (HR) of loco-regional (LR...

  8. Polishing Sapphire Substrates by 355 nm Ultraviolet Laser

    Directory of Open Access Journals (Sweden)

    X. Wei

    2012-01-01

    Full Text Available This paper tries to investigate a novel polishing technology with high efficiency and nice surface quality for sapphire crystal that has high hardness, wear resistance, and chemical stability. A Q-switched 355 nm ultraviolet laser with nanosecond pulses was set up and used to polish sapphire substrate in different conditions in this paper. Surface roughness Ra of polished sapphire was measured with surface profiler, and the surface topography was observed with scanning electronic microscope. The effects of processing parameters as laser energy, pulse repetition rate, scanning speed, incident angle, scanning patterns, and initial surface conditions on surface roughness were analyzed.

  9. Effect of One-Step and Multi-Steps Polishing System on Enamel Roughness

    Directory of Open Access Journals (Sweden)

    Cynthia Sumali

    2013-07-01

    Full Text Available Normal 0 false false false MicrosoftInternetExplorer4 The final procedures of orthodontic treatment are bracket debonding and cleaning the remaining adhesive. Multi-step polishing system is the most common method used. The disadvantage of that system is long working time, because of the stages that should be done. Therefore, dental material manufacturer make an improvement to the system, to reduce several stages into one stage only. This new system is known as one-step polishing system. Objective: To compare the effect of one-step and multi-step polishing system on enamel roughness after orthodontic bracket debonding. Methods: Randomized control trial was conducted included twenty-eight maxillary premolar randomized into two polishing system; one-step OptraPol (Ivoclar, Vivadent and multi-step AstroPol (Ivoclar, Vivadent. After bracket debonding, the remaining adhesive on each group was cleaned by subjective polishing system for ninety seconds using low speed handpiece. The enamel roughness was subjected to profilometer, registering two roughness parameters (Ra, Rz. Independent t-test was used to analyze the mean score of enamel roughness in each group. Results: There was no significant difference of enamel roughness between one-step and multi-step polishing system (p>0.005. Conclusion: One-step polishing system can produce a similar enamel roughness to multi-step polishing system after bracket debonding and adhesive cleaning.DOI: 10.14693/jdi.v19i3.136

  10. Synthesis and biological evaluation of several dephosphonated analogues of CMP-Neu5Ac as inhibitors of GM3-synthase.

    Science.gov (United States)

    Rota, Paola; Cirillo, Federica; Piccoli, Marco; Gregorio, Antonio; Tettamanti, Guido; Allevi, Pietro; Anastasia, Luigi

    2015-10-05

    Previous studies demonstrated that reducing the GM3 content in myoblasts increased the cell resistance to hypoxic stress, suggesting that a pharmacological inhibition of the GM3 synthesis could be instrumental for the development of new treatments for ischemic diseases. Herein, the synthesis of several dephosphonated CMP-Neu5Ac congeners and their anti-GM3-synthase activity is reported. Biological activity testes revealed that some inhibitors almost completely blocked the GM3-synthase activity in vitro and reduced the GM3 content in living embryonic kidney 293A cells, eventually activating the epidermal growth factor receptor (EGFR) signaling cascade. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. The View of Lithuanian Statehood Held by the Polish Underground during 1939-1944

    OpenAIRE

    Bubnys, Arūnas

    2006-01-01

    The article investigates the attitudes of Polish underground actors towards the statehood of Lithuania, its territorial integrity, and Lithuanian-Polish relations during the World War II. The author draws the conclusion that Polish underground political structures expressed a hostile and prejudiced attitude towards Lithuania. All the blame and responsibility for bad mutual relations is put on Lithuania and Lithuanians. Polish underground actors living in Vilnija were extremely hostile towards...

  12. Experimental Study on Layered Ice Bonded Abrasive Polishing of Glass-ceramics

    Directory of Open Access Journals (Sweden)

    Yuli SUN

    2014-12-01

    Full Text Available Layered ice bonded abrasive tools (LIBAT is a new kind of one which not only has the ability of lapping and polishing but also has the effect of self-dressing. In this paper, two kinds of layered ice bonded abrasive tools were designed and manufactured. Experimental studies on layered ice bonded abrasive (LIBA polishing of glass-ceramics were conducted. The results show that the surface topography of glass-ceramics polished by micro α-Al2O3-nano α-Al2O3 LIBAT is better than that of polished by micro α-Al2O3-nano SiO2 LIBAT. The surface roughness Sa of glass-ceramics polished by the two kinds of LIBAT is at the nanometer scale. The reasons of this phenomenon were analyzed. The experimental results illustrate that the LIBAT shows good effect and can be used in production practice. DOI: http://dx.doi.org/10.5755/j01.ms.20.4.6149

  13. Energy savings in Polish buildings

    Energy Technology Data Exchange (ETDEWEB)

    Markel, L.C.; Gula, A.; Reeves, G.

    1995-12-31

    A demonstration of low-cost insulation and weatherization techniques was a part of phase 1 of the Krakow Clean Fossil Fuels and Energy Efficient Project. The objectives were to identify a cost-effective set of measures to reduce energy used for space heating, determine how much energy could be saved, and foster widespread implementation of those measures. The demonstration project focused on 4 11-story buildings in a Krakow housing cooperative. Energy savings of over 20% were obtained. Most important, the procedures and materials implemented in the demonstration project have been adapted to Polish conditions and applied to other housing cooperatives, schools, and hospitals. Additional projects are being planned, in Krakow and other cities, under the direction of FEWE-Krakow, the Polish Energie Cities Network, and Biuro Rozwoju Krakowa.

  14. Experimental Study on Abrasive Waterjet Polishing of Hydraulic Turbine Blades

    International Nuclear Information System (INIS)

    Khakpour, H; Birglenl, L; Tahan, A; Paquet, F

    2014-01-01

    In this paper, an experimental investigation is implemented on the abrasive waterjet polishing technique to evaluate its capability in polishing of surfaces and edges of hydraulic turbine blades. For this, the properties of this method are studied and the main parameters affecting its performance are determined. Then, an experimental test-rig is designed, manufactured and tested to be used in this study. This test-rig can be used to polish linear and planar areas on the surface of the desired workpieces. Considering the number of parameters and their levels, the Taguchi method is used to design the preliminary experiments. All experiments are then implemented according to the Taguchi L 18 orthogonal array. The signal-to-noise ratios obtained from the results of these experiments are used to determine the importance of the controlled polishing parameters on the final quality of the polished surface. The evaluations on these ratios reveal that the nozzle angle and the nozzle diameter have the most important impact on the results. The outcomes of these experiments can be used as a basis to design a more precise set of experiments in which the optimal values of each parameter can be estimated

  15. Calculation and simulation on mid-spatial frequency error in continuous polishing

    International Nuclear Information System (INIS)

    Xie Lei; Zhang Yunfan; You Yunfeng; Ma Ping; Liu Yibin; Yan Dingyao

    2013-01-01

    Based on theoretical model of continuous polishing, the influence of processing parameters on the polishing result was discussed. Possible causes of mid-spatial frequency error in the process were analyzed. The simulation results demonstrated that the low spatial frequency error was mainly caused by large rotating ratio. The mid-spatial frequency error would decrease as the low spatial frequency error became lower. The regular groove shape was the primary reason of the mid-spatial frequency error. When irregular and fitful grooves were adopted, the mid-spatial frequency error could be lessened. Moreover, the workpiece swing could make the polishing process more uniform and reduce the mid-spatial frequency error caused by the fix-eccentric plane polishing. (authors)

  16. Electro-Analytical Study of Material Interfaces Relevant for Chemical Mechanical Planarization and Lithium Ion Batteries

    Science.gov (United States)

    Turk, Michael C.

    This dissertation work involves two areas of experimental research, focusing specifically on the applications of electro-analytical techniques for interfacial material characterization. The first area of the work is centered on the evaluation and characterization of material components used for chemical mechanical planarization (CMP) in the fabrication of semiconductor devices. This part also represents the bulk of the projects undertaken for the present dissertation. The other area of research included here involves exploratory electrochemical studies of certain electrolyte and electrode materials for applications in the development of advanced lithium ion secondary batteries. The common element between the two areas of investigation is the technical approach that combines a broad variety of electro-analytical characterization techniques to examine application specific functions of the associated materials and devices. The CMP related projects concentrate on designing and evaluating materials for CMP slurries that would be useful in the processing of copper interconnects for the sub-22 nm technology node. Specifically, ruthenium and cobalt are nontraditional barrier materials currently considered for the new interconnects. The CMP schemes used to process the structures based on these metals involve complex surface chemistries of Ru, Co and Cu (used for wiring lines). The strict requirement of defect-control while maintaining material removal by precisely regulated tribo-corrosion complicates the designs of the CMP slurries needed to process these systems. Since Ru is electrochemically more noble than Cu, the surface regions of Cu assembled in contact with Ru tend to generate defects due to galvanic corrosion in the CMP environment. At the same time, Co is strongly reactive in the typical slurry environment and is prone to developing galvanic corrosion induced by Cu. The present work explores a selected class of alkaline slurry formulations aimed at reducing these

  17. Preclinical renal chemo-protective potential of Prunus amygdalus Batsch seed coat via alteration of multiple molecular pathways.

    Science.gov (United States)

    Pandey, Preeti; Bhatt, Prakash Chandra; Rahman, Mahfoozur; Patel, Dinesh Kumar; Anwar, Firoz; Al-Abbasi, Fahad; Verma, Amita; Kumar, Vikas

    2018-02-01

    Prunus amygdalus Batsch (almond) is a classical nutritive traditional Indian medicine. Along with nutritive with anti-oxidant properties, it is, clinically, used in the treatment of various diseases with underlying anti-oxidant mechanism. This study is an effort to scrutinise the renal protective effect of P. amygdalus Batsch or green almond (GA) seed coat extract and its underlying mechanism in animal model of Ferric nitrilotriacetate (Fe-NTA) induced renal cell carcinoma (RCC). RCC was induced in Swiss Albino Wistar rats by intraperitoneal injection of Fe-NTA. The rats were then treated with ethanolic extract of GA (25, 50 and 100 mg/kg per oral) for 22 weeks. Efficacy of GA administration was evaluated by change in biochemical, renal, macroscopical and histopathological parameters and alterations. Additionally, interleukin-6 (IL-6), tumour necrosis factor-α (TNF-α), interleukin-1β (IL-1β) and inflammatory mediator including prostaglandin E2 (PGE 2 ), nuclear factor-kappa B (NF-κB) were also observed to explore the possible mechanisms. The oral administration of GA significantly (p Bowman capsules and inflammatory cells. Hence, it can be concluded that GA possesses observable chemo-protective action and effect on Fe-NTA induced RCC via dual inhibition mechanism one by inhibiting free radical generation and second by inhibiting inflammation.

  18. Immigrant families in historical perspective: the experiences of Polish pioneers in Winnipeg, 1896-1919

    OpenAIRE

    ŁUKASZ ALBAŃSKI

    2017-01-01

    This paper focuses on an early Polish family life in Winnipeg. The family often served as a mechanism to reduce a sense of dislocation and to facilitate immigrants' adaptation. The family was also the primary economic unit. The family relations were affected by gender. Both immigrant men and women found themselves reconsidering traditional roles. Somehow immigration tested their family roles in newways.

  19. Implementation of the Bulgarian-Polish online dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Implementation of the Bulgarian-Polish online dictionary The paper describes the implementation of an online Bulgarian-Polish dictionary as a technological tool for applications in digital humanities. This bilingual digital dictionary is developed in the frame of the joint research project “Semantics and Contrastive Linguistics with a focus on a bilingual electronic dictionary” between IMI-BAS and ISS-PAS, supervised by L. Dimitrova (IMI-BAS and V. Koseska-Toszewa (ISS-PAS. In addition, the main software tools for web-presentation of the dictionary are described briefly.

  20. Lay beliefs on Polish oncology in the evaluation of healthy individuals.

    Science.gov (United States)

    Synowiec-Piłat, Małgorzata

    2017-12-23

    Poland is among the countries which reported the highest rates of mortality from cancer. The health behaviours of people are influenced, among other things, by their beliefs about cancer, but their evaluation of oncological institutions and specialists seems also to be of great importance. Objectives. 1. How the respondents evaluate Polish oncology: a) the conditions of treatment in oncology hospitals, b) access to oncological medical services, c) the competence of oncologists. 2. What are the socio-cultural factors of the assessment of Polish oncology? 3. What is the influence of the grade level of the assessment of Polish oncology on the degree of fear and the knowledge about cancer? The study was carried out with a sample of 910 adult residents of Wroclaw in south-west Poland. Quota sampling was used. An interview questionnaire was used as the method. Analysis of the data showed a negative image of Polish oncology, according to the study participants: dissatisfaction with both treatment conditions and with access to medical services. Assessment of Polish oncology depends primarily on education, age and economic situation, as well as 'family history of cancer', and attitude towards doctors. The lower the rating of Polish oncology, the lower the medical knowledge, and the higher the level of fear of cancer. Negative assessment of Polish oncology perpetuates the fear of cancer in society. There is a need for constant improvement of the quality of medical oncology services, for building public trust in physicians, to fight inequalities in health, and to take into account the lay perspectives in developing strategies to combat cancer.

  1. The Profile of a Polish Mutual Fund Manager

    Directory of Open Access Journals (Sweden)

    Dariusz Filip

    2018-05-01

    Full Text Available Aim/purpose - The purpose of this paper is to identify the characteristics that are typical of mutual fund managers. Design/methodology/approach - The study concentrates on a set of socio-demographic data, such as: age, gender, education, experience, and professional qualifications of 336 portfolio managers. The applied research strategy relies on an analysis concerning a set of statistical metrics describing the population under examination. Findings - The average Polish fund manager is a 37-year-old man, a holder of a stock-broker or investment adviser license. He obtained the authorization from the Polish Financial Supervision Authority (KNF a few years after graduating from the Warsaw School of Economics (SGH. He has 11 years' experience in financial markets and 7 years' experience in the mutual fund industry. Research implications/limitations - The identification of managerial characteristics for the purpose of creating a profile of a Polish mutual fund manager will provide an important basis for further surveys and analyses aimed to evaluate the effectiveness of mutual funds. Originality/value/contribution - There are no investigations within the discussed area in Polish studies. Therefore, the identification of the characteristics that are typical of mutual fund managers will make a contribution to the finance literature.(original abstract

  2. [Lysenkoism in Polish botany].

    Science.gov (United States)

    Köhler, Piotr

    2008-01-01

    Lysenkoism in Poland was never an autonomous phenomenon. The whole array of reasons for which it appeared in Polish science would require a separate study--here it only needs to be pointed out that the major reasons included terror on the part of the security service, lawlessness, the ubiquitous atmosphere of intimidation and terror, censorship, the diminishing sphere of civil liberties, political show trials, propaganda and denunciations. An important role in facilitating the introduction of Lysenkoism was played also by the reorganization of science after World War Two, the isolation of Polish science from science in the West, as well as the damage it had suffered during the war. At first, Lysenkoism was promoted in Poland by a small group of enthusiastic and uncritical proponents. A overview of the events connected with the ten years of Lysenkoism in Poland (end of 1948--beginning of 1958) shows a two-tier picture of how the 'idea' was propagated. The first tier consisted in the activities of the Association of Marxist Naturalists [Koło Przyrodników-Marksistów], which it engaged in since the end of 1948. The Association was later transformed into a Union of Marxist Naturalists, and this in turn merged, in 1952, with the Copernican Society of Polish Naturalists [Polskie Towarzystwo Przyrodników im. Kopernika]. It was that society which promoted Lysenkoism longest, until the end of 1956. The propaganda and training activities of the circle and the society prepared ground for analogous activities of the newly formed Polish Academy of Science (PAN), which--since its very establishment in 1952--engaged in promoting Lysenkoism through its Second Division. These activities were aimed at naturalists, initially at those who were prominent scientists (eg. the conference at Kuźnice, 1950/1951), and then at those who were only starting their academic career (including national courses in new biology at Dziwnów, 1952, or Kortowo, 1953 and 1955). The end to promoting

  3. Condensate polishing guidelines for PWR and BWR plants

    International Nuclear Information System (INIS)

    Robbins, P.; Crinigan, P.; Graham, B.; Kohlmann, R.; Crosby, C.; Seager, J.; Bosold, R.; Gillen, J.; Kristensen, J.; McKeen, A.; Jones, V.; Sawochka, S.; Siegwarth, D.; Keeling, D.; Polidoroff, T.; Morgan, D.; Rickertsen, D.; Dyson, A.; Mills, W.; Coleman, L.

    1993-03-01

    Under EPRI sponsorship, an industry committee, similar in form and operation to other guideline committees, was created to develop Condensate Polishing Guidelines for both PWR and BWR systems. The committee reviewed the available utility and water treatment industry experience on system design and performance and incorporated operational and state-of-the-art information into document. These guidelines help utilities to optimize present condensate polisher designs as well as be a resource for retrofits or new construction. These guidelines present information that has not previously been presented in any consensus industry document. The committee generated guidelines that cover both deep bed and powdered resin systems as an integral part of the chemistry of PWR and BWR plants. The guidelines are separated into sections that deal with the basis for condensate polishing, system design, resin design and application, data management and performance and management responsibilities

  4. Reducing Xerostomia After Chemo-IMRT for Head and Neck Cancer: Beyond Sparing the Parotid Glands

    Science.gov (United States)

    Little, Michael; Schipper, Matthew; Feng, Felix Y.; Vineberg, Karen; Cornwall, Craig; Murdoch-Kinch, Carol-Anne; Eisbruch, Avraham

    2011-01-01

    Purpose To assess whether in addition to sparing parotid glands (PGs), xerostomia after chemo-IMRT of head and neck cancer is affected by reducing doses to other salivary glands. Methods Prospective study: 78 patients with stages III/IV oropharynx/nasopharynx cancers received chemo-IMRT aiming to spare the parts outside the targets of bilateral PGs, oral cavity (OC) containing the minor salivary glands, and contralateral submandibular gland (SMG) (when contralateral level I was not a target). Pretherapy and periodically through 24 months, validated patient-reported xerostomia questionnaires (XQ) scores and observer-graded xerostomia were recorded, and stimulated and unstimulated saliva measured selectively from each of the PGs and SMGs. Mean OC doses served as surrogates of minor salivary glands dysfunction. Regression models assessed XQ and observer-graded xerostomia predictors. Results Statistically significant predictors of the XQ score in univariate analysis included OC, PG, and SMG mean doses, as well as baseline XQ score, time since RT, and both stimulated and unstimulated PG saliva flow rates. Similar factors were statistically significant predictors of observer-graded xerostomia. OC, PG and SMG mean doses were moderately inter-correlated (r=0.47–0.55). In multivariate analyses, after adjusting for PG and SMG doses, OC mean dose (p xerostomia. While scatter plots showed no thresholds, OC mean doses xerostomia at almost all post-therapy time points. Conclusion PG, SMG and OC mean doses were significant predictors of both patient-reported and observer-rated xerostomia after chemo-IMRT, with OC doses remaining significant after adjusting for PG and SMG doses. These results support efforts to spare all salivary glands by IMRT, beyond the PGs alone. PMID:22056067

  5. Chemo-inflammation-an effective treatment for freckles

    Directory of Open Access Journals (Sweden)

    Pasricha J

    1999-01-01

    Full Text Available Freckles are fairly common and considered to be incurable. We have developed a new technique called "Chemo-inflammation" with which we have treated 5 patients (4 girls and one boy having extensive freckles with excellent results. All the freckles disappeared completely from the treated areas and there has been no recurrence so far. The technique consists of applying a liquid based on an alkyl sulphate, on the affected skin and repeating the application every hour for a day till the entire skin develops adequate inflammation. The liquid is then washed off with tap water and the skin is treated with topical (or systemic corticosteroids till the inflammation subsides and the treated skin peels off and attains its normal texture. This generally happens within a week or so. Post-inflammatory hyperpigmemation has to be prevented by adequate anti-inflammatory treatment. Otherwise there are no precautions.

  6. Wear characteristics of polished and glazed lithium disilicate ceramics opposed to three ceramic materials.

    Science.gov (United States)

    Saiki, Osamu; Koizumi, Hiroyasu; Akazawa, Nobutaka; Kodaira, Akihisa; Okamura, Kentaro; Matsumura, Hideo

    2016-01-01

    This study compared the wear characteristics of a heat-pressed lithium disilicate ceramic material opposed to feldspathic porcelain, a lithium disilicate glass ceramic, and zirconia materials. Ceramic plate specimens were prepared from feldspathic porcelain (EX-3 nA1B), lithium disilicate glass ceramics (e.max CAD MO1/C14), and zirconia (Katana KT 10) and then ground or polished. Rounded rod specimens were fabricated from heat-pressed lithium disilicate glass ceramic (e.max press LT A3) and then glazed or polished. A sliding wear testing apparatus was used for wear testing. Wear of glazed rods was greater than that of polished rods when they were abraded with ground zirconia, ground porcelain, polished porcelain, or polished lithium disilicate ceramics. For both glazed and polished rods, wear was greater when the rods were abraded with ground plates. The findings indicate that application of a polished surface rather than a glazed surface is recommended for single restorations made of heat-pressed lithium disilicate material. In addition, care must be taken when polishing opposing materials, especially those used in occlusal contact areas. (J Oral Sci 58, 117-123, 2016).

  7. CMP reflection imaging via interferometry of distributed subsurface sources

    Science.gov (United States)

    Kim, D.; Brown, L. D.; Quiros, D. A.

    2015-12-01

    The theoretical foundations of recovering body wave energy via seismic interferometry are well established. However in practice, such recovery remains problematic. Here, synthetic seismograms computed for subsurface sources are used to evaluate the geometrical combinations of realistic ambient source and receiver distributions that result in useful recovery of virtual body waves. This study illustrates how surface receiver arrays that span a limited distribution suite of sources, can be processed to reproduce virtual shot gathers that result in CMP gathers which can be effectively stacked with traditional normal moveout corrections. To verify the feasibility of the approach in practice, seismic recordings of 50 aftershocks following the magnitude of 5.8 Virginia earthquake occurred in August, 2011 have been processed using seismic interferometry to produce seismic reflection images of the crustal structure above and beneath the aftershock cluster. Although monotonic noise proved to be problematic by significantly reducing the number of usable recordings, the edited dataset resulted in stacked seismic sections characterized by coherent reflections that resemble those seen on a nearby conventional reflection survey. In particular, "virtual" reflections at travel times of 3 to 4 seconds suggest reflector sat approximately 7 to 12 km depth that would seem to correspond to imbricate thrust structures formed during the Appalachian orogeny. The approach described here represents a promising new means of body wave imaging of 3D structure that can be applied to a wide array of geologic and energy problems. Unlike other imaging techniques using natural sources, this technique does not require precise source locations or times. It can thus exploit aftershocks too small for conventional analyses. This method can be applied to any type of microseismic cloud, whether tectonic, volcanic or man-made.

  8. Using quantum dots to tag subsurface damage in lapped and polished glass samples

    International Nuclear Information System (INIS)

    Williams, Wesley B.; Mullany, Brigid A.; Parker, Wesley C.; Moyer, Patrick J.; Randles, Mark H.

    2009-01-01

    Grinding, lapping, and polishing are finishing processes used to achieve critical surface parameters in a variety of precision optical and electronic components. As these processes remove material from the surface through mechanical and chemical interactions, they may induce a damaged layer of cracks, voids, and stressed material below the surface. This subsurface damage (SSD) can degrade the performance of a final product by creating optical aberrations due to diffraction, premature failure in oscillating components, and a reduction in the laser induced damage threshold of high energy optics. As these defects lie beneath the surface, they are difficult to detect, and while many methods are available to detect SSD, they can have notable limitations regarding sample size and type, preparation time, or can be destructive in nature. The authors tested a nondestructive method for assessing SSD that consisted of tagging the abrasive slurries used in lapping and polishing with quantum dots (nano-sized fluorescent particles). Subsequent detection of fluorescence on the processed surface is hypothesized to indicate SSD. Quantum dots that were introduced to glass surfaces during the lapping process were retained through subsequent polishing and cleaning processes. The quantum dots were successfully imaged by both wide field and confocal fluorescence microscopy techniques. The detected fluorescence highlighted features that were not observable with optical or interferometric microscopy. Atomic force microscopy and additional confocal microscope analysis indicate that the dots are firmly embedded in the surface but do not appear to travel deep into fractures beneath the surface. Etching of the samples exhibiting fluorescence confirmed that SSD existed. SSD-free samples exposed to quantum dots did not retain the dots in their surfaces, even when polished in the presence of quantum dots.

  9. Effects of air-polishing powders on color stability of composite resins.

    Science.gov (United States)

    Güler, Ahmet Umut; Duran, Ibrahim; Yücel, Ali Çağin; Ozkan, Pelin

    2011-10-01

    The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. Thirty cylindrical specimens (15×2 mm) were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex). The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C) and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder). A standard air-polishing unit (ProSmile Handly) was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic) for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300). Color differences (∆E*) between the 2 color measurements (baseline and after 24 h storage) were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05) and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7). Air-polishing applications increased the color change for all composite resin restorative materials tested. Composite restorations may require re-polishing

  10. Effects of air-polishing powders on color stability of composite resins

    Directory of Open Access Journals (Sweden)

    Ahmet Umut Güler

    2011-10-01

    Full Text Available OBJECTIVES: The purpose of this study was to investigate the effect of different air-polishing powders on the color stability of different types of composite resin restorative materials. MATERIAL AND METHODS: Thirty cylindrical specimens (15×2 mm were prepared for each of 7 composite resin restorative materials. All specimens were polished with a series of aluminum oxide polishing discs (Sof-Lex. The prepared specimens of each composite resin were randomly divided into 3 groups of 10 specimens each, for control (Group-C and two air-powder applications (Group-CP: Cavitron Prophy-Jet; Group-PS: Sirona ProSmile prophylaxis powder. A standard air-polishing unit (ProSmile Handly was used. All specimens were air-powdered for 10 s at 4-bar pressure. The distance of the spray nosel from the specimens was approximately 10 mm and angulation of the nosel was 90°. Specimens were stored in 100 mL of coffee (Nescafe Classic for 24 h at 37°C. Color measurement of all specimens was recorded before and after exposure to staining agent with a colorimeter (Minolta CR-300. Color differences (∆E* between the 2 color measurements (baseline and after 24 h storage were calculated. The data were analyzed with a 2-way ANOVA test, and mean values were compared by the Tukey HSD test (p.05 and these groups demonstrated the highest ∆E* values. For Filtek Silorane and IntenS, the highest ∆E* values were observed in Group-PS. The lowest ∆E* values for all composite resin groups were observed in Group-C. When comparing the 7 composite resin restorative materials, Aelite Aesthetic Enemal demonstrated significantly less ∆E* values than the other composite resins tested. The highest ∆E* values were observed in Quixfil. CONCLUSION: Except for Quixfil, all control groups of composite resins that were polished Sof-Lex exhibited clinically acceptable ∆E values (<3.7. Air-polishing applications increased the color change for all composite resin restorative materials

  11. [Polish medical ethics in the period 1945-1980].

    Science.gov (United States)

    Wichrowski, Marek

    2003-01-01

    The purpose of this article is o present that main currents of Polish medical ethics in the years 1945-1980, which the author treats as the 'prehistory' of Poland's contemporary bioethics. The author begins by ascertaining the post-war disappearance of two Polish traditions--that of the Warsaw school of philosopher-physicians (known in the West as the Polish School of Philosophy of Medicine) and the Lwów-Warsaw School of Philosophy. The political system that come into being after 1945 was not conducive to the development of medical ethics, but several clear orientations may be discerned in the utterances of physicians, lawyers, moral technologlans and academic philosophers. The analysis begins with Catholic ethics in its archaic 1950s-vintage form. The author then presents the thinking of ethicians Independent of both the Church and Marxism (the milieu of the journal 'Etyka') as well as the deontological writings of physicians.

  12. Immigrant families in historical perspective: the experiences of Polish pioneers in Winnipeg, 1896-1919

    Directory of Open Access Journals (Sweden)

    ŁUKASZ ALBAŃSKI

    2017-10-01

    Full Text Available This paper focuses on an early Polish family life in Winnipeg. The family often served as a mechanism to reduce a sense of dislocation and to facilitate immigrants' adaptation. The family was also the primary economic unit. The family relations were affected by gender. Both immigrant men and women found themselves reconsidering traditional roles. Somehow immigration tested their family roles in newways.

  13. An experimental and analytical investigation into the effects of process vibrations on material removal rates during polishing

    Science.gov (United States)

    Mullany, B.; Mainuddin, M.; Williams, W.; Keanini, R.

    2013-06-01

    Experimental testing, using both commercially available polishing machines and a specially built test platform, demonstrates that material removal rates (MRRs) observed during polishing of fused silica are strongly affected by nanometer-scale vibration amplitudes. Specifically, a nanometer level increase in system vibrations can produce MRRs approximately 150% higher than on an inherently smoother running machine. Moreover the higher spatial frequency surface roughness values are little-effected by the spectral content of the polishing machine. Polishing under controlled conditions, using the test platform, shows that for vibration amplitudes, A ≲ 1.6 μm, and over a fairly wide range of vibration frequencies, MRR increases almost linearly with increasing input power. By contrast, for A ≳ 10 μm, MRR exhibits a rapid decay with increasing A. Order of magnitude analyses and physical arguments are presented in order to explain the qualitatively distinct MRR trends observed. In the small-amplitude limit, A ≲ 1.6 μm, two arguments are presented which suggest that the total observed removal rate, MRRtot, reflects the superposed action of chemical-mechanical removal, MRRcm, and vibration-driven, flow-induced removal, MRRflow, i.e., MRRtot=MRRcm+MRRflow. The analyses further indicate that MRRflow primarily reflects cyclic viscous shears and pressure gradients extant within the thin, non-Newtonian slurry film that exists between the polishing tool and workpiece. Shears and pressure gradients, and corresponding flow-induced MRRs, are, in turn, found to scale as √A /do ω, where A is the vibration amplitude, do is the characteristic gap thickness between the tool and workpiece, and ω is the vibration frequency. In the large-amplitude limit, A ≳ 5 μm, experimental measurements and a simple scaling argument show that the polishing slurry film becomes thick enough that the workpiece and polishing tool lose direct contact. In this limit, observed MRRs thus reflect

  14. Polish adaptation of scoliosis research society-22 questionnaire.

    Science.gov (United States)

    Glowacki, Maciej; Misterska, Ewa; Laurentowska, Maria; Mankowski, Przemyslaw

    2009-05-01

    Polish adaptation of the original version of Scoliosis Research Society (SRS) instrument. The transcultural adaptation of SRS-22 and evaluation of its internal consistency. High psychometric value of the SRS-22 Questionnaire has made it an effective evaluation instrument in clinically assessing the functional status of patients with adolescent idiopathic scoliosis. First, 2 translators translated the original version into Polish. Afterwards, the translators identified differences between the translations and produced a consensus version. In the third stage, 2 native English speakers produced back translations. Finally, a team of 2 orthopedic surgeons, translators, a statistician and a psychologist reviewed all the translations to produce a prefinal version. The questionnaire was administered to 60 girls at the age of 16.6, SD 2.0 with adolescent idiopathic scoliosis treated with the Cotrel-Dubousset method in Pediatric Orthopaedics and Traumatology Clinic in Poznań. The internal consistency in the Polish version equaled 0.89 for the overall result and 0.81 for function, 0.81 for pain, 0.80 for mental health, 0.77 for self-image, and 0.69 for treatment satisfaction domains, respectively. The Polish version of SRS-22 is characterized by high internal consistency for all domains and for the overall score, which makes it an evaluation tool after surgical treatment compatible with the original SRS-22.

  15. Cellular ceramics made from porcelain tile polishing wastes: influence of sintering time

    International Nuclear Information System (INIS)

    Guimaraes, A.F.; Zanelatto, C.C.; Uggioni, E.; Bernardin, A.M.

    2009-01-01

    This paper deals with the physical, microstructural and mechanical characterization of cellular ceramics made from porcelain polishing wastes, which were expanded by the bubble formation technique during the sintering process. The microstructure, linear expansion, bulk density (mercury immersion) and mechanical behavior (compressive strength) were determined to characterize the glass foam obtained. Moreover, the porcellaneous residue was characterized by chemical and phase analyses, particle size (laser diffraction) and thermal behavior. As a result, the higher the soaking time during heat treatment at 1200 deg C the lower the density obtained for the cellular ceramic due to CO 2 expansion, and lower the mechanical strength of the samples. The microstructure shows spherical cells and completely closed pores, resulting in a cheap way to obtain low density material with adequate mechanical strength, avoiding the disposal of wastes from the ceramic industry. (author)

  16. SAFETY PLATFORM OF POLISH TRANSPORT

    Directory of Open Access Journals (Sweden)

    Katarzyna CHRUZIK

    2016-03-01

    Full Text Available Analyzing the level of Polish transport safety culture can be seen that it is now dependent on the culture of safety management within the organization and the requirements and recommendations of law in this field for different modes of transport (air, rail, road, water. Of the four basic types of transport requirements are widely developed in the aviation, rail, and water – the sea. In order to harmonize the requirements for transport safety so it appears advisable to develop a platform for exchange of safety information for different modes of transport, and the development of good practices multimodal offering the possibility of improving Polish transport safety. Described in the publication of the proposal in addition to the alignment platform experience and knowledge in the field of transport safety in all its kinds, it can also be a tool for perfecting new operators of public transport.

  17. Effect finishing and polishing procedures on the surface roughness of IPS Empress 2 ceramic.

    Science.gov (United States)

    Boaventura, Juliana Maria Capelozza; Nishida, Rodrigo; Elossais, André Afif; Lima, Darlon Martins; Reis, José Mauricio Santos Nunes; Campos, Edson Alves; de Andrade, Marcelo Ferrarezi

    2013-01-01

    To evaluate the surface roughness of IPS Empress 2 ceramic when treated with different finishing/polishing protocols. Sixteen specimens of IPS Empress 2 ceramic were made from wax patterns obtained using a stainless steel split mold. The specimens were glazed (Stage 0-S0, control) and divided into two groups. The specimens in Group 1 (G1) were finished/polished with a KG Sorensen diamond point (S1), followed by KG Sorensen siliconized points (S2) and final polishing with diamond polish paste (S3). In Group 2 (G2), the specimens were finished/polished using a Shofu diamond point (S1), as well as Shofu siliconized points (S2) and final polishing was performed using Porcelize paste (S3). After glazing (S0) and following each polishing procedure (S1, S2 or S3), the surface roughness was measured using TALYSURF Series 2. The average surface roughness results were analyzed using ANOVA followed by Tukey post-hoc tests (α = 0.01) RESULTS: All of the polishing procedures yielded higher surface roughness values when compared to the control group (S0). S3 yielded lower surface roughness values when compared to S1 and S2. The proposed treatments negatively affected the surface roughness of the glazed IPS Empress 2 ceramic.

  18. The effects of different polishing techniques on the staining resistance of CAD/CAM resin-ceramics

    Science.gov (United States)

    Demirci, Tevfik; Demirci, Gamze; Sagsoz, Nurdan Polat; Yildiz, Mehmet

    2016-01-01

    PURPOSE The purposes of this study were to evaluate the staining resistance of CAD/CAM resin-ceramics polished with different techniques and to determine the effectiveness of the polishing techniques on resin-ceramics, comparing it with that of a glazed glass-ceramic. MATERIALS AND METHODS Four different CAD/CAM ceramics (feldspathic ceramic: C-CEREC Blocs, (SIRONA) and three resin-ceramics: L-Lava Ultimate, (3M ESPE), E-Enamic, (VITA) and CS-CeraSmart, (GC)) and one light cure composite resin: ME-Clearfil Majesty Esthetic (Kuraray) were used. Only C samples were glazed (gl). Other restorations were divided into four groups according to the polishing technique: nonpolished control group (c), a group polished with light cure liquid polish (Biscover LV BISCO) (bb), a group polished with ceramic polishing kit (Diapol, EVE) (cd), and a group polished with composite polishing kit (Clearfil Twist Dia, Kuraray) (kc). Glazed C samples and the polished samples were further divided into four subgroups and immersed into different solutions: distilled water, tea, coffee, and fermented black carrot juice. Eight samples (8 × 8 × 1 mm) were prepared for each subgroup. According to CIELab system, four color measurements were made: before immersion, immersion after 1 day, after 1 week, and after 1 month. Data were analyzed with repeated measures of ANOVA (α=.05). RESULTS The highest staining resistance was found in gl samples. There was no difference among gl, kc and cd (P>.05). Staining resistance of gl was significantly higher than that of bb (PCeramic and composite polishing kits can be used for resin ceramics as a counterpart of glazing procedure used for full ceramic materials. Liquid polish has limited indications for resin ceramics. PMID:28018558

  19. High voltage performance of a dc photoemission electron gun with centrifugal barrel-polished electrodes

    Science.gov (United States)

    Hernandez-Garcia, C.; Bullard, D.; Hannon, F.; Wang, Y.; Poelker, M.

    2017-09-01

    The design and fabrication of electrodes for direct current (dc) high voltage photoemission electron guns can significantly influence their performance, most notably in terms of maximum achievable bias voltage. Proper electrostatic design of the triple-point junction shield electrode minimizes the risk of electrical breakdown (arcing) along the insulator-cable plug interface, while the electrode shape is designed to maintain work, we describe a centrifugal barrel-polishing technique commonly used for polishing the interior surface of superconducting radio frequency cavities but implemented here for the first time to polish electrodes for dc high voltage photoguns. The technique reduced polishing time from weeks to hours while providing surface roughness comparable to that obtained with diamond-paste polishing and with unprecedented consistency between different electrode samples. We present electrode design considerations and high voltage conditioning results to 360 kV (˜11 MV/m), comparing barrel-polished electrode performance to that of diamond-paste polished electrodes. Tests were performed using a dc high voltage photogun with an inverted-geometry ceramic insulator design.

  20. Self-Organized Traveling Chemo-Hydrodynamic Fingers Triggered by a Chemical Oscillator.

    Science.gov (United States)

    Escala, D M; Budroni, M A; Carballido-Landeira, J; De Wit, A; Muñuzuri, A P

    2014-02-06

    Pulsatile chemo-hydrodynamic patterns due to a coupling between an oscillating chemical reaction and buoyancy-driven hydrodynamic flows can develop when two solutions of separate reactants of the Belousov-Zhabotinsky reaction are put in contact in the gravity field and conditions for chemical oscillations are met in the contact zone. In regular oscillatory conditions, localized periodic changes in the concentration of intermediate species induce pulsatile density gradients, which, in turn, generate traveling convective fingers breaking the transverse symmetry. These patterns are the self-organized result of a genuine coupling between chemical and hydrodynamic modes.

  1. Improved survival for patients diagnosed with chronic lymphocytic leukemia in the era of chemo-immunotherapy

    DEFF Research Database (Denmark)

    da Cunha-Bang, C; Simonsen, J; Rostgaard, K

    2016-01-01

    The treatment of chronic lymphocytic leukemia (CLL) is in rapid transition, and during recent decades both combination chemotherapy and immunotherapy have been introduced. To evaluate the effects of this development, we identified all CLL patients registered in the nation-wide Danish Cancer...... for patients treated with chemo-immunotherapy demonstrated in clinical studies....

  2. Rhodium-catalyzed chemo-, regio-, and enantioselective addition of 2-pyridones to terminal allenes.

    Science.gov (United States)

    Li, Changkun; Kähny, Matthias; Breit, Bernhard

    2014-12-08

    A rhodium-catalyzed chemo-, regio-, and enantioselective addition of 2-pyridones to terminal allenes to give branched N-allyl 2-pyridones is reported. Preliminary mechanistic studies support the hypothesis that the reaction was initiated from the more acidic 2-hydroxypyridine form, and the initial kinetic O-allylation product was finally converted into the thermodynamically more stable N-allyl 2-pyridones. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Polishing and toothbrushing alters the surface roughness and gloss of composite resins.

    Science.gov (United States)

    Kamonkhantikul, Krid; Arksornnukit, Mansuang; Takahashi, Hidekazu; Kanehira, Masafumi; Finger, Werner J

    2014-01-01

    This study aimed to investigate the surface roughness and gloss of composite resins after using two polishing systems and toothbrushing. Six composite resins (Durafill VS, Filtek Z250, Filtek Z350 XT, Kalore, Venus Diamond, and Venus Pearl) were evaluated after polishing with two polishing systems (Sof-Lex, Venus Supra) and after toothbrushing up to 40,000 cycles. Surface roughness (Ra) and gloss were determined for each composite resin group (n=6) after silicon carbide paper grinding, polishing, and toothbrushing. Two-way ANOVA indicated significant differences in both Ra and gloss between measuring stages for the composite resins tested, except Venus Pearl, which showed significant differences only in gloss. After polishing, the Filtek Z350 XT, Kalore, and Venus Diamond showed significant increases in Ra, while all composite resin groups except the Filtek Z350 XT and Durafill VS with Sof-Lex showed increases in gloss. After toothbrushing, all composite resin demonstrated increases in Ra and decreases in gloss.

  4. Polish students at the Académie Julian until 1919

    Directory of Open Access Journals (Sweden)

    Zgórniak, Marek

    2012-08-01

    Full Text Available The subject of the article is the presence of Polish students in the most important private artistic school in Paris in the second half of the 19thcentury. The extant records regarding the atelier for male students made it possible to compile a list of about 165 Polish painters and sculptors studying there in the period from 1880 to 1919. The text presents the criteria used when preparing the list and the diagrams show the fluctuations in registration and the number of Polish artists in particular ateliers in successive years. The observations contained in the article have a summary nature and are illustrated only with selected examples.

  5. Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary

    Directory of Open Access Journals (Sweden)

    Ludmila Dimitrova

    2015-11-01

    Full Text Available Neologisms in bilingual digital dictionaries (on the example of Bulgarian-Polish dictionary The paper discusses the presentation of neologisms in the recent version of the Bulgarian-Polish digital dictionary. We also continue the discussion of important problems related to the classifiers of the verbs as headwords of the digital dictionary entries. We analyze some examples from ongoing experimental version of the Bulgarian-Polish digital dictionary.

  6. Changes in pulmonary function and influencing factors after high-dose intrathoracic radio(chemo)therapy

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Christina [University Clinic Giessen and Marburg, Clinic for Radiotherapy and Radiation Oncology, Marburg (Germany); Ruppiner Kliniken GmbH, Clinic for Radiotherapy and Radiation Oncology, Neuruppin (Germany); Engenhart-Cabillic, Rita; Vorwerk, Hilke [University Clinic Giessen and Marburg, Clinic for Radiotherapy and Radiation Oncology, Marburg (Germany); Schmidt, Michael; Huhnt, Winfried; Blank, Eyck; Sidow, Dietrich; Buchali, Andre [Ruppiner Kliniken GmbH, Clinic for Radiotherapy and Radiation Oncology, Neuruppin (Germany)

    2017-02-15

    Using prospectively collected patient-related, dose-related, and pulmonary function test (PFT) data before radiotherapy (RT) and at several follow-up visits after RT, the time course of PFT changes after high-dose radio(chemo)therapy and influencing factors were analyzed. From April 2012 to October 2015, 81 patients with non-small-cell lung carcinoma (NSCLC), small cell lung carcinoma (SCLC), or esophageal carcinoma where treated with high-dose radio(chemo)therapy. PFT data were collected before treatment and 6 weeks, 12 weeks, and 6 months after RT. The influence of patient- and treatment-related factors on PFT was analyzed. Mean forced expiratory volume in 1 s (FEV1) constantly declined during follow-up (p = 0.001). In total, 68% of patients had a reduced FEV1 at 6 months. Mean vital capacity (VC) didn't change during follow-up (p > 0.05). Mean total lung capacity (TLC) showed a constant decline after RT (p = 0.026). At 6 months, 60% of patients showed a decline in VC and 73% in TLC. The mean diffusion capacity for carbon monoxide (DLCO) declined at 6 and 12 weeks, but recovered slightly at 6 months (p < 0.0005). At 6 months, 86% of patients had a reduced DLCO. After treatment, the partial pressure of CO{sub 2} in the blood (pCO{sub 2}) was increased and pO{sub 2} was decreased (p > 0.05). Only the pretreatment PFT classification had a significant influence on the post-RT FEV1. DLCO seems to be the most reliable indicator for lung tissue damage after thoracic RT. Ventilation parameters appear to be less reliable. Concerning patient- or treatment-related factors, no reliable conclusion can be drawn regarding which factors may be relevant. (orig.) [German] Patientenbezogene, therapiebezogene und Lungenfunktionsdaten (''pulmonary function test'', PFT) wurden vor Radiotherapie (RT) und an verschiedenen Nachsorgeterminen nach RT prospektiv gesammelt, um PFT-Veraenderungen sowie Einflussfaktoren nach Hochdosis-Radio(chemo)therapie zu

  7. Coupling between chemical degradation and mechanical behaviour of leached concrete

    International Nuclear Information System (INIS)

    Nguyen, V.H.

    2005-10-01

    This work is in the context of the long term behavior of concrete employed in radioactive waste disposal. The objective is to study the coupled chemo-mechanical modelling of concrete. In the first part of this contribution, experimental investigations are described where the effects of the calcium leaching process of concrete on its mechanical properties are highlighted. An accelerated method has been chosen to perform this leaching process by using an ammonium nitrate solution. In the second part, we present a coupled phenomenological chemo-mechanical model that represents the degradation of concrete materials. On one hand, the chemical behavior is described by the simplified calcium leaching approach of cement paste and mortar. Then a homogenization approach using the asymptotic development is presented to take into account the influence of the presence of aggregates in concrete. And on the other hand, the mechanical part of the modelling is given. Here continuum damage mechanics is used to describe the mechanical degradation of concrete. The growth of inelastic strains observed during the mechanical tests is describes by means of a plastic like model. The model is established on the basis of the thermodynamics of irreversible processes framework. The coupled nonlinear problem at hand is addressed within the context of the finite element method. Finally, numerical simulations are compared with the experimental results for validation. (author)

  8. Theoretical Model of Pricing Behavior on the Polish Wholesale Fuel Market

    Directory of Open Access Journals (Sweden)

    Bejger Sylwester

    2016-12-01

    Full Text Available In this paper, we constructed a theoretical model of strategic pricing behavior of the players in a Polish wholesale fuel market. This model is consistent with the characteristics of the industry, the wholesale market, and the players. The model is based on the standard methodology of repeated games with a built-in adjustment to a focal price, which resembles the Import Parity Pricing (IPP mechanism. From the equilibrium of the game, we conclude that the focal price policy implies a parallel pricing strategic behavior on the market.

  9. [A study of different polishing techniques for amalgams and glass-cermet cement by scanning electron microscope (SEM)].

    Science.gov (United States)

    Kakaboura, A; Vougiouklakis, G; Argiri, G

    1989-01-01

    Finishing and polishing an amalgam restoration, is considered as an important and necessary step of the restorative procedure. Various polishing techniques have been recommended to success a smooth amalgam surface. The aim of this study was to investigate the influence of three different polishing treatments on the marginal integrity and surface smoothness of restorations made of three commercially available amalgams and a glass-cermet cement. The materials used were the amalgams, Amalcap (Vivadent), Dispersalloy (Johnson and Johnson), Duralloy (Degussa) and the glass-cermet Katac-Silver (ESPE). The occlusal surfaces of the restorations were polished by the methods: I) round bur, No4-rubber cup-zinc oxide paste in a small brush, II) round bur No 4-bur-brown, green and super green (Shofu) polishing cups and points successively and III) amalgam polishing bur of 12-blades-smooth amalgam polishing bur. Photographs from unpolished and polished surfaces of the restorations, were taken with scanning electron microscope, to evaluate the polishing techniques. An improvement of marginal integrity and surface smoothness of all amalgam restorations was observed after the specimens had been polished with the three techniques. Method II, included Shofu polishers, proved the best results in comparison to the methods I and III. Polishing of glass-cermet cement was impossible with the examined techniques.

  10. Adaptation of the ORTHO-15 test to Polish women and men.

    Science.gov (United States)

    Brytek-Matera, Anna; Krupa, Magdalena; Poggiogalle, Eleonora; Donini, Lorenzo Maria

    2014-03-01

    There is a lack of Polish tools to measure behaviour related to orthorexia nervosa. The purpose of the present study was to validate the Polish version of the ORTHO-15 test. 341 women and 59 men (N = 400) were recruited, whose age ranged from 18 to 35 years. Mean age was 23.09 years (SD = 3.14) in women and 24.02 years (SD = 3.87) in men. The ORTHO-15 test and the EAT-26 test were used in the present study. Factor analysis (exploratory and confirmatory analysis) was used in the present study. Exploratory factor analysis performed on the initial 15 items from a random split half of the study group suggested a nine-item two-factor structure. Confirmatory factor analysis performed on the second randomly selected half of the study group supported this two-factor structure of the ORTHO-15 test. The Polish version of the ORTHO-15 test demonstrated an internal consistency (Cronbach's alpha) equal to 0.644. The Polish version of the ORTHO-15 test is a reliable and valuable instrument to assess obsessive attitudes related to healthy and proper nutrition in Polish female and male population.

  11. Gloss and surface roughness produced by polishing kits on resin composites.

    Science.gov (United States)

    Sadidzadeh, Ramtin; Cakir, Deniz; Ramp, Lance C; Burgess, John O

    2010-08-01

    To compare in vitro the surface roughness (Ra) and gloss (G) produced by three conventional and one experimental polishing kits on four resin composites. 24 discs were prepared (d = 12 mm, t = 4 mm) for each resin composite: Filtek Supreme Plus Body/A2 (FSB), Yellow Translucent (FST), Heliomolar/A2 (HM), and EsthetX/A2 (EX) following the manufacturers' instructions. They were finished with 320 grit silicon carbide paper for 80 seconds each. Polishing systems: Sof-Lex, Enhance-Pogo, Astropol and Experimental Discs/EXL-695, were applied following manufacturers' instructions. Each specimen was ultrasonically cleaned with distilled water and dried. Gloss and Ra were measured with a small area glossmeter (Novo-curve) and non-contact profilometer (Proscan 2000) following ISO 4288, respectively. The results were evaluated by two-way ANOVA followed by separate one-way ANOVA and Tukey/Kramer test (P = 0.05). There was a significant interaction of surface roughness and gloss between the composites and polishing systems (P gloss was obtained for FSB composite polished with the Experimental kit. The experimental polishing system produced smoothest surfaces (P gloss (P < 0.05).

  12. [Adhesion of oral microorganisms on dental porcelain polished and glazed].

    Science.gov (United States)

    Wang, Yi-ning; Wen, Guo-jiang; Shi, Bin; Pan, Xin-hua

    2003-09-01

    This study compared the roughness of porcelain polished or glazed surfaces and the adhesion of oral streptococcus mutans to them in vitro. 30 porcelain samples were made. Porcelain samples in group A were polished with diamond paste. Porcelain samples were glazed in group B and were polished with Al2O3 (240#) bur in group C. Their roughness values were measured by profilometer. Standardized cell suspensions were incubated with test samples for one hour at 37 degrees C, then retained cells were counted by image analysis (percentage area of a microscopic field covered by cells). Roughness values of group A, B, C were respectively (0.1987 +/- 0.057) microm, (0.1990 +/- 0.091) microm, (0.4260 +/- 0.174) microm. There was no significantly difference between group A and group B. The roughness samples in group C were significantly rougher than that in the other groups. The amount of retained cells in group A, group B, group C was respectively (15.92 +/- 4.37)%, (16.39 +/- 6.31)% and (41.48 +/- 12.1)%. There was no significant difference between the cell adhesion on porcelain surface glazed and polished, but more bacteria adhered on the porcelain surface in group C. Porcelain surface polished treatment was clinically acceptable compared with its glazed. They all exhibited the least amount of bacteria adhesion. The more porcelain surface was rough, the more bacteria adhered on it.

  13. Electrochemical Polishing Applications and EIS of a Vitamin B4-Based Ionic Liquid

    International Nuclear Information System (INIS)

    Wixtrom, Alex I.; Buhler, Jessica E.; Reece, Charles E.; Abdel-Fattah, Tarek M.

    2013-01-01

    Modern particle accelerators require minimal interior surface roughness for Niobium superconducting radio frequency (SRF) cavities. Polishing of the Nb is currently achieved via electrochemical polishing with concentrated mixtures of sulfuric and hydrofluoric acids. This acid-based approach is effective at reducing the surface roughness to acceptable levels for SRF use, but due to acid-related hazards and extra costs (including safe disposal of used polishing solutions), an acid-free method would be preferable. This study focuses on an alternative electrochemical polishing method for Nb, using a novel ionic liquid solution containing choline chloride, also known as Vitamin B 4 (VB 4 ). Potentiostatic electrochemical impedance spectroscopy (EIS) was also performed on the VB4-based system. Nb polished using the VB4-based method was found to have a final surface roughness comparable to that achieved via the acid-based method, as assessed by atomic force microscopy (AFM). These findings indicate that acid-free VB 4 -based electrochemical polishing of Nb represents a promising replacement for acid-based methods of SRF cavity preparation

  14. Time-dependent protection of ground and polished Cu using graphene film

    International Nuclear Information System (INIS)

    Dong, Yuhua; Liu, Qingqing; Zhou, Qiong

    2015-01-01

    Highlights: • Graphene was deposited on polished and ground Cu sheets by CVD. • Graphene films provide better protection to polished Cu for short time. • Multilayer graphene films provide better protection for short time. - Abstract: Graphene was deposited on Cu sheets with different morphologies by chemical vapor deposition. Scanning electron microscopy (SEM) analysis indicated that the morphology of the Cu sheet affected the graphene film properties. Electrochemical impedance spectroscopy measurements showed that the graphene film did not effectively protect Cu against corrosion because of prolonged exposure to ionic environments (3.5 wt.% NaCl solution). For short durations, graphene films provided better protection to polished Cu than ground Cu. Prolonged electrolyte immersion of graphene-coated Cu samples showed that the graphene film from the polished Cu surface was detached more easily than that from ground Cu

  15. Effect of polishing time and pressure on quality characteristics of rice grain

    International Nuclear Information System (INIS)

    Karim, M.A.

    2002-01-01

    Since totally unpolished rice has poor cooking quality, a certain amount of polishing for the removal of the outermost bran layers is essential. To determine the best combination of polishing time and pressure with respect to obtaining optimum quantity/quality, the brown rice (head grains) of a rice strain 4048 was milled for 10, 20, 30 and 40 seconds against 1,2,3,4 and 5 lbs pressure in a Burrows McGill Polisher No. 3. the quality characteristics studied were: total milling recovery, head rice recovery, whiteness of milled rice, protein content of grain, grain length and bursting upon cooking. Both, total milled rice and head rice reduced while the whiteness of milled rice improved significantly with an increase in polishing time and or pressure. The protein content decreased gradually with an increase in time and pressure of milling but the effect was non significant. The cooked grain length increased with an increase in milling degree up to a stage, beyond which it declined. The increase in bursting of grains on cooking. A combination of 20 seconds polishing time with 2 lbs pressure or 20 seconds polishing time with 3 lbs pressure was found to be the best combination of obtaining the optimum quality as well as the quality of milled rice.(author)

  16. How to Investigate Polish Clusters’ Attractiveness for Inward FDI? Addressing Ambiguity Problem

    Directory of Open Access Journals (Sweden)

    Götz Marta

    2014-09-01

    Full Text Available The aim of the paper is to assess whether, and in what fashion, managers of Polish cluster organizations perceive the attractiveness of foreign direct investment in Polish clusters This research is exploratory and qualitative in nature. The complex nature of Polish clusters, which can benefit from and be competitively challenged by, FDI are identified and a conceptual framework for assessing that nature is proposed; specifically, research using the grounded theory method (GTM.

  17. A clinical study of esophagectomy after chemo-radiation therapy for advanced esophageal carcinoma

    International Nuclear Information System (INIS)

    Takeda, Shigeru; Tokuno, Kazuhisa; Nishimura, Taku; Yoshino, Shigefumi; Oka, Masaaki

    2007-01-01

    The aim of this study was to evaluate the efficacy of preoperative neoadjuvant therapy (NAT) including chemo-radiation or radiation in patients with T3/T4 advanced esophageal squamous cell carcinoma. We reviewed 115 patients with T3/T4 tumors from January 1994 through August 2006. Forty-seven patients received NAT, and the remaining 68 patients had surgery alone. Of these 47 patients, 14 patients underwent esophagectomy following NAT, and 33 patients underwent consecutive chemoradiation. Patients treated with esophagectomy following NAT had a better two-year survival (45.5%) and the median survival time (486 days) was compared with patients treated with chemo-radiation only (10.4%, 242 days) (p=0.026). Of these patients treated with esophagectomy following NAT, the patients undergone curative resection had a better one-year survival rate (83.3%) and the median survival time (2,055 days) was compared with the patients received with non-curative resection (20.0%, 273 days) (p=0.042). Two patients having grade 3 effect by NAT had a long disease free survival. There was no significant difference in postoperative morbidity and mortality rate between the patients received NAT and the patients treated with surgery alone. These results suggest that NAT may be useful for advanced esophageal cancer. (author)

  18. Retrospective evaluation of surgical intervention following chemo- and radiotherapy of maxillary sinus cancers

    Energy Technology Data Exchange (ETDEWEB)

    Kudo, Keigo; Satoh, Yumi; Endo, Mitsuhiro; Segawa, Kiyoshi; Fukuta, Yoshiyasu; Yokota, Mitsumasa; Fujioka, Yukio (Iwate Medical Univ., Morioka (Japan). School of Dentistry)

    1992-03-01

    During the past 15 years, 30 patients with squamous cell carcinomas of the maxillary sinus were treated by modified partial maxillectomy following chemo- and radiotherapy, taking care to preserve facial contour and function as far as possible. Follow-up evaluation showed that local recurrences, and regional lymph node and distant metastases were more frequent in T4 patients than in T3 patients. Evaluation of the histopathological effects of preoperative chemo- and radiotherapy at the time of surgery showed that T4 patients tended to have a poorer response to the treatment than T3 patients. Analysis according to the direction of primary tumor extension showed that the incidence of local recurrence was higher in the superolateral type, whereas that of regional lymph node metastasis was higher in the medial type. The cumulative 5-year survival was high (72.2%) in Stage III patients and low (22.5%) in Stage IV patients. The overall rate was 55.4%. The treatment produced relatively good outcomes in T3 patients but poor outcomes in T4 patients. These findings indicate that T4 carcinoma of the maxillary sinus must be managed initially by a combination of irradiation and multi-chemotherapeutic drugs, and then treated by more extensive surgical resection. (author).

  19. Retrospective evaluation of surgical intervention following chemo- and radiotherapy of maxillary sinus cancers

    International Nuclear Information System (INIS)

    Kudo, Keigo; Satoh, Yumi; Endo, Mitsuhiro; Segawa, Kiyoshi; Fukuta, Yoshiyasu; Yokota, Mitsumasa; Fujioka, Yukio

    1992-01-01

    During the past 15 years, 30 patients with squamous cell carcinomas of the maxillary sinus were treated by modified partial maxillectomy following chemo- and radiotherapy, taking care to preserve facial contour and function as far as possible. Follow-up evaluation showed that local recurrences, and regional lymph node and distant metastases were more frequent in T4 patients than in T3 patients. Evaluation of the histopathological effects of preoperative chemo- and radiotherapy at the time of surgery showed that T4 patients tended to have a poorer response to the treatment than T3 patients. Analysis according to the direction of primary tumor extension showed that the incidence of local recurrence was higher in the superolateral type, whereas that of regional lymph node metastasis was higher in the medial type. The cumulative 5-year survival was high (72.2%) in Stage III patients and low (22.5%) in Stage IV patients. The overall rate was 55.4%. The treatment produced relatively good outcomes in T3 patients but poor outcomes in T4 patients. These findings indicate that T4 carcinoma of the maxillary sinus must be managed initially by a combination of irradiation and multi-chemotherapeutic drugs, and then treated by more extensive surgical resection. (author)

  20. Robotic Automation in Computer Controlled Polishing

    Science.gov (United States)

    Walker, D. D.; Yu, G.; Bibby, M.; Dunn, C.; Li, H.; Wu, Y.; Zheng, X.; Zhang, P.

    2016-02-01

    We first present a Case Study - the manufacture of 1.4 m prototype mirror-segments for the European Extremely Large Telescope, undertaken by the National Facility for Ultra Precision Surfaces, at the OpTIC facility operated by Glyndwr University. Scale-up to serial-manufacture demands delivery of a 1.4 m off-axis aspheric hexagonal segment with surface precision robots and computer numerically controlled ('CNC') polishing machines for optical fabrication. The objective was not to assess which is superior. Rather, it was to understand for the first time their complementary properties, leading us to operate them together as a unit, integrated in hardware and software. Three key areas are reported. First is the novel use of robots to automate currently-manual operations on CNC polishing machines, to improve work-throughput, mitigate risk of damage to parts, and reduce dependence on highly-skilled staff. Second is the use of robots to pre-process surfaces prior to CNC polishing, to reduce total process time. The third draws the threads together, describing our vision of the automated manufacturing cell, where the operator interacts at cell rather than machine level. This promises to deliver a step-change in end-to-end manufacturing times and costs, compared with either platform used on its own or, indeed, the state-of-the-art used elsewhere.

  1. Theoretical research of probability of wedging of particulate matters at polishing

    Directory of Open Access Journals (Sweden)

    V.F. Molchanov

    2017-12-01

    Full Text Available The mechanism of formation of mikroprofile of the polished surface is expounded taking into account influence of particulate matters, contained in lubricating-coolings liquids. Probability of wedging of abrasive particles is investigational in the area of contact of diamond-impregnated with the surface of detail. It is set that for determination of probability of event, when a particle, getting together with a liquid in the area of contact, abandons track-scratch on a superficial layer, it is necessary to take into account, that three mutual locations of hard particle are possible in the area of contact of diamond-impregnated with the surface of detail. It is set researches, that a hard particle, getting together with a liquid in the area of contact, abandons track-scratch on-the-spot in that case, when the sizes of particle are equal or a few exceed distance from the surface of detail to the ledges on-the-spot diamond-impregnated. Researches allow mathematically to define probability of wedging of particulate matters in the area of contact of diamond-impregnated with the surface of the polished detail.

  2. ROUGHNESS ANALYSIS OF VARIOUSLY POLISHED NIOBIUM SURFACES

    Energy Technology Data Exchange (ETDEWEB)

    Ribeill, G.; Reece, C.

    2008-01-01

    Niobium superconducting radio frequency (SRF) cavities have gained widespread use in accelerator systems. It has been shown that surface roughness is a determining factor in the cavities’ effi ciency and maximum accelerating potential achievable through this technology. Irregularities in the surface can lead to spot heating, undesirable local electrical fi eld enhancement and electron multipacting. Surface quality is typically ensured through the use of acid etching in a Buffered Chemical Polish (BCP) bath and electropolishing (EP). In this study, the effects of these techniques on surface morphology have been investigated in depth. The surface of niobium samples polished using different combinations of these techniques has been characterized through atomic force microscopy (AFM) and stylus profi lometry across a range of length scales. The surface morphology was analyzed using spectral techniques to determine roughness and characteristic dimensions. Experimentation has shown that this method is a valuable tool that provides quantitative information about surface roughness at different length scales. It has demonstrated that light BCP pretreatment and lower electrolyte temperature favors a smoother electropolish. These results will allow for the design of a superior polishing process for niobium SRF cavities and therefore increased accelerator operating effi ciency and power.

  3. Multi-objective optimization of circular magnetic abrasive polishing of SUS304 and Cu materials

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, NhatTan; Yin, ShaoHui; Chen, FengJun; Yin, HanFeng [Hunan University, Changsha (China); Pham, VanThoan [Hanoi University, Hanoi (Viet Nam); Tran, TrongNhan [Industrial University of Ho Chi Minh City, HCM City (Viet Nam)

    2016-06-15

    In this paper, a Multi-objective particle swarm optimization algorithm (MOPSOA) is applied to optimize surface roughness of workpiece after circular magnetic abrasive polishing. The most important parameters of polishing model, namely current, gap between pole and workpiece, spindle speed and polishing time, were considered in this approach. The objective functions of the MOPSOA depend on the quality of surface roughness of polishing materials with both simultaneous surfaces (Ra1, Ra2), which are determined by means of experimental approach with the aid of circular magnetic field. Finally, the effectiveness of the approach is compared between the optimal results with the experimental data. The results show that the new proposed polishing optimization method is more feasible.

  4. Etymology in the Polish Academy of Sciences Great Dictionary of ...

    African Journals Online (AJOL)

    The article offers an insight into etymological information provided in the Polish Academy of Sciences Great Dictionary of Polish (Pol. Wielki słownik języka polskiego PAN, WSJP PAN). The dictionary and the rules of producing the entries are briefly presented. These rules influence the way of working on etymology within ...

  5. Antecedents of Accelerated Internationalisation of Polish and Czech Small and Medium-Sized Enterprises

    Directory of Open Access Journals (Sweden)

    Izabela Kowalik

    2017-09-01

    Full Text Available Objective: The study goal was the investigation of relationships between accelerated internationalisation descriptors and antecedent firm- and entrepreneur-related factors in Polish and Czech SMEs. Research Design & Methods: In order to compare Polish and Czech companies, the data collected in two independent studies were used. The study of 233 Polish companies was conducted with the use of CATI method in 2014; 108 Czech companies were surveyed in 2013/2014 with the use of CAWI method. In both samples half of the surveyed SME-exporters were conforming to the accelerated internationalisation criteria. Findings: In both samples the “global vision” elements and company internationalisation scale and speed were interrelated. In the Polish companies this relationship was moderated by company size. There was the lack of strong positive relationships between innovativeness and internationalisation speed and scale in both samples. Implications & Recommendations:The managerial mindset has a decisive role for accelerated internationalisation in both Czech and Polish SMEs. The innovativeness of offering is not indispensable for fast foreign expansion. Larger companies may expand abroad faster if they have internationally oriented managers. Contribution & Value Added:This work addresses a research gap concerning idiosyncrasies of internationalisation antecedents in Polish and Czech enterprises. As the results show, there is no common internationalisation pattern for Polish and Czech SMEs.

  6. Effect of grinding and polishing on roughness and strength of zirconia.

    Science.gov (United States)

    Khayat, Waad; Chebib, Najla; Finkelman, Matthew; Khayat, Samer; Ali, Ala

    2018-04-01

    The clinical applications of high-translucency monolithic zirconia restorations have increased. Chairside and laboratory adjustments of these restorations are inevitable, which may lead to increased roughness and reduced strength. The influence of grinding and polishing on high-translucency zirconia has not been investigated. The purpose of this in vitro study was to compare the roughness averages (Ra) of ground and polished zirconia and investigate whether roughness influenced strength after aging. High-translucency zirconia disks were milled, sintered, and glazed according to the manufacturer's recommendations. Specimens were randomized to 4 equal groups. Group G received only grinding; groups GPB and GPK received grinding and polishing with different polishing systems; and group C was the (unground) control group. All specimens were subjected to hydrothermal aging in an autoclave at 134°C at 200 kPa for 3 hours. Roughness average was measured using a 3-dimensional (3D) optical interferometer at baseline (Ra1), after grinding and polishing (Ra2), and after aging (Ra3). A biaxial flexural strength test was performed at a rate of 0.5 mm/min. Statistical analyses were performed using commercial software (α=.05). Group G showed a significantly higher mean value of Ra3 (1.96 ±0.32 μm) than polished and glazed groups (P.05). Compared with baseline, the roughness of groups G and GPB increased significantly after surface treatments and after aging, whereas aging did not significantly influence the roughness of groups GPK or C. Group G showed the lowest mean value of biaxial flexural strength (879.01 ±157.99 MPa), and the highest value was achieved by group C (962.40 ±113.84 MPa); no statistically significant differences were found among groups (P>.05). Additionally, no significant correlation was detected between the Ra and flexural strength of zirconia. Grinding increased the roughness of zirconia restorations, whereas proper polishing resulted in smoothness

  7. Acoustic Emission Based In-process Monitoring in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    The applicability of acoustic emission (AE) measurements for in-process monitoring in the Robot Assisted Polishing (RAP) process was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate removal...... improving the efficiency of the process. It also allows for intelligent process control and generally enhances the robustness and reliability of the automated RAP system in industrial applications....... of the part from the machine tool. In this study, development of surface roughness during polishing rotational symmetric surfaces by the RAP process was inferred from AE measurements. An AE sensor was placed on a polishing tool, and a cylindrical rod of Vanadis 4E steel having an initial turned surface...

  8. Surface changes of metal alloys and high-strength ceramics after ultrasonic scaling and intraoral polishing.

    Science.gov (United States)

    Yoon, Hyung-In; Noh, Hyo-Mi; Park, Eun-Jin

    2017-06-01

    This study was to evaluate the effect of repeated ultrasonic scaling and surface polishing with intraoral polishing kits on the surface roughness of three different restorative materials. A total of 15 identical discs were fabricated with three different materials. The ultrasonic scaling was conducted for 20 seconds on the test surfaces. Subsequently, a multi-step polishing with recommended intraoral polishing kit was performed for 30 seconds. The 3D profiler and scanning electron microscopy were used to investigate surface integrity before scaling (pristine), after scaling, and after surface polishing for each material. Non-parametric Friedman and Wilcoxon signed rank sum tests were employed to statistically evaluate surface roughness changes of the pristine, scaled, and polished specimens. The level of significance was set at 0.05. Surface roughness values before scaling (pristine), after scaling, and polishing of the metal alloys were 3.02±0.34 µm, 2.44±0.72 µm, and 3.49±0.72 µm, respectively. Surface roughness of lithium disilicate increased from 2.35±1.05 µm (pristine) to 28.54±9.64 µm (scaling), and further increased after polishing (56.66±9.12 µm, P scaling (from 1.65±0.42 µm to 101.37±18.75 µm), while its surface roughness decreased after polishing (29.57±18.86 µm, P scaling significantly changed the surface integrities of lithium disilicate and zirconia. Surface polishing with multi-step intraoral kit after repeated scaling was only effective for the zirconia, while it was not for lithium disilicate.

  9. Chemo-sensors development based on low-dimensional codoped Mn2O3-ZnO nanoparticles using flat-silver electrodes.

    Science.gov (United States)

    Rahman, Mohammed M; Gruner, George; Al-Ghamdi, Mohammed Saad; Daous, Muhammed A; Khan, Sher Bahadar; Asiri, Abdullah M

    2013-03-28

    Semiconductor doped nanostructure materials have attained considerable attention owing to their electronic, opto-electronic, para-magnetic, photo-catalysis, electro-chemical, mechanical behaviors and their potential applications in different research areas. Doped nanomaterials might be a promising owing to their high-specific surface-area, low-resistances, high-catalytic activity, attractive electro-chemical and optical properties. Nanomaterials are also scientifically significant transition metal-doped nanostructure materials owing to their extraordinary mechanical, optical, electrical, electronic, thermal, and magnetic characteristics. Recently, it has gained significant interest in manganese oxide doped-semiconductor materials in order to develop their physico-chemical behaviors and extend their efficient applications. It has not only investigated the basic of magnetism, but also has huge potential in scientific features such as magnetic materials, bio- & chemi-sensors, photo-catalysts, and absorbent nanomaterials. The chemical sensor also displays the higher-sensitivity, reproducibility, long-term stability, and enhanced electrochemical responses. The calibration plot is linear (r2 = 0.977) over the 0.1 nM to 50.0 μM 4-nitrophenol concentration ranges. The sensitivity and detection limit is ~4.6667 μA cm-2 μM-1 and ~0.83 ± 0.2 nM (at a Signal-to-Noise-Ratio, SNR of 3) respectively. To best of our knowledge, this is the first report for detection of 4-nitrophenol chemical with doped Mn2O3-ZnO NPs using easy and reliable I-V technique in short response time. As for the doped nanostructures, NPs are introduced a route to a new generation of toxic chemo-sensors, but a premeditate effort has to be applied for doped Mn2O3-ZnO NPs to be taken comprehensively for large-scale applications, and to achieve higher-potential density with accessible to individual chemo-sensors. In this report, it is also discussed the prospective utilization of Mn2O3-ZnO NPs on the basis

  10. Emissions from the Polish power industry

    International Nuclear Information System (INIS)

    Uliasz-Bochenczyk, Alicja; Mokrzycki, Eugeniusz

    2007-01-01

    Poland is a country where power and heat energy production is based on conventional fuel combustion, above all hard coal and lignite. In power plants and combined heat and power plants, fossil fuel combustion results in emitting to the atmosphere first of all SO 2 , NO x , CO, particulate matter, greenhouse gases for instance N 2 O and CO 2 . For many years the Polish power industry has tried to reduce the emission of air contaminants to the atmosphere. The reduction is feasible on account of various methods of emission reduction, which have been advanced and applied for many years. The paper presents conventional fuels used in the Polish professional power industry, as well as the principal emission types occurring there for the last 10 years and the undertaken reduction measures

  11. Social Interest in The Polish Doctrine of Monument Preservation

    Science.gov (United States)

    Antoszczyszyn, Marek

    2017-10-01

    The paper discusses a controversy surrounding the latest amendment to the text of the Polish Parliament Act Conservation and the Care of Monuments (2015). It is a common dictum that any edifice cannot exist without good foundations. In this particular case of the mentioned above Act, definition of monument appears to be such a basis. A social interest is one of the constituent elements of the definition mentioned above. In the first part of the paper the notion of the social interest expression has been discussed, particularly from national and international points of view. The second part of the paper comprises some examples from the Polish monument preservation experience with the use of comparison method supported by case study. Only three big Polish cities: Warsaw, Wroclaw and Szczecin are involved in the presented case study but it must be emphasized that many other places on Polish territory experienced the same. Basing on the faith of these cities, the results and discussion chapter proves fundamental discrepancy in social interest notion between national and international scopes using some analytical methods. Finally, in the discussion chapter some proposals for the future amendment of monument definition in the Act of Conservation and the Care of Monuments have been provided.

  12. Scope of Nursing Care in Polish Intensive Care Units

    Directory of Open Access Journals (Sweden)

    Mariusz Wysokiński

    2013-01-01

    Full Text Available Introduction. The TISS-28 scale, which may be used for nursing staff scheduling in ICU, does not reflect the complete scope of nursing resulting from varied cultural and organizational conditions of individual systems of health care. Aim. The objective of the study was an attempt to provide an answer to the question what scope of nursing care provided by Polish nurses in ICU does the TISS-28 scale reflect? Material and Methods. The methods of working time measurement were used in the study. For the needs of the study, 252 hours of continuous observation (day-long observation and 3.697 time-schedule measurements were carried out. Results. The total nursing time was 4125.79 min. (68.76 hours, that is, 60.15% of the total working time of Polish nurses during the period analyzed. Based on the median test, the difference was observed on the level of χ2=16945.8, P<0.001 between the nurses’ workload resulting from performance of activities qualified into the TISS-28 scale and load resulting from performance of interventions within the scopes of care not considered in this scale in Polish ICUs. Conclusions. The original version of the TISS-28 scale does not fully reflect the workload among Polish nurses employed in ICUs.

  13. Polish Qualitative Sociology. Insight into the future of postdisciplinary research

    OpenAIRE

    Konecki, Krzysztof

    2014-01-01

    The paper desctibes the definitions of following concepts: multidisiplinarity, interdisciplinarity, transdysciplinarity, postdisciplinarity. MOreover it discuss the meanings of a concept of discipline. It describes the place of the Polish qualitative sociology in the context of postdisciplinary research. The main question of paper is: Does the POlish Qualitative Sociology has entered the postdisciplinary phase of research? DGS, UL Krzysztof Konecki

  14. Surface roughness of microparticulated and nanoparticulated composites after finishing and polishing procedures

    Directory of Open Access Journals (Sweden)

    Rosemary Arai Sadami Shinkai

    Full Text Available Objective: To evaluated the surface roughness of one microparticulate resin composite Durafill (Heraeus Kulzer Weihrheim, Germany andfour nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein Esthet x (Dentsply, Milford, DE, USA, Point 4 and Supreme (3M-ESPE, Dental Products,St. Paul, MN, USA. Methods: After finishing with a diamond bur point (F, and polishing with silicone points of gray, green and pink color Politipit (Ivoclar Vivadent,Schaan, Liechtenstein, four stages of completion were performed, simulating one of finishing and three of polishing a resin restoration. Ten samples of each composite resin were measured for surface roughness with surface profilometer (Mitutoyo Corporation, Tokyo, Japan after each of finishing and polishing sequence.Results: The results showed that nanoparticulate and microparticulate resins presented a significant difference in the surface roughness values, in all finishing and polishing steps. Conclusion: Of the the nanoparticulate resins 4 Seasons (Ivoclar Vivadent, Schaan, Liechtenstein, Point 4 (Kerr CO, Orange, CA, USA, and also microparticulate Durafill (Heraeus Kulzer Weihrheim, Germany presented significantly lower surface roughness values after completing all the finishing and polishing stages.

  15. An in vivo evaluation of surface polishing of TAN intermedullary nails for ease of removal

    Directory of Open Access Journals (Sweden)

    JS Hayes

    2009-09-01

    Full Text Available Fractures of the tibia and femoral diaphysis are commonly repaired by intra-medullary (IM nailing. Currently IM nails are available in either electropolished stainless steel (SS or in Titanium-Aluminium-Niobium (TAN. After healing, removal of the nails still is common but removal of TAN IM nails often has complications whereas SS IM nails of the same design are less often associated with problems. We believe the differences in removal are due to the ability of TAN to promote strong bone on-growth. We have previously shown in vivo that polishing cortical screws reduces removal torque and the percentage of bone-implant contact. Therefore, we postulate that bony on-growth onto IM nails can be reduced by means of surface polishing, for ease of removal. Here we aim to compare the pull-out forces for removal of standard TAN (TAN-S compared to experimental paste polished TAN (TAN-PP IM nails from a bilateral non-fracture sheep tibia model after 12 months implantation. Histological analysis was also performed to assess tissue on-growth to the nails. We show that polishing significantly reduces (p=0.05 the extraction force required for TAN IM nail removal. This effect in part is attributable to the distinct tissue-material reaction produced. For TAN-S nails direct bone contact was observed while for TAN-PP nails a fibrous tissue interface was noted. Since TAN is preferred over SS for IM nailing due to superior biocompatibility and mechanical properties, we believe these findings could be used to recommend changes to current surface technologies of intramedullary nails to reduce complications seen with nail removal especially in rapidly growing bone in children.

  16. Acoustic emission-based in-process monitoring of surface generation in robot-assisted polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano; De Chiffre, Leonardo

    2016-01-01

    The applicability of acoustic emission (AE) measurements for in-process monitoring of surface generation in the robot-assisted polishing (RAP) was investigated. Surface roughness measurements require interruption of the process, proper surface cleaning and measurements that sometimes necessitate...... automatic detection of optimal process endpoint allow intelligent process control, creating fundamental elements in development of robust fully automated RAP process for its widespread industrial application....... removal of the part from the machine tool. In this study, stabilisation of surface roughness during polishing rotational symmetric surfaces by the RAP process was monitored by AE measurements. An AE sensor was placed on a polishing arm in direct contact with a bonded abrasive polishing tool...

  17. MicroRNA Dysregulation in Liver and Pancreas of CMP-Neu5Ac Hydroxylase Null Mice Disrupts Insulin/PI3K-AKT Signaling

    Directory of Open Access Journals (Sweden)

    Deug-Nam Kwon

    2014-01-01

    Full Text Available CMP-Neu5Ac hydroxylase (Cmah-null mice fed with a high-fat diet develop fasting hyperglycemia, glucose intolerance, and pancreatic β-cell dysfunction and ultimately develop characteristics of type 2 diabetes. The precise metabolic role of the Cmah gene remains poorly understood. This study was designed to investigate the molecular mechanisms through which microRNAs (miRNAs regulate type 2 diabetes. Expression profiles of miRNAs in Cmah-null mouse livers were compared to those of control mouse livers. Liver miFinder miRNA PCR arrays (n=6 showed that eight miRNA genes were differentially expressed between the two groups. Compared with controls, seven miRNAs were upregulated and one miRNA was downregulated in Cmah-null mice. Specifically, miR-155-5p, miR-425-5p, miR-15a-5p, miR-503-5p, miR-16-5p, miR-29a-3p, and miR-29b-3p were significantly upregulated in the liver and pancreas of Cmah-null mice. These target miRNAs are closely associated with dysregulation of insulin/PI3K-AKT signaling, suggesting that the Cmah-null mice could be a useful model for studying diabetes.

  18. An integrated chemo-enzymatic route for preparation of ß-thymidine, a key intermediate in the preparation of antiretrovirals

    CSIR Research Space (South Africa)

    Gordon, GER

    2011-01-01

    Full Text Available A chemo-enzymatic method for production of ß-thymidine, an intermediate in the synthesis of antiretrovirals, is described. Guanosine and thymine were converted by means of enzymatic transglycosylation to yield 5-methyluridine (5-MU), which...

  19. Effect of one-step polishing system on the color stability of nanocomposites.

    Science.gov (United States)

    Alawjali, S S; Lui, J L

    2013-08-01

    This study was to compare the effect of three different one-step polishing systems on the color stability of three different types of nanocomposites after immersion in coffee for one day and seven days and determine which nanocomposite material has the best color stability following polishing with each of the one-step polishing system. The nanocomposites tested were Tetric EvoCeram, Grandio and Herculite Précis. A total of 120 discs (40/nanocomposite, 8mm×2mm) were fabricated. Ten specimens for each nanocomposite cured under Mylar strips served as the control. The other specimens were polished with OptraPol, OneGloss and Occlubrush immersed in coffee (Nescafé) up to seven days. Color measurements were made with a spectrophotometer at baseline and after one and seven days. Two way repeated measure ANOVA, two way ANOVA and Bonferroni tests were used for statistical analyses (P<0.05). The immersion time was a significant factor in the discoloration of the nanocomposites. The effect of three one-step polishing systems on the color stability was also significant. The color change values of the materials cured against Mylar strips were the greatest. The lowest mean color change values were from the Occlubrush polished groups. The effect of the three different types of nanocomposite on the color change was significant. The highest color change values were with Tetric EvoCeram groups. The lowest color change values were with Herculite Précis groups. The color change of nanocomposite resins is affected by the type of composite, polishing procedure and the period of immersion in the staining agent. Copyright © 2012 Elsevier Ltd. All rights reserved.

  20. Evaluation of one-step micro polishers for residual resin removal after debonding on fluorosed teeth

    Directory of Open Access Journals (Sweden)

    Padmalatha Challa

    2014-01-01

    Full Text Available Aim and objectives: To evaluate the effectiveness of one step micro polishers for residual resin removal on fluorosed teeth using scanning electron microscope (SEM. Methods and Material: 55 teeth with mild to moderate fluorosis were selected with five teeth as control. Metal brackets were bonded onto 50 teeth which were divided into 5 groups. The finishing and polishing methods which were tested include tungsten carbide burs (TCB, multistep finishing system (Sof-Lex, one step polishers (PoGo and combination of TCB with multistep and one step polishing systems. After resin removal, all the samples were examined under SEM for assessment of the enamel surface. Results: The enamel surface was closest to untouched enamel in samples finished with the PoGo one step polishers followed by Sof-Lex multistep finishing system. However, they took the longest time to finish. TCB required the shortest time for residual resin removal. Conclusions: All polishing systems produce a certain degree of damage to the enamel surface with the smoothest surface being produced by one step polishers on fluorosed teeth.

  1. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  2. Preparation of cerium oxide for lens polishing powder

    International Nuclear Information System (INIS)

    Injarean, Uthaiwan; Rodthongkom, Chouvana; Pichestapong, Pipat; Changkrurng, Kalaya

    2003-10-01

    Cerium is an element of rare earth group which is called lanthanide series. It is found in the ores like monazite and xenotime which are the tailings of tin mines in the south of Thailand. Cerium is used mostly as lens polishing powder besides the applications in other industries. In this study, cerium extracted from monazite ore breakdown by alkaline process was used for the preparation of lens polishing powder. Cerium hydroxide cake from the process was dissolved by hydrochloric acid and precipitated with oxalic acid. The oxalate precipitate then was calcined to oxide powder and its particle size was measured. Precipitation conditions being studied are concentration of feed cerium chloride solution, concentration of oxalic acid used for the precipitation, concentration of sulfuric acid used as precipitation control reagent and the precipitation temperature. It was found that the appropriate precipitation conditions yielded the fine oxide powder with particle size about 12μm. The oxide powder can be ground to the size of 1-3 μm which is suitable for making lens polishing powder

  3. Infestation of Polish Agricultural Soils by Plasmodiophora Brassicae Along The Polish-Ukrainian Border

    Directory of Open Access Journals (Sweden)

    Jędryczka Małgorzata

    2014-07-01

    Full Text Available There has been a rapid, worldwide increase in oilseed rape production that has resulted in enormous intensification of oilseed rape cultivation, leading to tight rotations. This in turn, has caused an accumulation of pests as well as foliar and soil-borne diseases. Recently, clubroot has become one of the biggest concerns of oilseed rape growers. Clubroot is caused by the soil-borne protist Plasmodiophora brassicae Woronin. The pathogen may be present in groundwater, lakes, and irrigation water used in sprinkling systems. It can be easily transmitted from one field to another not only by water, but also by soil particles and dust transmitted by wind and on machinery. The aim of our overall study was to check for P. brassicae infestation of Polish agricultural soils. This paper presents the 2012 results of a study performed along the Polish-Ukrainian border in two provinces: Lublin (Lubelskie Voivodeship and the Carpathian Foothills (Podkarpackie Voivodeship, in south-east Poland. Monitoring was done in 11 counties, including nine rural and two municipal ones. In total, 40 samples were collected, out of which 36 were collected from fields located in rural areas and four from municipal areas, with two per municipal region. Each sample was collected at 8-10 sites per field, using a soil auger. The biotest to detect the presence of P. brassicae was done under greenhouse conditions using seedlings of the susceptible Brassicas: B. rapa ssp. pekinensis and the Polish variety of oilseed rape B. napus cv. Monolit. Susceptible plants grown in heavily infested soils produced galls on their roots. A county was regarded as free from the pathogen, if none of the bait plants became infected. The pathogen was found in three out of 40 fields monitored (7.5% in the Carpathian Foothill region. The fields were located in two rural counties. The pathogen was not found in Lublin province, and was also not detected in any of the municipal counties. The detection with

  4. Long Distance Solidarity: Polish Public Opinion and the Boer War 1899–1902

    Directory of Open Access Journals (Sweden)

    Szlanta Piotr

    2017-06-01

    Full Text Available The bloody conflict which was taking place in South Africa in the years 1899-1902 was followed with a great interest by Polish public opinion. Its greatest part strongly sympathized with the Boer republics. Their burgers were idealized and presented by the Polish press as brave fighters for independence, who dared to stand up against the world empire to defend their rights while Great Britain was attributed full responsibility for the outbreak of the war. For many Poles the Boers personified the general idea of freedom fighters and symbolized all suppressed nations. Their sad fate seemed to be quite similar to the Polish one and this similarity was the main source of sympathy toward defenders of the Transvaal and Free Orange State. Voices of few Polish intellectuals, who called for a more objective and not so emotional view on the war, could not change the pro-Boers stance of the greatest part of Polish public opinion.

  5. Efficacy of polishing kits on the surface roughness and color stability ...

    African Journals Online (AJOL)

    Objective: Different polishing kits may have different effects on the composite resin surfaces. The aim of this study was to evaluate the surface roughness and color stability of four different composites which was applied different polishing technique. Materials and Methods: Thirty specimens were made for each composite ...

  6. Research status in ultra-precision machining of silicon carbide parts by oxidation-assisted polishing

    Directory of Open Access Journals (Sweden)

    Xinmin SHEN

    2016-10-01

    Full Text Available Oxidation-assisted polishing is an important machining method for obtaining SiC parts with high precision. Through plasma oxidation, thermal oxidation, and anodic oxidation, soft oxide can be obtained on the RS-SiC substrate. With the assistance of abrasive polishing to remove the oxide rapidly, the material removal rate can be increased and the surface quality can be improved. The research results indicate that the surface roughness root-mean-square (RMS and roughness-average (Ra can reach 0.626 nm and 0.480 nm by plasma oxidation-assisted polishing; in thermal oxidation-assisted polishing, the RMS and Ra can be 0.920 nm and 0.726 nm; in anodic oxidation, the calculated oxidation rate is 5.3 nm/s based on Deal-Grove model, and the RMS and Ra are 4.428 nm and 3.453 nm respectively in anodic oxidation-assisted polishing. The oxidation-assisted polishing can be propitious to improve the process level in machining RS-SiC, which would promote the application of SiC parts in optics and ceramics fields.

  7. Summary of Synthetic Lap Polishing Experiments at LLNL, FY95

    International Nuclear Information System (INIS)

    Nichols, M A

    2001-01-01

    The purpose of this research was to support the optics finishing development work for the NIF, the National Ignition Facility. One of the major expenses for the construction of NIF is the cost of finishing of the large aperture optics. One way to significantly reduce the cost of the project is to develop processes to reduce the amount of time necessary to polish the more than 3,000 amplifier slabs. These slabs are rectangular with an aspect ratio of more than twenty to one and are made of a very temperature sensitive glass, Nd doped phosphate laser glass. As a result of this effort, we could potentially reduce the time necessary to polish each surface of an amplifier from 20-30 hours of run time to under an hour to achieve the same removal and still maintain a flatness of between one to three waves concave figure. We also feel confident that we can polish rectangular thermally sensitive glass flat by use of temperature control of the polishing platen, pad curvature, slurry concentration with temperature control, pad rotation, and pressure; although further, larger scale experiments are necessary to gain sufficient confidence that such a procedure could be successfully fielded

  8. Note: Automated electrochemical etching and polishing of silver scanning tunneling microscope tips.

    Science.gov (United States)

    Sasaki, Stephen S; Perdue, Shawn M; Rodriguez Perez, Alejandro; Tallarida, Nicholas; Majors, Julia H; Apkarian, V Ara; Lee, Joonhee

    2013-09-01

    Fabrication of sharp and smooth Ag tips is crucial in optical scanning probe microscope experiments. To ensure reproducible tip profiles, the polishing process is fully automated using a closed-loop laminar flow system to deliver the electrolytic solution to moving electrodes mounted on a motorized translational stage. The repetitive translational motion is controlled precisely on the μm scale with a stepper motor and screw-thread mechanism. The automated setup allows reproducible control over the tip profile and improves smoothness and sharpness of tips (radius 27 ± 18 nm), as measured by ultrafast field emission.

  9. New surface modification method of bio-titanium alloy by EB polishing

    International Nuclear Information System (INIS)

    Okada, Akira; Uno, Yoshiyuki; Iio, Atsuo; Fujiwara, Kunihiko; Doi, Kenji

    2008-01-01

    A new surface modification for bio-titanium alloy products by electron beam (EB) polishing is proposed. In this EB polishing method, high energy density EB can be irradiated without concentrating the beam. Therefore, large-area EB with a maximum diameter of 60 mm can be used for instantaneously melting or evaporating metal surface. Experimental results made it clear that surface characteristics, such as repellency, corrosion resistance and coefficient of friction could be improved simultaneously with the surface smoothing in a few minutes under a proper condition. Therefore, EB polishing method has a possibility of high efficient surface smoothing and surface modification process for bio-titanium alloy. (author)

  10. Family Enterprises in Polish Consumers' Mindset in the Light of International Tendencies

    Directory of Open Access Journals (Sweden)

    Joanna Bednarz

    2017-07-01

    Full Text Available Aim/purpose - The main aim of the paper is to fill in the gap in the existing literature as well as to propose a set of specific family enterprises' (FE attributes concerning certain socioeconomic conditions in Poland. The objectives of the article are interrelated with two theses. H1 assumes that in current literature there is a little attention paid to the demand side of the market, particularly to the individual consumers (including young buyers and their attitudes toward FEs. H2 indicates that the perception of Polish FEs changes considerably reflecting the international trends. Design/methodology/approach - The authors studied a consolidated profound review of recent international and Polish publications on FEs. The expert interviews and in-depth individual interviews were conducted. Both empirical studies brought a preliminary insight into overall consumer perception of the FEs in Poland. Findings - For several years Polish buyers have been dynamically changing their mindset, breaking the stereotype of FEs' owners. Nowadays, tradition and quality are two attributes which are associated closely with Polish FEs. Customers indicate that FEs are trustworthy, responsible, solid and dependable. They also highlight the ethnocentric attitude toward these companies - Polishness. All these attributes are evidently appreciated. FEs are correlated with traditional industries and products, especially with groceries, cosmetics, clothes, shoes, jewelry, furniture, windows and doors. Research implications/limitations - The identity of FEs is not always communicated properly. Consumers often cannot ascertain a provenance of their offer as many FEs do not emphasize their family identity. Additionally, on the Polish market, consumers are occasionally misled considering the family ownership of a business. Originality/value/contribution - The studies indicate a set of attributes typical of Polish FEs underpinning their strong identity which should be

  11. Polishers around the globe: an overview on the market of large astronomical mirrors

    Science.gov (United States)

    Döhring, Thorsten

    2014-07-01

    Astronomical mirrors are key elements in modern optical telescopes, their dimensions are usually large and their specifications are demanding. Only a limited number of skilled companies respectively institutions around the world are able to master the challenge to polish an individual astronomical mirror, especially in dimensions above one meter. This paper presents an overview on the corresponding market including a listing of polishers around the globe. Therefore valuable information is provided to the astronomical community: Polishers may use the information as a global competitor database, astronomers and project managers may get more transparency on potential suppliers, and suppliers of polishing equipment may learn about unknown potential customers in other parts of the world. An evaluation of the historical market demand on large monolithic astronomical mirrors is presented. It concluded that this is still a niche market with a typical mean rate of 1-2 mirrors per year. Polishing of such mirrors is an enabling technology with impact on the development of technical know-how, public relation, visibility and reputation of the supplier. Within a corresponding technical discussion different polishing technologies are described. In addition it is demonstrated that strategic aspects and political considerations are influencing the selection of the optical finisher.

  12. Study on combined polishing process of aspherical aluminum mirrors

    Science.gov (United States)

    Deng, Jinqiu; Peng, Xiaoqiang; Hu, Hao; Ge, Kunpeng

    2017-10-01

    The aluminum mirrors are widely used as important optical components in some vital fields such as astronomical instruments or military installations due to the unique advantages of aluminum alloy. In order to simplify the structure of optical system and improve the performance at the same time, it's a tendency that the optics will be designed to aspherical or other freeform shapes. However, the traditional techniques are falling to have adequate abilities to deal with the increasing demands of aluminum optics. For example, the tool marks leaved on the surface from single point diamond turning (SPDT) has obvious adverse effects to optical system. The deterministic and sub-aperture polishing process has showed the potential to fabricate complex shapes over the few years. But it's still recognized as a problem to polish bare aluminum directly because of its soft surface and active chemical characteristics. Therefore, a combination of magnetorheological finishing (MRF) and small tool polishing (STP) is applied to obtain high performance aluminum optics in this paper. A paraboloid aluminum mirror was polished with this proposed method, and the results showed that the surface texture of the sample is restrained from rms 0.409λ (λ=632.8nm) to rms 0.025λ, and the surface roughness is improved from average Ra 6 7nm to Ra 3 4nm.

  13. Heavy Metal Contamination of Popular Nail Polishes in Iran

    Directory of Open Access Journals (Sweden)

    Golnaz Karimi

    2015-06-01

    Full Text Available Background: Toxic and hazardous heavy metals like arsenic, lead, mercury, zinc, chromium and iron are found in a variety of personal care products, e.g. lipstick, whitening toothpaste, eyeliner and nail color. The nails absorb the pigments of nail polishes and vaporized or soluble metals can easily pass it. The goal of this survey was to assess whether the different colors of nail polishes comply with maximum concentrations of heavy metals in the EPA’s guidelines. Methods: 150 samples of different popular brands of nail polishes in 13 colors (yellow, beige, silver, pink, white, violet, brown, golden, green, black, colorless, red and blue were randomly purchased from beauty shops in Tehran City, Iran, in 2014. Microwave digestion EPA method 3051 was used by a microwave oven to determine the amount of 5 heavy metals; Nickel, Chromium, Lead, Arsenic and Cadmium. One-way ANOVA, Two-way ANOVA, hierarchical cluster, and principal component analyses were applied by Statistica 7.0 software. Results: The concentrations of chrome, lead, nickel and arsenic showed significant differences between the colors (p<0.05. In all studied samples, the level of cadmium was beyond the safe maximum permissible limit (MPS, but no significance difference in the cadmium content was identified. Conclusion: Due to the high concentrations of toxic metals in many brands of nail polishes, meticulous quality control is recommended for these beauty products.

  14. Holocaust Education in Polish Public Schools: Between Remembrance and Civic Education

    Science.gov (United States)

    Milerski, Boguslaw

    2010-01-01

    This article analyzes the historical and political context of Holocaust education, and its implementation in Polish schools. Perceptions of the Holocaust continue to change, influenced by Poland's social and political situation. The Polish historical context is quite specific; it includes the long history of Poles and Jews as neighbors, with local…

  15. Polish Standard of the Technical Safety of Transmission Gas Pipelines

    International Nuclear Information System (INIS)

    Tkacz, A.J.

    2006-01-01

    The document is presenting the idea of the CNGI Norm called The Polish Standard of the Technical Safety of Transmission Gas Pipelines and the way of using it by companies associated in the Chamber of the Natural Gas Industry in the business activity. It will be applied to improve the quality and reliability of gas transmission after full opening of Polish natural gas market. (author)

  16. Reusing Ceramic Tile Polishing Waste In Paving Block Manufacturing

    OpenAIRE

    Giordano Penteado; Carmenlucia Santos; de Carvalho; Eduardo Viviani; Cecche Lintz; Rosa Cristina

    2016-01-01

    Ceramic companies worldwide produce large amounts of polishing tile waste, which are piled up in the open air or disposed of in landfills. These wastes have such characteristics that make them potential substitutes for cement and sand in the manufacturing of concrete products. This paper investigates the use of ceramic tile polishing waste as a partial substitute for cement and sand in the manufacturer of concrete paving blocks. A concrete mix design was defined and then the sand was replaced...

  17. IDENTITY AND NEGOTIATION OF BOUNDARIES AMONG YOUNG POLISH JEWS

    OpenAIRE

    Cukras-Stelągowska, Joanna

    2015-01-01

    In this chapter, I would like to look at the processes of building the cultural identity of the young generation of Polish Jews, primarily including the models of constructing modern identifications with the Polish society, the Jewish people and the State of Israel. I will present the results of my own studies, and of those conducted by a few other young researchers dealing with these issues. My main theoretical sources are within the interpretive paradigm. The research methodology was based ...

  18. Gynecologic examination and cervical biopsies after (chemo) radiation for cervical cancer to identify patients eligible for salvage surgery

    NARCIS (Netherlands)

    Nijhuis, Esther R.; van der Zee, Ate G. J.; In 't Hout, Bertha A.; Boomgaard, Jantine J.; de Hullu, Joanne A.; Pras, Elisabeth; Hollema, Harry; Aalders, Jan G.; Jijman, Hans W.; Willemse, Pax H. B.; Mourits, Marian J. E.

    2006-01-01

    Purpose: The aim of this study was to evaluate efficacy of gynecologic examination under general anesthesia with cervical biopsies after (chemo) radiation for cervical cancer to identify patients with residual disease who may benefit from salvage surgery. Methods and Materials: In a retrospective

  19. Gynecologic examination and cervical biopsies after (chemo) radiation for cervical cancer to identify patients eligible for salvage surgery.

    NARCIS (Netherlands)

    Nijhuis, E.R.; Zee, A.G. van der; Hout, B.A. van; Boomgaard, J.J.; Hullu, J.A. de; Pras, E.; Hollema, H.; Aalders, J.G.; Nijman, H.W.; Willemse, P.H.B.; Mourits, M.J.E.

    2006-01-01

    PURPOSE: The aim of this study was to evaluate efficacy of gynecologic examination under general anesthesia with cervical biopsies after (chemo) radiation for cervical cancer to identify patients with residual disease who may benefit from salvage surgery. METHODS AND MATERIALS: In a retrospective

  20. Research on Grinding and Polishing Force Control of Compliant Flange

    Directory of Open Access Journals (Sweden)

    Li Chuang

    2015-01-01

    Full Text Available The automation of the grinding and polishing process is important to improve the production efficiency of the part surfaces. In this paper, a new compliant flange mounted on the end of the industrial robots for the robotic grinding and polishing force control is developed. With regard to the non-linear and time-varying problem of the contact force, the mathematical model of the new force control system was presented and the fuzzy PID control strategy was used to drive the proposed system. Especially, the air spring and electric proportional valve is studied to establish the model. The simulation results show that the selected control strategy has quick response and good robustness, which satisfies the real-time requirements of the grinding and polishing force control in processing.

  1. Role of out-patient chemo- and radiotherapy in complex treatment of pediatric nephroblastoma

    International Nuclear Information System (INIS)

    Kamarli, Z.P.

    1987-01-01

    The paper discusses application of out-patient procedures for complex chemo- and radiotreatment of pediatric nephroblastoma. The data on 101 out-patients with nephroblastoma were analysed. Out-patient prophylactic chemotherapy was not accompanied by higher rates of toxic or side-effects. Among the basic indications for certain procedures for treatment of nephroblastoma on the out-patient basis are: fair general condition, absence of severe complications in the hospital case history, parents' readiness the nurse, age over 18 months and stage 2 disease

  2. Research on Laser Micro Polishing of SLS Technology Sintered Iron-Based Powder Surface

    OpenAIRE

    Gerda Vaitkūnaitė; Vladislav Markovič; Olegas Černašėjus

    2015-01-01

    The article analyzes laser micro polishing of 1.2083 steel samples produced applying selective laser sintering (SLS) method. The study has evaluated the distribution of the shape, size and temperature of the laser beam treated area in the surface layer of sintered and laser polished samples. Experimental tests have shown the impact of the technical parameters of laser micro polishing on the width and hardness of the impact zone of the treated sample. The microstructure analysis of laser treat...

  3. Detection Performance of Upgraded "Polished Panel" Optical Receiver Concept on the Deep-Space Network's 34 Meter Research Antenna

    Science.gov (United States)

    Vilnrotter, Victor A.

    2012-01-01

    The development and demonstration of a "polished panel" optical receiver concept on the 34 meter research antenna of the Deep Space Network (DSN) has been the subject of recent papers. This concept would enable simultaneous reception of optical and microwave signals by retaining the original shape of the main reflector for microwave reception, but with the aluminum panels polished to high reflectivity to enable focusing of optical signal energy as well. A test setup has been installed on the DSN's 34 meter research antenna at Deep Space Station 13 (DSS-13) of NASA's Goldstone Communications Complex in California, and preliminary experimental results have been obtained. This paper describes the results of our latest efforts to improve the point-spread function (PSF) generated by a custom polished panel, in an attempt to reduce the dimensions of the PSF, thus enabling more precise tracking and improved detection performance. The design of the new mechanical support structure and its operation are described, and the results quantified in terms of improvements in collected signal energy and optical communications performance, based on data obtained while tracking the planet Jupiter with the 34 meter research antenna at DSS-13.

  4. Polish credit institutions within the European Union: a cross-country survey

    Directory of Open Access Journals (Sweden)

    Mariusz Dybał

    2011-06-01

    Full Text Available The paper presents an analysis of the Polish financial system, with regard to both the number of financial institutions and their assets over the last two decades. Data on the structure of household assets are also presented. According to the study, banks are the most important institutions in the Polish financial system. Analyzed in order to compare Polish credit institutions with all other members of the European Union were total assets of credit institutions, assets of the 25 largest banks in the European Union, as well as in Central and East Europe, share of the five largest credit institutions in total assets (CR5, asset share of credit institutions with majority foreign equity ownership, number of credit institutions, number of local units (branches, number of residents per credit institution local unit, number of employees of credit institutions, assets of credit institutions per employee and GDP per capita in PPS. The data demonstrate that over the past decade Polish credit institutions have largely strengthened their position within the European Union.

  5. The Factor Structure of the Polish-Language Version of the Romantic Beliefs Scale

    Directory of Open Access Journals (Sweden)

    Katarzyna Adamczyk

    2014-07-01

    Full Text Available The aim of the present study was to investigate the factor structure and psychometric properties of the Polish adaptation of Romantic Beliefs Scale (RBS; Sprecher & Metts, 1989. In a sample of 414 Polish university students aged 19-25 (227 females and 187 males, the factor structure of the original English version was confirmed for the four subscales: Love Finds a Way, One and Only, Idealization, and Love at First Sight. The present study provides evidence that the 15-item version of the Polish adaptation of the (RBS possesses a factor structure and psychometric properties comparable to the English-language version of RBS. It was shown to be a reliable self-report measure for romantic beliefs within a sample of the Polish population. The development of a new Polish measure of romantic beliefs has provided further validation for the RBS, and provided evidence in support of the ideology of romanticism in various populations, and indicated the importance of differentiating between the different types of romantic beliefs.

  6. [Comparison of surface roughness of nanofilled and microhybrid composite resins after curing and polishing].

    Science.gov (United States)

    Jiang, Hong; Lv, Da; Liu, Kailei; Zhang, Weisheng; Yao, Yao; Liao, Chuhong

    2014-05-01

    To compare the surface roughness of nanofilled dental composite resin and microhybrid composite resins after curing and polishing. A nanofilled composite (Z350) and 4 microhybrid composites (P60, Z250, Spectrum, and AP-X) were fabricated from the lateral to the medial layers to prepare 8 mm×8 mm×5 mm cubical specimens. The 4 lateral surfaces of each specimens were polished with abrasive disks (Super-Snap). Profilometer was used to test the mean surface roughness (Ra) after polishing. P60 had the lowest Ra (0.125∓0.030 µm) followed by Z250 and Spectrum. The Ra of Z350 (0.205∓0.052 µm) was greater than that of the other 3 resins, and AP-X had the roughest surfaces. Under scanning electron microscope, the polished faces of P60 resin were characterized by minor, evenly distributed particles with fewer scratches; the polished faces of Z350 presented with scratches where defects of the filling material could be seen. The nanofilled composite Z350 has smooth surface after polishing by abrasive disks, but its smoothness remains inferior to that of other micro-hybrid composite resins.

  7. Laser polishing for topography management of accelerator cavity surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Liang [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Klopf, J. Mike [College of William and Mary, Williamsburg, VA (United States); Reece, Charles E. [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Kelley, Michael J. [College of William and Mary, Williamsburg, VA (United States); Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States)

    2015-07-20

    Improved energy efficiency and reduced cost are greatly desired for advanced particle accelerators. Progress toward both can be made by atomically-smoothing the interior surface of the niobium superconducting radiofrequency accelerator cavities at the machine's heart. Laser polishing offers a green alternative to the present aggressive chemical processes. We found parameters suitable for polishing niobium in all surface states expected for cavity production. As a result, careful measurement of the resulting surface chemistry revealed a modest thinning of the surface oxide layer, but no contamination.

  8. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    Energy Technology Data Exchange (ETDEWEB)

    Ţălu, Ştefan, E-mail: stefan_ta@yahoo.com [Technical University of Cluj-Napoca, Faculty of Mechanical Engineering, Department of AET, Discipline of Descriptive Geometry and Engineering Graphics, 103-105 B-dul Muncii St., Cluj-Napoca 400641, Cluj (Romania); Stach, Sebastian, E-mail: sebastian.stach@us.edu.pl [University of Silesia, Faculty of Computer Science and Materials Science, Institute of Informatics, Department of Biomedical Computer Systems, Będzińska 39, 41-205 Sosnowiec (Poland); Lainović, Tijana, E-mail: tijana.lainovic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Vilotić, Marko, E-mail: markovil@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia); Blažić, Larisa, E-mail: larisa.blazic@gmail.com [University of Novi Sad, Faculty of Medicine, School of Dentistry, Clinic of Dentistry of Vojvodina, Department of Restorative Dentistry and Endodontics, Hajduk Veljkova 3, 21000 Novi Sad (Serbia); Alb, Sandu Florin, E-mail: albflorin@yahoo.com [“Iuliu Haţieganu” University of Medicine and Pharmacy, Faculty of Dentistry, Department of Periodontology, 8 Victor Babeş St., 400012 Cluj-Napoca (Romania); Kakaš, Damir, E-mail: kakasdam@uns.ac.rs [University of Novi Sad, Faculty of Technical Sciences, Department for Production Engineering, Trg Dositeja Obradovića 6, 21000 Novi Sad (Serbia)

    2015-03-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm{sup 2} scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D{sub q} and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α{sub max} − α{sub min}) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol

  9. Surface roughness and morphology of dental nanocomposites polished by four different procedures evaluated by a multifractal approach

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Stach, Sebastian; Lainović, Tijana; Vilotić, Marko; Blažić, Larisa; Alb, Sandu Florin; Kakaš, Damir

    2015-01-01

    Graphical abstract: - Highlights: • Multifractals are good indicators of polished dental composites 3-D surface structure. • The nanofilled composite had superior 3-D surface properties than the nanohybrid one. • Composite polishing with diamond paste created improved 3-D multifractal structure. • Recommendation: polish the composite with diamond paste if using the one-step tool. • Multifractal analysis could become essential in designing new dental surfaces. - Abstract: The objective of this study was to determine the effect of different dental polishing methods on surface texture parameters of dental nanocomposites. The 3-D surface morphology was investigated by atomic force microscopy (AFM) and multifractal analysis. Two representative dental resin-based nanocomposites were investigated: a nanofilled and a nanohybrid composite. The samples were polished by two dental polishing protocols using multi-step and one-step system. Both protocols were then followed by diamond paste polishing. The 3-D surface roughness of samples was studied by AFM on square areas of topography on the 80 × 80 μm 2 scanning area. The multifractal spectrum theory based on computational algorithms was applied for AFM data and multifractal spectra were calculated. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of dental nanocomposites polished by four different dental polishing protocols at nanometer scale. The results showed that the larger the spectrum width Δα (Δα = α max − α min ) of the multifractal spectra f(α), the more non-uniform was the surface morphology. Also, the 3-D surface topography was described by statistical parameters, according to ISO 25178-2:2012. The 3-D surface of samples had a multifractal nature. Nanofilled composite had lower values of height parameters than nanohybrid composites, due to its composition. Multi-step polishing protocol created a better

  10. Original article Validation of the Polish version of the Collective Self-Esteem Scale

    Directory of Open Access Journals (Sweden)

    Róża Bazińska

    2015-07-01

    Full Text Available Background The aim of this article is to present research on the validity and reliability of the Collective Self-Esteem Scale (CSES for the Polish population. The CSES is a measure of individual differences in collective self-esteem, understood as the global evaluation of one’s own social (collective identity. Participants and procedure Participants from two samples (n = 466 and n = 1,009 completed a paper-pencil set of questionnaires which contained the CSES and the Rosenberg Self-Esteem Scale (RSES, and subsets of participants completed scales related to a sense of belonging, well-being and psychological distress (anxiety and depression. Results Like the original version, the Polish version of the CSES comprises 16 items which form the four dimensions of collective self-esteem: Public collective self-esteem, Private collective self-esteem, Membership esteem and Importance of Identity. The results confirm the four-factor structure of the Polish version of the CSES, support the whole Polish version of the CSES as well as its subscales, which represent satisfactory reliability and stability, and provide initial evidence of construct validity. Conclusions As the results of the study indicate, the Polish version of the CSES is a valid and reliable self-report measure for assessing the global self-esteem derived from membership of a group and has proved to be useful in the Polish context.

  11. A new cleaning process for the metallic contaminants on a post-CMP wafer's surface

    International Nuclear Information System (INIS)

    Gao Baohong; Liu Yuling; Wang Chenwei; Wang Shengli; Zhou Qiang; Tan Baimei; Zhu Yadong

    2010-01-01

    This paper presents a new cleaning process using boron-doped diamond (BDD) film anode electrochemical oxidation for metallic contaminants on polished silicon wafer surfaces. The BDD film anode electrochemical oxidation can efficiently prepare pyrophosphate peroxide, pyrophosphate peroxide can oxidize organic contaminants, and pyrophosphate peroxide is deoxidized into pyrophosphate. Pyrophosphate, a good complexing agent, can form a metal complex, which is a structure consisting of a copper ion, bonded to a surrounding array of two pyrophosphate anions. Three polished wafers were immersed in the 0.01 mol/L CuSO 4 solution for 2 h in order to make comparative experiments. The first one was cleaned by pyrophosphate peroxide, the second by RCA (Radio Corporation of America) cleaning, and the third by deionized (DI) water. The XPS measurement result shows that the metallic contaminants on wafers cleaned by the RCA method and by pyrophosphate peroxide is less than the XPS detection limits of 1 ppm. And the wafer's surface cleaned by pyrophosphate peroxide is more efficient in removing organic carbon residues than RCA cleaning. Therefore, BDD film anode electrochemical oxidation can be used for microelectronics cleaning, and it can effectively remove organic contaminants and metallic contaminants in one step. It also achieves energy saving and environmental protection. (semiconductor technology)

  12. Development of on the machine process monitoring and control strategy in Robot Assisted Polishing

    DEFF Research Database (Denmark)

    Pilny, Lukas; Bissacco, Giuliano

    2015-01-01

    Robot Assisted Polishing (RAP) can be used to polish rotational symmetric and free form components achieving surface roughness down to Sa 10 nm. With the aim to enable unmanned robust and cost efficient application of RAP, this paper presents the development of a monitoring and control strategy....... The multisensory approach was experimentally validated in polishing with bonded abrasives demonstrating its suitability for process control in RAP....

  13. The prevalence of burnout syndrome in Polish anaesthesiologists.

    Science.gov (United States)

    Misiołek, Aleksandra; Gorczyca, Piotr; Misiołek, Hanna; Gierlotka, Zbigniew

    2014-01-01

    Burnout syndrome is a psychological response to chronic work-related stress characterized by low enthusiasm towards the job, high psychological exhaustion, indolence and guilt. Being a medical doctor, both in Poland and in other countries, is one of the most stressful occupations and anaesthesiology is considered one of the most stressful specializations, which justify carrying out of the study on Polish anaesthesiologists. The aim of the study was to determine the prevalence of burnout syndrome in Polish anaesthesiologists Non-randomized cross-sectional study was carried out and data were gathered through a self-administrated questionnaire. The sample consisted of 373 Polish anaesthesiologists, 57.6% were women and 42.4% were men. A 66% response rate was achieved. The Burnout Syndrome was measured by the Spanish Burnout Inventory. The prevalence burnout risk was almost 70%. The percentage of participants who indicated very high levels of burnout was 18%; 5.9% of whom fell into profile 2 considered to be clinical. The instrument applied was reliable with Cronbach's alphas exceeding 0.70. The sample is characterized by high burnout risk with 5.9% of clinical cases. Participation in prevention programs is recommended.

  14. Gene expression profiles in cervical cancer with radiation therapy alone and chemo-radiation therapy

    International Nuclear Information System (INIS)

    Lee, Kyu Chan; Kim, Joo Young; Hwang, You Jin; Kim, Meyoung Kon; Choi, Myung Sun; Kim, Chul Young

    2003-01-01

    cyclic nucleotide gated channel and 3 Expressed sequence tags (EST). In the Concurrent chemo-radiation group, the genes involved in cell growth and proliferation, cell cycle control, and. signal transduction were shown to have increased expressions compared to the radiation therapy alone group. The expressions of genes involved in angiogenesis (angiopoietin-2), immune reactions (formyl peptide receptor-like 1), and DNA repair (cAMP phosphodiesterase) were increased, however, the expression of gene involved in apoptosis (death associated protein kinase) was decreased. The different kinds of genes involved in the development and progression of cervical cancer were identified with the cDNA microarray, and the proposed theory is that the proliferation signal starts with ILK, and is amplified with Spry 2 and MAPK signaling, and the cellular mitoses are increased with the increased expression of Cdc 2 and cell division kinases. After the radiation therapy, the expression profiles demonstrated the evidence of the decreased cancer cell proliferation. There was no significant difference in the morphological findings of cell death between the radiation therapy alone and the chemo-radiation groups in the second time biopsy specimen, however, the gene expression profiles were markedly different, and the mechanism at the molecular level needs further study

  15. Barriers and Limitations of Mentoring in Polish Organizations

    Directory of Open Access Journals (Sweden)

    Joanna Mesjasz

    2013-09-01

    Full Text Available In Polish organizations one can recognize a lot of barriers in the mentoring implementation. According to the author, at the root of these barriers are hierarchies of values: social, national, organizational, and hierarchies of values represented by individual employees. The purpose of this article is to trace the sources of constraints in the mentoring implementation process. The analysis is carried out on several levels: from the broadest social perspective, through the national to the perspective of the organization. In the article, there are also presented actions that should be taken by Polish organizations to overcome barriers and constraints in the mentoring implementation process.

  16. Process optimization for ultrasonic vibration assisted polishing of micro-structured surfaces on super hard material

    Science.gov (United States)

    Sun, Zhiyuan; Guo, Bing; Rao, Zhimin; Zhao, Qingliang

    2014-08-01

    In consideration of the excellent property of SiC, the ground micro-structured surface quality is hard to meet the requirement - consequently the ultrasonic vibration assisted polishing (UVAP) of micro-structures of molds is proposed in this paper. Through the orthogonal experiment, the parameters of UVAP of micro-structures were optimized. The experimental results show that, abrasive polishing process, the effect of the workpiece feed rate on the surface roughness (Ra), groove tip radius (R) and material removal rate (MRR) of micro-structures is significant. While, the UVAP, the most significant effect factor for Ra, R and MRR is the ultrasonic amplitude of the ultrasonic vibration. In addition, within the scope of the polishing process parameters selected by preliminary experiments, ultrasonic amplitude of 2.5μm, polishing force of 0.5N, workpiece feed rate of 5 mm·min-1, polishing wheel rotational speed of 50rpm, polishing time of 35min, abrasive size of 100nm and the polishing liquid concentration of 15% is the best technology of UVAP of micro-structures. Under the optimal parameters, the ground traces on the micro-structured surface were removed efficiently and the integrity of the edges of the micro-structure after grinding was maintained efficiently.

  17. The effect of different polishing systems on surface roughness and gloss of various resin composites.

    Science.gov (United States)

    Da Costa, Juliana; Ferracane, Jack; Paravina, Rade D; Mazur, Rui Fernando; Roeder, Leslie

    2007-01-01

    The purpose of this in vitro study was to evaluate the surface finish and gloss of five direct resin composites polished with six polishing systems. One hundred and fifty disk-shaped composite specimens (D=10.0 mm, 2-mm-thick, N=30 per material) were made. One side of each specimen was finished with a 16-fluted carbide finishing bur and then polished. Five specimens of each resin composite were randomly assigned to one of the six polishing systems. The surface roughness and gloss were measured with a surface profilometer and a glossmeter. The results were analyzed by two-way analysis of variance and Tukey's t-test (pgloss values between the composites and the polishing systems (p gloss value was recorded for Supreme + Pogo; the lowest was recorded for Z100 + Jiffy. Pogo showed the highest gloss values for all composites. The nanofill (Supreme) and minifill (Esthet-X) composites presented a surface roughness comparable to a microfill (Durafill), independent of the polishing system used, and a gloss comparable to a microfill, when polished with a one-step system (Pogo). As compared with the multiple-step systems, the smoothest surfaces and the highest gloss values were achieved using the one-step system (Pogo) for all the evaluated composites.

  18. PLANNING PHASE 2 MULTICENTER RANDOMIZED TRIAL OF NEOADJUVANT CHEMO-RADIOTHERAPY FOLLOWED BY D2 GASTRECTOMY AND ADJUVANT CHEMOTHERAPY FOR LOCALLY ADVANCED GASTRIC CANCER

    Directory of Open Access Journals (Sweden)

    V. Yu. Skoropad

    2016-01-01

    Full Text Available Introduction. The prognosis for surgical treatment of locally advanced gastric cancer remains disappointing. Neoadjuvant chemo-radiation therapy is relatively new and the least researched method of treatment, it is attracting more and more attention, mainly abroad in recent years. The aims of neoadjuvant therapy is the earliest start of systemic therapy, damage of the primary tumor and regional metastases, an increase in the percentage of radical operations, improving treatment outcome. Material and methods. The planning study is a multicenter, randomized clinical phase II trial. Patients of the first (experimental group will be treated as the followes: neoadjuvant chemo-radiotherapy (total tumor dose of 46 Gy in 23 fractions with the concurrent modified CapOX scheme followed by D2 gastrectomy and adjuvant chemotherapy. Patients of the second (control group will be treated with D2 gastrectomy and adjuvant chemotherapy. Adjuvant chemotherapy will be carried out under the following schemes (optional for the researchers: CapOX or FOLFOX. Toxicity evaluation of neoadjuvant chemo-radiotherapy and adjuvant chemotherapy will be conducted with NCI CTC Toxicity Scale Version 3.0. The main objectives of the trial are to assess the safety and immediate effectiveness of neoadjuvant chemo-radiotherapy according to the criteria of the frequency and severity of postoperative complications and mortality, and tumor response. We are planning to include 80 patients with morphologically confirmed gastric cancer сT2–4N1–3, сT3–4N0–3; М0. The proposed trial will be carried out in accordance with the principles of the Helsinki Declaration, it has been approved by local ethic committees of the participated institutions. Results. As a result of this multicenter randomized trial it is planned to show the reproducibility of obtained in MRRC and a number of foreign centers results – that is, the safety and high immediate effectiveness of neoadjuvant chemo

  19. Surface roughness of novel resin composites polished with one-step systems.

    Science.gov (United States)

    Ergücü, Z; Türkün, L S

    2007-01-01

    This study: 1) analyzed the surface roughness of five novel resin composites that contain nanoparticles after polishing with three different one-step systems and 2) evaluated the effectiveness of these polishers and their possible surface damage using scanning electron microscope (SEM) analysis. The resin composites evaluated in this study include CeramX, Filtek Supreme XT, Grandio, Premise and Tetric EvoCeram. A total of 100 discs (20/resin composites, 10 x 2 mm) were fabricated. Five specimens/resin composites cured under Mylar strips served as the control. The samples were polished for 30 seconds with PoGo, OptraPol and One Gloss discs at 15,000 rpm using a slow speed handpiece. The surfaces were tested for roughness (Ra) with a surface roughness tester and examined with SEM. One-way ANOVA was used for statistical analysis (p = 0.05). For all the composites tested, differences between the polishing systems were found to be significant (p One Gloss applications. For Grandio, Mylar and PoGo created equally smooth surfaces, while OptraPol and One Gloss produced equally rougher surfaces. Tetric EvoCeram exhibited the roughest surface with OptraPol, while no significant differences were found between Premise and CeramX. According to SEM images, OptraPol and One Gloss scratched and plucked the particles away from the surface, while PoGo created a uniform finish, although the roughness values were not the same for each composite. Effectiveness of the polishers seems to be material dependent.

  20. Numerical Simulation Analysis of Five-Step Variable-Diameter Pipe with Solid-Liquid Two-Phase Abrasive Flow Polishing

    Science.gov (United States)

    Li, Junye; Zhang, Hengfu; Wu, Guiling; Hu, Jinglei; Liu, Yang; Sun, Zhihui

    2018-01-01

    In many areas of precision machining abrasive flow polishing technology has an important role. In order to study the influence of abrasive flow on the polishing effect of variable diameter parts, the fifth step variable diameter tube was taken as the research object to analyze the dynamic pressure and turbulent kinetic energy distribution of inlet velocity on the fifth-order variable diameter tube influences. Through comparative analysis, the abrasive flow polished variable diameter pipe parts have very effective and significant polishing effect and the higher the inlet speed, the more significant the polishing effect.