WorldWideScience

Sample records for chemically etched germanium

  1. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  2. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  3. Near-infrared emission from mesoporous crystalline germanium

    Energy Technology Data Exchange (ETDEWEB)

    Boucherif, Abderraouf; Aimez, Vincent; Arès, Richard, E-mail: richard.ares@usherbrooke.ca [Institut Interdisciplinaire d’Innovation Technologique (3IT), Université de Sherbrooke, 3000 Boulevard Université, Sherbrooke, J1K OA5, Québec (Canada); Laboratoire Nanotechnologies Nanosystèmes (LN2)-CNRS UMI-3463, Université de Sherbrooke, 3000 Boulevard Université, Sherbrooke, J1K OA5, Québec (Canada); Korinek, Andreas [Canadian Centre for Electron Microscopy, Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario, L8S 4M1 (Canada)

    2014-10-15

    Mesoporous crystalline germanium was fabricated by bipolar electrochemical etching of Ge wafer in HF-based electrolyte. It yields uniform mesoporous germanium layers composed of high density of crystallites with an average size 5-7 nm. Subsequent extended chemical etching allows tuning of crystallites size while preserving the same chemical composition. This highly controllable nanostructure exhibits photoluminescence emission above the bulk Ge bandgap, in the near-infrared range (1095-1360nm) with strong evidence of quantum confinement within the crystallites.

  4. Etching of germanium-tin using ammonia peroxide mixture

    Energy Technology Data Exchange (ETDEWEB)

    Dong, Yuan; Ong, Bin Leong; Wang, Wei; Gong, Xiao; Liang, Gengchiau; Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Zhang, Zheng; Pan, Jisheng [Institute of Material Research and Engineering, A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, #08-03, Innovis, Singapore 138634 (Singapore); Tok, Eng-Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore)

    2015-12-28

    The wet etching of germanium-tin (Ge{sub 1-x}Sn{sub x}) alloys (4.2% < x < 16.0%) in ammonia peroxide mixture (APM) is investigated. Empirical fitting of the data points indicates that the etch depth of Ge{sub 1-x}Sn{sub x} is proportional to the square root of the etch time t and decreases exponentially with increasing x for a given t. In addition, X-ray photoelectron spectroscopy results show that increasing t increases the intensity of the Sn oxide peak, whereas no obvious change is observed for the Ge oxide peak. This indicates that an accumulation of Sn oxide on the Ge{sub 1-x}Sn{sub x} surface decreases the amount of Ge atoms exposed to the etchant, which accounts for the decrease in etch rate with increasing etch time. Atomic force microscopy was used to examine the surface morphologies of the Ge{sub 0.918}Sn{sub 0.082} samples. Both root-mean-square roughness and undulation periods of the Ge{sub 1-x}Sn{sub x} surface were observed to increase with increasing t. This work provides further understanding of the wet etching of Ge{sub 1-x}Sn{sub x} using APM and may be used for the fabrication of Ge{sub 1-x}Sn{sub x}-based electronic and photonic devices.

  5. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  6. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  7. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  8. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  9. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  10. Synthesis and evaluation of germanium organometallic compounds as precursors for chemical vapor deposition (CVD) and for obtaining nanoparticles of elemental germanium

    International Nuclear Information System (INIS)

    Ballestero Martinez, Ernesto

    2014-01-01

    The interest in the development of materials having applications such as electronics areas or biomarkers has affected the synthesis of new compounds based on germanium. This element has had two common oxidation states, +4 and +2, of them, +2 oxidation state has been the least studied and more reactive. Additionally, compounds of germanium (II) have had similarities with carbenes regarding the chemical acid-base Lewis. The preparation of compounds of germanium (II) with ligands β-decimations has enabled stabilization of new chemical functionalities and, simultaneously, provided interesting thermal properties to develop new preparation methodologies of materials with novel properties. The preparation of amides germanium(II) L'Ge(NHPh) [1, L' = {HC (CMeN-2,4,6-Me 3 C 6 H 2 ) 2 }], L'Ge(4-NHPy) [2] L'Ge(2-NHPy) [3] and LGe(2-NHPy) [4, L = {HC(CMeN-2,6- i Pr 2 C 6 H 3 ) 2 }]; the structural chemical composition were determined using techniques such as nuclear magnetic resonance ( 1 H, 13 C), other techniques are treated: elemental analysis, melting point, infrared spectroscopy, X-ray diffraction of single crystal and thermal gravimetric analysis (TGA). The TGA has showed that 4-1 have experimented a thermal decomposition; therefore, these compounds could be considered as potential starting materials for obtaining germanium nitride (GeN x ). Certainly, the availability of nitrogen coordinating atoms in the chemical composition in 2-4 have been interesting because it could act as ligands in reactions with transition metal complexes. That way, information could be obtained at the molecular level for some reactions and interactions that in surface chemistry have used similar link sites, for example, chemical functionalization of silicon and germanium substrates. The synthesis and structural characterization of germanium chloride compound(II) L''GeCl [5, L'' = HC{(CMe) (N-2,6-Me 2 C 6 H 3 )} 2 ], which could be used later for the

  11. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    Science.gov (United States)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  12. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  13. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  14. Porous germanium multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Garralaga Rojas, Enrique; Hensen, Jan; Brendel, Rolf [Institut fuer Solarenergieforschung Hameln (ISFH), Emmerthal (Germany); Carstensen, Juergen; Foell, Helmut [Chair for General Materials Science, Faculty of Engineering, Christian-Albrechts-University of Kiel (Germany)

    2011-06-15

    We present the reproducible fabrication of porous germanium (PGe) single- and multilayers. Mesoporous layers form on heavily doped 4'' p-type Ge wafers by electrochemical etching in highly concentrated HF-based electrolytes with concentrations in a range of 30-50 wt.%. Direct PGe formation is accompanied by a constant dissolution of the already-formed porous layer at the electrolyte/PGe interface, hence yielding a thinner substrate after etching. This effect inhibits multilayer formation as the starting layer is etched while forming the second layer. We avoid dissolution of the porous layer by alternating the etching bias from anodic to cathodic. PGe formation occurs during anodic etching whereas the cathodic step passivates pore walls with H-atoms and avoids electropolishing. The passivation lasts a limited time depending on the etching current density and electrolyte concentration, necessitating a repetition of the cathodic step at suitable intervals. With optimized alternating bias mesoporous multilayer production is possible. We control the porosity of each single layer by varying the etching current density and the electrolyte (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  16. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  17. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  18. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  19. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  20. Tunable conductivity in mesoporous germanium

    Science.gov (United States)

    Beattie, Meghan N.; Bioud, Youcef A.; Hobson, David G.; Boucherif, Abderraouf; Valdivia, Christopher E.; Drouin, Dominique; Arès, Richard; Hinzer, Karin

    2018-05-01

    Germanium-based nanostructures have attracted increasing attention due to favourable electrical and optical properties, which are tunable on the nanoscale. High densities of germanium nanocrystals are synthesized via electrochemical etching, making porous germanium an appealing nanostructured material for a variety of applications. In this work, we have demonstrated highly tunable electrical conductivity in mesoporous germanium layers by conducting a systematic study varying crystallite size using thermal annealing, with experimental conductivities ranging from 0.6 to 33 (×10‑3) Ω‑1 cm‑1. The conductivity of as-prepared mesoporous germanium with 70% porosity and crystallite size between 4 and 10 nm is shown to be ∼0.9 × 10‑3 Ω‑1 cm‑1, 5 orders of magnitude smaller than that of bulk p-type germanium. Thermal annealing for 10 min at 400 °C further reduced the conductivity; however, annealing at 450 °C caused a morphological transformation from columnar crystallites to interconnecting granular crystallites and an increase in conductivity by two orders of magnitude relative to as-prepared mesoporous germanium caused by reduced influence of surface states. We developed an electrostatic model relating the carrier concentration and mobility of p-type mesoporous germanium to the nanoscale morphology. Correlation within an order of magnitude was found between modelled and experimental conductivities, limited by variation in sample uniformity and uncertainty in void size and fraction after annealing. Furthermore, theoretical results suggest that mesoporous germanium conductivity could be tuned over four orders of magnitude, leading to optimized hybrid devices.

  1. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  2. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  3. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  4. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  5. Wet-etch sequence optimisation incorporating time dependent chemical maintenance

    NARCIS (Netherlands)

    Kruif, B.J. de

    2015-01-01

    Wafer fabrication is the major cost contributor in semiconductor manufacturing. One of the steps in the fabrication is the removal of exposed layers in an automatic wet-etch station with chemicals. In time, these chemicals get polluted and their effectiveness decreases. Therefore, the chemicals in

  6. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  7. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  8. Interactions of germanium atoms with silica surfaces

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Coffee, Shawn S.; Ekerdt, John G.

    2005-01-01

    GeH 4 is thermally cracked over a hot filament depositing 0.7-15 ML Ge onto 2-7 nm SiO 2 /Si(1 0 0) at substrate temperatures of 300-970 K. Ge bonding changes are analyzed during annealing with X-ray photoelectron spectroscopy. Ge, GeH x , GeO, and GeO 2 desorption is monitored through temperature programmed desorption in the temperature range 300-1000 K. Low temperature desorption features are attributed to GeO and GeH 4 . No GeO 2 desorption is observed, but GeO 2 decomposition to Ge through high temperature pathways is seen above 750 K. Germanium oxidization results from Ge etching of the oxide substrate. With these results, explanations for the failure of conventional chemical vapor deposition to produce Ge nanocrystals on SiO 2 surfaces are proposed

  9. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  10. Synthesis and evaluation of germanic organometallic compounds as precursors for chemical vapor deposition (CVD) and for obtaining nanoparticles of elemental germanium

    International Nuclear Information System (INIS)

    Ballestero Martinez, Ernesto

    2014-01-01

    The interest in the development of materials that have applications in areas such as electronics or biomarkers has affected the synthesis of new compounds based on germanium. This element has two states of common oxidation, +4 and +2, of them, the +2 oxidation state is the least studied and more reactive. Additionally, compounds of germanium (II) have similarities to carbenes in terms Lewis'acid base chemistry. The preparation of compounds of germanium (II) with ligands β-diketiminates has made possible the stabilization of new chemical functionalities and, simultaneously, it has provided interesting thermal properties to develop new methods of preparation of materials with novel properties. The preparation of amides germanium (II) L'Ge (NHPh) [1, L'= {HC (CMeN-2,4,6-Me 3 C 6 H 2 ) 2 } - ], L'Ge (4-NHPy) [2], L'Ge (2-NHPy) [3] and LGe(2-NHPy) [4, L = {HC (CMeN-2,6- i Pr 2 C 6 H 3 ) 2 ] - ] are presented, the chemical and structural composition was determined by using techniques such as nuclear magnetic resonance ( 1 H, 13 C), elemental analysis, melting point, infrared spectroscopy, X-ray diffraction of single crystal and thermogravimetric analysis (TGA). The TGA has demonstrated that 1-4 experience a thermal decomposition, therefore, these compounds could be considered as potential starting materials for the obtaining of germanium nitride (GeN x ). Certainly, the availability of coordinating nitrogen atoms in the chemical composition in 2-4 have been interesting given that it could act as ligands in reactions with transition metal complexes. Thus, relevant information to molecular level could be obtained for some reactions and interactions that have used similar link sites in surface chemistry, for example, the chemical functionalization of silicon and germanium substrate. Additionally, the synthesis and structural characterization of germanium chloride compound (II) L G eCl [5, L' = HC{(CMe) (N-2,6-Me 2 C 6 H 3 )} 2 - ] is reported

  11. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  12. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  13. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  14. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  15. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  16. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  17. Surface passivation of high-purity germanium gamma-ray detector

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Edmondson, M.; Lawson, E.M.

    1993-01-01

    The experimental work consists of two parts. The first involves fabrication of hyper-pure germanium gamma ray detectors using standard surface treatment, chemical etchings and containment in a suitable cryostat. Then, after cooling the detectors to 77 K, γ-ray emissions from radioisotopes are resolved, resolution, depletion depth, V R versus I R characteristics and /N A -N D / of the germanium are measured. The second part of the work involves investigation of surface states in an effort to achieve long-term stability of operating characteristics. Several methods are used: plasma hydrogenation, a-Si and a-Ge pinch-off effect and simple oxidation. A-Ge and a-Si thicknesses were measured using Rutherford backscattering techniques; surface states were measured with deep level transient spectroscopy and diode reverse current versus reverse voltage plots. Some scanning electron microscope measurements were used in determining major film contaminants during backscattering of a-Si and a-Ge films. Surface passivation studies revealed unexpected hole trapping defects generated when a-Ge:H film is applied. The a-Si:H films were found to be mechanically strong, no defect traps were found and preliminary results suggest that such films will be good passivants. 14 refs., 2 tabs., 7 figs., 13 ills

  18. Determination of the Wetting Angle of Germanium and Germanium-Silicon Melts on Different Substrate Materials

    Science.gov (United States)

    Kaiser, Natalie; Croell, Arne; Szofran, F. R.; Cobb. S. D.; Dold, P.; Benz, K. W.

    1999-01-01

    During Bridgman growth of semiconductors detachment of the crystal and the melt meniscus has occasionally been observed, mainly under microgravity (microg) conditions. An important factor for detached growth is the wetting angle of the melt with the crucible material. High contact angles are more likely to result in detachment of the growing crystal from the ampoule wall. In order to achieve detached growth of germanium (Ge) and germanium-silicon (GeSi) crystals under 1g and microg conditions, sessile drop measurements were performed to determine the most suitable ampoule material as well as temperature dependence of the surface tension for GeSi. Sapphire, fused quartz, glassy carbon, graphite, SiC, pyrolytic Boron Nitride (pBN), AIN, and diamond were used as substrates. Furthermore, different cleaning procedures and surface treatments (etching, sandblasting, etc.) of the same substrate material and their effect on the wetting behavior were studied during these experiments. pBN and AIN substrates exhibited the highest contact angles with values around 170 deg.

  19. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  20. Effect of chemical etching on the Cu/Ni metallization of poly (ether ether ketone)/carbon fiber composites

    International Nuclear Information System (INIS)

    Di Lizhi; Liu Bin; Song Jianjing; Shan Dan; Yang Dean

    2011-01-01

    Poly(ether ether ketone)/carbon fiber composites (PEEK/Cf) were chemical etched by Cr 2 O 3 /H 2 SO 4 solution, electroless plated with copper and then electroplated with nickel. The effects of chemical etching time and temperature on the adhesive strength between PEEK/Cf and Cu/Ni layers were studied by thermal shock method. The electrical resistance of some samples was measured. X-ray photoelectron spectroscopy (XPS) was used to analyze the surface composition and functional groups. Scanning electron microscopy (SEM) was performed to observe the surface morphology of the composite, the chemical etched sample, the plated sample and the peeled metal layer. The results indicated that C=O bond increased after chemical etching. With the increasing of etching temperature and time, more and more cracks and partially exposed carbon fibers appeared at the surface of PEEK/Cf composites, and the adhesive strength increased consequently. When the composites were etched at 60 deg. C for 25 min and at 70-80 deg. C for more than 15 min, the Cu/Ni metallization layer could withstand four thermal shock cycles without bubbling, and the electrical resistivity of the metal layer of these samples increased with the increasing of etching temperature and time.

  1. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  2. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  3. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  4. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  5. Fibre Laser Cutting and Chemical Etching of AZ31 for Manufacturing Biodegradable Stents

    Directory of Open Access Journals (Sweden)

    Ali Gökhan Demir

    2013-01-01

    Full Text Available The use of magnesium-alloy stents shows promise as a less intrusive solution for the treatment of cardiovascular pathologies as a result of the high biocompatibility of the material and its intrinsic dissolution in body fluids. However, in addition to requiring innovative solutions in material choice and design, these stents also require a greater understanding of the manufacturing process to achieve the desired quality with improved productivity. The present study demonstrates the manufacturing steps for the realisation of biodegradable stents in AZ31 magnesium alloy. These steps include laser microcutting with a Q-switched fibre laser for the generation of the stent mesh and subsequent chemical etching for the cleaning of kerf and surface finish. Specifically, for the laser microcutting step, inert and reactive gas cutting conditions were compared. The effect of chemical etching on the reduction in material thickness, as well as on spatter removal, was also evaluated. Prototype stents were produced, and the material composition and surface quality were characterised. The potentialities of combining nanosecond laser microcutting and chemical etching are shown and discussed.

  6. A Study of the arsenic profiles in NMOS by using chemical etching and simulation

    International Nuclear Information System (INIS)

    Jung, Won-Chae; Lee, Kil-Dong

    2004-01-01

    For integrated semiconductor devices, the one-, two-, and three-dimensional impurity distributions are very important for the analyzing the devices. The one-dimensional arsenic profiles were measured by using secondary ion mass spectroscopy (SIMS), and simulation data were obtained by using the TSUPREM4 and UT-Marlowe programs. The two-dimensional profiles of arsenic were directly measured by using the chemical etching-method, and the measured 2D profiles were compared with simulation data obtained from TSUPREM4. A Taurus simulation tool was used to obtain the 3D arsenic profiles. The simulated data of UT-Marlowe in 1D agreed very well with the SIMS data. The measured two-dimensional transmission electron microscope (TEM) data obtained by using the chemical etching-method matched very well with the results of the TSUPREM4 simulation. The chemical etching and the TEM measurement methods demonstrated and visualized the two-dimensional impurity distributions and structures of the devices.

  7. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  8. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  9. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  10. Roles of Ag in fabricating Si nanowires by the electroless chemical etching technique

    International Nuclear Information System (INIS)

    Wan, X.; Wang, Q. K.; Wangyang, P. H.; Tao, H.

    2012-01-01

    Silicon wafers coated with a film of Ag pattern are used for investigating roles of Ag in the fabrication of silicon nanowire arrays (SiNWs) by the electroless chemical etching technique. The diameter of SiNWs grown in the mixed AgNO 3 /HF solution ranges from 20 to 250 nm. A growth mechanism for such obtained SiNWs is proposed and further experimentally verified. As a comparison as well as to better understand this chemical process, another popular topic on growing SiNWs in the H 2 O 2 /HF solution is also studied. Originating from different chemical reaction mechanisms, Ag film could protect the underneath Si in the AgNO 3 /HF solution and it could, on the contrary, accelerate etching of the underneath Si in the H 2 O 2 /HF solution.

  11. Bilayer–metal assisted chemical etching of silicon microwire arrays for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    R. W. Wu

    2016-02-01

    Full Text Available Silicon microwires with lateral dimension from 5 μm to 20 μm and depth as long as 20 μm are prepared by bilayer metal assisted chemical etching (MaCE. A bilayer metal configuration (Metal 1 / Metal 2 was applied to assist etching of Si where metal 1 acts as direct catalyst and metal 2 provides mechanical support. Different metal types were investigated to figure out the influence of metal catalyst on morphology of etched silicon. We find that silicon microwires with vertical side wall are produced when we use Ag/Au bilayer, while cone–like and porous microwires formed when Pt/Au is applied. The different micro-/nano-structures in as-etched silicon are demonstrated to be due to the discrepancy of work function of metal catalyst relative to Si. Further, we constructed a silicon microwire arrays solar cells in a radial p–n junction configurations in a screen printed aluminum paste p–doping process.

  12. Three-Dimensional Optical Trapping for Cell Isolation Using Tapered Fiber Probe by Dynamic Chemical Etching

    International Nuclear Information System (INIS)

    Taguchi, K; Okada, J; Nomura, Y; Tamura, K

    2012-01-01

    In this paper, chemically etched fiber probe was proposed for laser trapping and manipulation of cells. We fabricated tapered fiber probe by dynamic chemical etching technique. Three-Dimensional optical trap of a yeast cell dispersed in water solution could be formed by the fiber tip with 17deg tip. Optical forces were sufficient to move the yeast cell for trapping and manipulation. From these experimental results, it was found that our proposed tapered fiber tip was a promising tool for cell isolation.

  13. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  14. Anisotropic chemical etching of semipolar {101-bar 1-bar}/{101-bar +1} ZnO crystallographic planes: polarity versus dangling bonds

    International Nuclear Information System (INIS)

    Palacios-Lidon, E; Perez-GarcIa, B; Colchero, J; Vennegues, P; Zuniga-Perez, J; Munoz-Sanjose, V

    2009-01-01

    ZnO thin films grown by metal-organic vapor phase epitaxy along the nonpolar [112-bar] direction and exhibiting semipolar {101-bar 1-bar}/{101-bar +1} facets have been chemically etched with HCl. In order to get an insight into the influence of the ZnO wurtzite structure in the chemical reactivity of the material, Kelvin probe microscopy and convergent beam electron diffraction have been employed to unambiguously determine the absolute polarity of the facets, showing that {101-bar +1} facets are unstable upon etching in an HCl solution and transform into (000+1)/{101-bar 1-bar} planes. In contrast, {101-bar 1-bar} undergo homogeneous chemical etching perpendicular to the initial crystallographic plane. The observed etching behavior has been explained in terms of surface oxygen dangling bond density, suggesting that the macroscopic polarity plays a secondary role in the etching process.

  15. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  16. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  18. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  19. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    Science.gov (United States)

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  20. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  1. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  2. Synthesis and Characterization of Chemically Etched Nanostructured Silicon

    KAUST Repository

    Mughal, Asad Jahangir

    2012-05-01

    Silicon is an essential element in today’s modern world. Nanostructured Si is a more recently studied variant, which has currently garnered much attention. When its spatial dimensions are confined below a certain limit, its optical properties change dramatically. It transforms from an indirect bandgap material that does not absorb or emit light efficiently into one which can emit visible light at room temperatures. Although much work has been conducted in understanding the properties of nanostructured Si, in particular porous Si surfaces, a clear understanding of the origin of photoluminescence has not yet been produced. Typical synthesis approaches used to produce nanostructured Si, in particular porous Si and nanocrystalline Si have involved complex preparations used at high temperatures, pressures, or currents. The purpose of this thesis is to develop an easier synthesis approach to produce nanostructured Si as well as arrive at a clearer understanding of the origin of photoluminescence in these systems. We used a simple chemical etching technique followed by sonication to produce nanostructured Si suspensions. The etching process involved producing pores on the surface of a Si substrate in a solution containing hydrofluoric acid and an oxidant. Nanocrystalline Si as well as nanoscale amorphous porous Si suspensions were successfully synthesized using this process. We probed into the phase, composition, and origin of photoluminescence in these materials, through the use of several characterization techniques. TEM and SEM were used to determine morphology and phase. FT-IR and XPS were employed to study chemical compositions, and steady state and time resolved optical spectroscopy techniques were applied to resolve their photoluminescent properties. Our work has revealed that the type of oxidant utilized during etching had a significant impact on the final product. When using nitric acid as the oxidant, we formed nanocrystalline Si suspensions composed of

  3. Sensitivity of chemically and electrochemically etched CR 39 polymers to the neutrons of AmBe source

    International Nuclear Information System (INIS)

    Turek, K.; Spurny, F.; Dajko, G.; Somogyi, G.

    1981-01-01

    Seven samples of polymers by different manufacturers were used in a study of the sensitivity of CR 39 polymers to Am-Be neutrons. In the polymer, proton tracks for a relatively broad energy range can also be recorded. The following characteristics were studied: the sample background for different etching methods, the dependence of sensitivity on the etched thickness and on neutron fluence, the effect of type and thickness of external proton emitters, and the effect of the choice of electric parameters on the resulting sensitivity in electrochemical etching. Good results were obtained when chemical and electrochemical etching was used in combination. It was found that with electrochemical etching, sensitivity decreases for neutron fluence exceeding 10 8 cm -2 . The sensitivity of the studied CR 39 polymer samples only little differed. When the most sensitive polymer was used, the minimum dose equivalent in the human body for Am-Be neutrons which could be determined using combination etching was 0.4 mSv (ie., 40 mrems). (B.S.)

  4. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  5. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  6. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  7. Hydrogen concentration and distribution in high-purity germanium crystals

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.; Luke, P.N.

    1981-10-01

    High-purity germanium crystals used for making nuclear radiation detectors are usually grown in a hydrogen ambient from a melt contained in a high-purity silica crucible. The benefits and problems encountered in using a hydrogen ambient are reviewed. A hydrogen concentration of about 2 x 10 15 cm -3 has been determined by growing crystals in hydrogen spiked with tritium and counting the tritium β-decays in detectors made from these crystals. Annealing studies show that the hydrogen is strongly bound, either to defects or as H 2 with a dissociation energy > 3 eV. This is lowered to 1.8 eV when copper is present. Etching defects in dislocation-free crystals grown in hydrogen have been found by etch stripping to have a density of about 1 x 10 7 cm -3 and are estimated to contain 10 8 H atoms each

  8. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  9. Optically transparent glass micro-actuator fabricated by femtosecond laser exposure and chemical etching

    NARCIS (Netherlands)

    Lenssen, B.L.K.; Bellouard, Y.

    2012-01-01

    Femtosecond laser manufacturing combined with chemical etching has recently emerged as a flexible platform for fabricating three-dimensional devices and integrated optical elements in glass substrates. Here, we demonstrate an optically transparent micro-actuator fabricated out of a single piece of

  10. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  11. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  12. Atomic force microscopy of histological sections using a chemical etching method

    International Nuclear Information System (INIS)

    Tiribilli, B.; Bani, D.; Quercioli, F.; Ghirelli, A.; Vassalli, M.

    2005-01-01

    Physiology and pathology have a big deal on tissue morphology, and the intrinsic spatial resolution of an atomic force microscope (AFM) is able to observe ultrastructural details. In order to investigate cellular and subcellular structures in histological sections with the AFM, we used a new simple method for sample preparation, i.e. chemical etching of semithin sections from epoxy resin-embedded specimens: such treatment appears to melt the upper layers of the embedding resin; thus, removing the superficial roughness caused by the edge of the microtome knife and bringing into high relief the biological structures hidden in the bulk. Consecutive ultrathin sections embedded in epoxy resin were observed with a transmission electron microscope (TEM) to compare the different imaging properties on the same specimen sample. In this paper we report, as an example, our AFM and TEM images of two different tissue specimens, rat pancreas and skeletal muscle fibres, showing that most of the inner details are visible with the AFM. These results suggest that chemical etching of histological sections may be a simple, fast and cost-effective method for AFM imaging with ultrastructural resolution

  13. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  14. Effects of high neutron doses and duration of the chemical etching on the optical properties of CR-39

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Paul, S.; Sharma, S.C.; Joshi, D.S.; Gupta, A.K.; Bandyopadhyay, T.

    2015-01-01

    Effects of the duration of chemical etching on the transmittance, absorbance and optical band gap width of the CR-39 (Polyallyl diglycol carbonate) detectors irradiated to high neutron doses (12.7, 22.1, 36.0 and 43.5 Sv) were studied. The neutrons were produced by bombardment of a thick Be target with 12 MeV protons of different fluences. The unirradiated and neutron-irradiated CR-39 detectors were subjected to a stepwise chemical etching at 1 h intervals. After each step, the transmission spectra of the detectors were recorded in the range from 200 to 900 nm, and the absorbances and optical band gap widths were determined. The effect of the etching on the light transmittance of unirradiated detectors was insignificant, whereas it was very significant in the case of the irradiated detectors. The dependence of the optical absorbance on the neutron dose is linear at short etching periods, but exponential at longer ones. The optical band gap narrows with increasing etching time. It is more significant for the irradiated dosimeters than for the unirradiated ones. The rate of the narrowing of the optical band gap with increasing neutron dose increases with increasing duration of the etching. - Highlights: • The variation of optical properties of CR-39 at very high neutron dose is analyzed. Etching process is found to play a crucial role for change in optical properties of neutron-irradiated CR-39. • The optical absorbance varies linearly at lower dose, at very high dose absorbance saturation occurs. The dose at which saturation absorbance is observed shifts towards lower neutron dose with increase in etching time. • The rate of decrease in optical band gap with respect to neutron dose is found to be more at higher etching durations

  15. Metal induced crystallization of silicon germanium alloys

    Energy Technology Data Exchange (ETDEWEB)

    Gjukic, M.

    2007-05-15

    In the framework of this thesis the applicability of the aluminium-induced layer exchange on binary silicon germanium alloys was studied. It is here for the first time shown that polycrstalline silicon-germanium layers can be fabricated over the whole composition range by the aluminium-induced layer exchange. The experimental results prove thet the resulting material exhibits a polycrystalline character with typocal grain sizes of 10-100 {mu}m. Raman measurements confirm that the structural properties of the resulting layers are because of the large crystallites more comparable with monocrystalline than with nano- or microcrystalline silicon-germanium. The alloy ratio of the polycrystalline layer correspondes to the chemical composition of the amorphous starting layer. The polycrystalline silicon-germanium layers possess in the range of the interband transitions a reflection spectrum, as it is otherwise only known from monocrystalline reference layers. The improvement of the absorption in the photovoltaically relevant spectral range aimed by the application of silicon-germanium could be also proved by absorption measurments. Strongly correlated with the structural properties of the polycrystalline layers and the electronic band structure resulting from this are beside the optical properties also the electrical properties of the material, especially the charge-carrier mobility and the doping concentration. For binary silicon-germanium layers the hole concentration of about 2 x 10{sup 18} cm{sup -3} for pure silicon increrases to about 5 x 10{sup 20} cm{sub -3} for pure germanium. Temperature-resolved measurements were applied in order to detect doping levels respectively semiconductor-metal transitions. In the last part of the thesis the hydrogen passivation of polycrystalline thin silicon-germanium layers, which were fabricated by means of aluminium-induced layer exchange, is treated.

  16. Facile fabrication of superhydrophobic surfaces from austenitic stainless steel (AISI 304) by chemical etching

    Science.gov (United States)

    Kim, Jae-Hun; Mirzaei, Ali; Kim, Hyoun Woo; Kim, Sang Sub

    2018-05-01

    Stainless steels are among the most common engineering materials and are used extensively in humid areas. Therefore, it is important that these materials must be robust to humidity and corrosion. This paper reports the fabrication of superhydrophobic surfaces from austenitic stainless steel (type AISI 304) using a facile two-step chemical etching method. In the first step, the stainless steel plates were etched in a HF solution, followed by a fluorination process, where they showed a water contact angle (WCA) of 166° and a sliding angle of 5° under the optimal conditions. To further enhance the superhydrophobicity, in the second step, they were dipped in a 0.1 wt.% NaCl solution at 100 °C, where the WCA was increased to 168° and the sliding angle was decreased to ∼2°. The long-term durability of the fabricated superhydrophobic samples for 1 month storage in air and water was investigated. The potential applicability of the fabricated samples was demonstrated by the excellent superhydrophobicity after 1 month. In addition, the self-cleaning properties of the fabricated superhydrophobic surface were also demonstrated. This paper outlines a facile, low-cost and scalable chemical etching method that can be adopted easily for large-scale purposes.

  17. Characteristics of neutron-irradiated CR-39 foils treated by sequential chemical and electrochemical etching

    International Nuclear Information System (INIS)

    Somogyi, G.; Dajko, G.; Turek, K.; Spurny, F.

    1982-01-01

    The density of background spots revealed by chemical (CE) and electrochemical (ECE) etching and by their sequential application (CE + ECE) has been measured in several sorts of CR-39 material. The trends in the variation of sensitivity to Am-Be neutrons have been determined in CR-39 sheets covered by thick proton-radiator, when changing the field strength, frequency, etchant concentration, pre-etch duration and the fluence of neutrons. The results are analyzed in order to find out an optimum set of experimental parameters which may be proposed to attain high registration sensitivity to neutrons. (author)

  18. Oriented bottom-up growth of armchair graphene nanoribbons on germanium

    Science.gov (United States)

    Arnold, Michael Scott; Jacobberger, Robert Michael

    2016-03-15

    Graphene nanoribbon arrays, methods of growing graphene nanoribbon arrays and electronic and photonic devices incorporating the graphene nanoribbon arrays are provided. The graphene nanoribbons in the arrays are formed using a scalable, bottom-up, chemical vapor deposition (CVD) technique in which the (001) facet of the germanium is used to orient the graphene nanoribbon crystals along the [110] directions of the germanium.

  19. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  20. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  1. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  2. High-performance macroporous bulk silicon anodes synthesized by template-free chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Bang, Byoung Man; Lee, Jung-In; Kim, Hyunjung; Cho, Jaephil; Park, Soojin [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology (UNIST), Ulsan (Korea, Republic of)

    2012-07-15

    Three-dimensional porous silicon particles can be produced via the combination of a galvanic displacement reaction and a metal-assisted chemical etching process. This simple synthetic route can be applied to make high-performance anode materials, including high specific capacity, stable cycling retention, and high rate capability, in lithium-ion batteries. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  3. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  4. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  5. Optical spectroscopic characterization of amorphous germanium carbide materials obtained by X-Ray Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Paola Antoniotti

    2015-05-01

    Full Text Available Amorphous germanium carbides have been prepared by X-ray activated Chemical Vapor Deposition from germane/allene systems. The allene percentage and irradiation time (total dose were correlated to the composition, the structural features, and the optical coefficients of the films, as studied by IR and UV-VIS spectroscopic techniques. The materials composition is found to change depending on both the allene percentage in the mixture and the irradiation time. IR spectroscopy results indicate that the solids consist of randomly bound networks of carbon and germanium atoms with hydrogen atoms terminating all the dangling bonds. Moreover, the elemental analysis results, the absence of both unsaturated bonds and CH3 groups into the solids and the absence of allene autocondensation reactions products, indicate that polymerization reactions leading to mixed species, containing Ge-C bonds, are favored. Eopt values around 3.5 eV have been found in most of the cases, and are correlated with C sp3-bonding configuration. The B1/2 value, related to the order degree, has been found to be dependent on solid composition, atoms distribution in the material and hydrogenation degree of carbon atoms.

  6. Laser-induced particle size tuning and structural transformations in germanium nanoparticles prepared by stain etching and colloidal synthesis route

    Energy Technology Data Exchange (ETDEWEB)

    Karatutlu, Ali, E-mail: a.karatutlu@qmul.ac.uk, E-mail: ali.karatutlu@bou.edu.tr [Centre for Condensed Matter and Materials Physics, School of Physics and Astronomy, Queen Mary, University of London, London E1 4NS (United Kingdom); Electrical and Electronics Engineering, Bursa Orhangazi University, 16310 Yıldırım/Bursa (Turkey); Little, William; Ersoy, Osman; Zhang, Yuanpeng; Sapelkin, Andrei [Centre for Condensed Matter and Materials Physics, School of Physics and Astronomy, Queen Mary, University of London, London E1 4NS (United Kingdom); Seker, Isa [Bio-Nanotechnology Research and Development Centre, Fatih University, 34500 Buyukcekmece, Istanbul (Turkey)

    2015-12-28

    In this study, with the aid of Raman measurements, we have observed transformations in small (∼3 nm and ∼10 nm) free-standing Ge nanoparticles under laser light exposure. The nanoparticles were obtained by the chemical stain etching of a monocrystalline Ge wafer and of Ge powder and by colloidal synthesis route. We found that the transformation path depends on laser power and exposure time. At relatively low values of the laser power (2 mW) over a period of 100 min, the Raman signal indicates transformation of the sample from a nanocrystaline to bulk-like state, followed by partial oxidation and finally a conversion of the entire sample into alpha-quartz type GeO{sub 2}. However, when the laser power is set at 60 mW, we observed a heat release during an explosive crystallization of the nanocrystalline material into bulk Ge without noticeable signs of oxidation. Together with the transmission electron microscopy measurements, these results suggest that the chemical stain etching method for the preparation of porous Ge may not be a top-down process as has been widely considered, but a bottom up one. Systematic studies of the laser exposure on Ge nanoparticles prepared by colloidal synthesis results in the fact that the explosive crystallisation is common for H-terminated and partially disordered Ge nanoparticles regardless of its particle size. We suggest possible bio-medical applications for the observed phenomena.

  7. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.; Rubin, Andrew; Ibrahem, Mohammed Aziz; Sedky, Sherif M.

    2013-01-01

    -removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6

  8. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  9. Effect of chemical etching on the surface roughness of CdZnTe and CdMnTe gamma radiation detectors

    International Nuclear Information System (INIS)

    Hossain, A.; Babalola, S.; Bolotnikov, A.E.; Camarda, G.S.; Cui, Y.; Yang, G.; Guo, M.; Kochanowska, D.; Mycielski, A.; Burger, A.; James, R.B.

    2008-01-01

    Generally, mechanical polishing is performed to diminish the cutting damage followed by chemical etching to remove the remaining damage on crystal surfaces. In this paper, we detail the findings from our study of the effects of various chemical treatments on the roughness of crystal surfaces. We prepared several CdZnTe (CZT) and CdMnTe (CMT) crystals by mechanical polishing with 5 (micro)m and/or lower grits of Al 2 O 3 abrasive papers including final polishing with 0.05-(micro)m particle size alumina powder and then etched them for different periods with a 2%, 5% Bromine-Methanol (B-M) solution, and also with an E-solution (HNO 3 :H 2 O:Cr 2 O 7 ). The material removal rate (etching rate) from the crystals was found to be 10 (micro)m, 30 (micro)m, and 15 (micro)m per minute, respectively. The roughness of the resulting surfaces was determined by the Atomic Force Microscopy (AFM) to identify the most efficient surface processing method by combining mechanical and chemical polishing

  10. A reconsideration for forming mechanism of optic fiber probe fabricated by static chemical etching

    Science.gov (United States)

    Chen, Yiru; Shen, Ruiqi

    2016-07-01

    The studies on the mechanism of static chemical etching are supplemented in this paper. Surface tension and diffusion effect are both taken into account. Theoretical analysis and data fitting show that the slant angle of the liquid-liquid interface leads to the maximum liquid rising, when diffusion effect is negligible.

  11. 3D electrostatic actuator fabricated by non-ablative femtosecond laser exposure and chemical etching

    Directory of Open Access Journals (Sweden)

    Yang Tao

    2015-01-01

    Full Text Available We demonstrate the novel design of an electrostatic micro-actuator based on monolithic three-dimensional (3D shapes fabricated by non-ablative femtosecond laser exposure combined with chemical etching. Further, we present a single-scan stacking approach exploited in the fabrication of the 3D actuator to create crack-free, highcontrast, high fidelity and integrated micro-structures. Influential parameters: energy per pulse, polarization, scanning spacing and stacking directionwere systematically studied to predict and control the etching rate of 3D planes.Finally, we report the characterization of the actuator and its potential application in optomechanics to show a complete scenario of femtosecond laser machined integrated 3D micro-systems incorporating multiple functionalities.

  12. Influence of reductant and germanium concentration on the growth and stress development of germanium nanocrystals in silicon oxide matrix

    International Nuclear Information System (INIS)

    Chew, H G; Zheng, F; Choi, W K; Chim, W K; Foo, Y L; Fitzgerald, E A

    2007-01-01

    Germanium (Ge) nanocrystals have been synthesized by annealing co-sputtered SiO 2 -Ge samples in N 2 or forming gas (90% N 2 +10% H 2 ) at temperatures ranging from 700 to 1000 deg. C. We concluded that the annealing ambient, temperature and Ge concentration have a significant influence on the formation and evolution of the nanocrystals. We showed that a careful selective etching of the annealed samples in hydrofluoric acid solution enabled the embedded Ge nanocrystals to be liberated from the SiO 2 matrix. From the Raman results of the as-grown and the liberated nanocrystals, we established that the nanocrystals generally experienced compressive stress in the oxide matrix and the evolution of these stress states was intimately linked to the distribution, density, size and quality of the Ge nanocrystals

  13. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  14. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  15. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  16. Simple method to transfer graphene from metallic catalytic substrates to flexible surfaces without chemical etching

    International Nuclear Information System (INIS)

    Ko, P J; Takahashi, H; Sakai, H; Thu, T V; Okada, H; Sandhu, A; Koide, S

    2013-01-01

    Graphene shows promise for applications in flexible electronics. Here, we describe our procedure to transfer graphene grown on copper substrates by chemical vapor deposition to polydimethylsiloxane (PDMS) and SiO 2 /Si surfaces. The transfer of graphene was achieved by a simple, etching-free method onto flexible PDMS substrates.

  17. Superconductivity of tribolayers formed on germanium by friction between germanium and lead

    Energy Technology Data Exchange (ETDEWEB)

    Dukhovskoi, A.; Karapetyan, S.S.; Morozov, Y.G.; Onishchenko, A.S.; Petinov, V.I.; Ponomarev, A.N.; Silin, A.A.; Stepanov, B.M.; Tal' roze, V.L.

    1978-04-05

    A superconducting state was observed for the first time in tribolayers of germanium produced by friction of germanium with lead at 42 K. The maximum value of T/sub c/ obtained in the experiment was 19 K, which is much higher than T/sub c/ of bulk lead itself or of lead films sputtered on germanium.

  18. UV-assisted selective chemical etching of relief gratings in Er/Yb-codoped IOG1 phosphate glass

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, C; Pissadakis, S [Foundation for Research and Technology-Hellas, Institute of Electronic Structure and Laser, Vasilika Vouton, PO Box 1527, Heraklion 71 110, GREECE (Greece)

    2007-04-15

    The patterning of sub-micron periodicity Bragg reflectors in Er/Yb-codoped IOG1, phosphate glass is demonstrated. A high yield patterning technique is presented, wherein high volume damage is induced into the glass matrix by exposure to intense UV radiation, and subsequently a chemical development in a strong acid selectively etches the exposed areas. The grating reflectors were fabricated by employing an elliptical Talbot interferometer and the output of a 213nm, 150ps frequency quintupled Nd:YAG laser. The grating depth of the etched relief pattern in time was measured at fixed time intervals and the dependence is presented in upon the etching time and exposure conditions. The gratings fabricated are examined by atomic and scanning electron microscopy for revealing the topology of the relief structure. Gratings with period of the order of 500nm were fabricated, having a maximum depth of 60nm.

  19. Chemically etched sharpened tip of transparent crystallized glass fibers with nonlinear optical Ba2TiSi2O8 nanocrystals

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Komatsu, Takayuki; Fujiwara, Takumi

    2007-01-01

    Glass fibers with a diameter of ∼100 μm are drawn by just pulling up melts of 40BaO·20TiO 2 ·40SiO 2 glass, and transparent crystallized glass fibers consisting of nonlinear optical fresnoite Ba 2 TiSi 2 O 8 nanocrystals (particle size: ∼100-200 nm) are fabricated by crystallization of glass fibers. Precursor glass fibers and nanocrystallized glass fibers are etched chemically using a meniscus method, in which an etching solution of 0.1wt%-HF/hexane is used. Glass fibers with sharpened tips (e.g., the taper length is ∼L=200 μm and the tip angle is ∼θ=23deg) are obtained. It is found that etched nanocrystallized glass fibers also have sharpened tips (L=50 μm, θ=80deg). Compared with precursor glass fibers, nanocrystallized glass fibers show a high resistance against chemical etching in a 0.1 wt%HF solution. Although sharpened tips in nanocrystallized glass fibers do not have nanoscaled apertures, the present study suggests that nanocrystallized glass fibers showing second harmonic generations would have a potential for fiber-type light control optical devices. (author)

  20. The processing of enriched germanium for the MAJORANA DEMONSTRATOR and R&D for a next generation double-beta decay experiment

    Science.gov (United States)

    Abgrall, N.; Arnquist, I. J.; Avignone, F. T., III; Barabash, A. S.; Bertrand, F. E.; Bradley, A. W.; Brudanin, V.; Busch, M.; Buuck, M.; Caja, J.; Caja, M.; Caldwell, T. S.; Christofferson, C. D.; Chu, P.-H.; Cuesta, C.; Detwiler, J. A.; Dunagan, C.; Dunstan, D. T.; Efremenko, Yu.; Ejiri, H.; Elliott, S. R.; Gilliss, T.; Giovanetti, G. K.; Goett, J.; Green, M. P.; Gruszko, J.; Guinn, I. S.; Guiseppe, V. E.; Haufe, C. R. S.; Henning, R.; Hoppe, E. W.; Jasinski, B. R.; Kidd, M. F.; Konovalov, S. I.; Kouzes, R. T.; Lopez, A. M.; MacMullin, J.; Martin, R. D.; Massarczyk, R.; Meijer, S. J.; Mertens, S.; Meyer, J. H.; Myslik, J.; O'Shaughnessy, C.; Poon, A. W. P.; Radford, D. C.; Rager, J.; Reine, A. L.; Reising, J. A.; Rielage, K.; Robertson, R. G. H.; Shanks, B.; Shirchenko, M.; Suriano, A. M.; Tedeschi, D.; Toth, L. M.; Trimble, J. E.; Varner, R. L.; Vasilyev, S.; Vetter, K.; Vorren, K.; White, B. R.; Wilkerson, J. F.; Wiseman, C.; Xu, W.; Yakushev, E.; Yu, C.-H.; Yumatov, V.; Zhitnikov, I.; Zhu, B. X.

    2018-01-01

    The MAJORANA DEMONSTRATOR is an array of point-contact Ge detectors fabricated from Ge isotopically enriched to 88% in 76 Ge to search for neutrinoless double beta decay. The processing of Ge for germanium detectors is a well-known technology. However, because of the high cost of Ge enriched in 76 Ge special procedures were required to maximize the yield of detector mass and to minimize exposure to cosmic rays. These procedures include careful accounting for the material; shielding it to reduce cosmogenic generation of radioactive isotopes; and development of special reprocessing techniques for contaminated solid germanium, shavings, grindings, acid etchant and cutting fluids from detector fabrication. Processing procedures were developed that resulted in a total yield in detector mass of 70%. However, none of the acid-etch solution and only 50% of the cutting fluids from detector fabrication were reprocessed. Had they been processed, the projections for the recovery yield would be between 80% and 85%. Maximizing yield is critical to justify a possible future ton-scale experiment. A process for recovery of germanium from the acid-etch solution was developed with yield of about 90%. All material was shielded or stored underground whenever possible to minimize the formation of 68Ge by cosmic rays, which contributes background in the double-beta decay region of interest and cannot be removed by zone refinement and crystal growth. Formation of 68Ge was reduced by a significant factor over that in natural abundance detectors not protected from cosmic rays.

  1. A study on the forms of existence of germanium in uranium-bearing coals of Bangmai basin of Yunnan

    International Nuclear Information System (INIS)

    Zhang Shuling; Wang Shuying; Yin Jinshuang

    1988-07-01

    The Bangmai basin is an asymmetrical intermontane synclinal basin with a Hercynian-Yenshan granitic body (γ 3 3 -γ 5 2 ) as its basement. Its overlying strata are made up of the N 1 of coal-bearing clastic rocks of Neogene period. Germanium ore mostly occur within the N 1 2 coal-seam. Uranium, germanium-bearing coals are mainly lignites of low grade in coalation and belong to semidurain, semiclarain, duroclarain and clarodurain. In order to probe into the forms of existence of germanium in coal, six kinds of analytical methods (electronic probe analysis, separation of heavy liquid, grain-size analysis, electric osmosis, chemical extraction and grade-extraction) have been adopted. A simulated test of humic complex germanium in the laboratory was carried out. According to infrared spectral analysis, it is found that 1700 cm -1 wavecrest almost disappears, 1250 cm -1 peak weakens and 1600 cm -1 peak strengthens, 1400 cm -1 peak slightly strengthens. No doubt, these illustrate the formatiion of humic germanium complex. Afterward, through differential thermal analysis and measurement of pH variation of media, it futher proves the presence of humic germanium complex. It is considered that the forms of existence of germanium in uranium-bearing coals mainly are: (1) In close chemical combination with organic matter, usually in the form of humic germanium complex and germanium organic compound; (2) In the state of adsorption, germanium is adsorbed by some organic matter, clay minerals and limonite etc.; (3) A very rare part occurring as isomorphous form

  2. Current problems in chemical track etching

    International Nuclear Information System (INIS)

    Somogyi, G.

    1984-01-01

    A schematic survey is given on the current relevant problems of the etching (or revelation) of multi-track and single-track events in dielectric solids. Some aspects of the research trends and possible new applications of the effects observable here, are also considered. (author)

  3. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  4. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Chong, E.Y.W.; Roy, V.A.L.; Cheung, K.M.C.; Yeung, K.W.K.; Yu, K.N.

    2012-01-01

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: ► We proposed a simple method to fabricate micropillar substrates. ► Polyallyldiglycol carbonate films were irradiated and etched to form casts. ► Polydimethylsiloxane replica then formed the micropillar substrates. ► Attachment and proliferation of HeLa cells were enhanced on these substrates.

  5. Silicon-germanium (Sige) nanostructures production, properties and applications in electronics

    CERN Document Server

    Usami, N

    2011-01-01

    Nanostructured silicon-germanium (SiGe) provides the prospect of novel and enhanced electronic device performance. This book reviews the materials science and technology of SiGe nanostructures, including crystal growth, fabrication of nanostructures, material properties and applications in electronics.$bNanostructured silicon-germanium (SiGe) opens up the prospects of novel and enhanced electronic device performance, especially for semiconductor devices. Silicon-germanium (SiGe) nanostructures reviews the materials science of nanostructures and their properties and applications in different electronic devices. The introductory part one covers the structural properties of SiGe nanostructures, with a further chapter discussing electronic band structures of SiGe alloys. Part two concentrates on the formation of SiGe nanostructures, with chapters on different methods of crystal growth such as molecular beam epitaxy and chemical vapour deposition. This part also includes chapters covering strain engineering and mo...

  6. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  7. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  8. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    Energy Technology Data Exchange (ETDEWEB)

    Ng, C.K.M. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Chong, E.Y.W. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Roy, V.A.L. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Cheung, K.M.C.; Yeung, K.W.K. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Yu, K.N., E-mail: appetery@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong)

    2012-07-15

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: Black-Right-Pointing-Pointer We proposed a simple method to fabricate micropillar substrates. Black-Right-Pointing-Pointer Polyallyldiglycol carbonate films were irradiated and etched to form casts. Black-Right-Pointing-Pointer Polydimethylsiloxane replica then formed the micropillar substrates. Black-Right-Pointing-Pointer Attachment and proliferation of HeLa cells were enhanced on these substrates.

  9. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  10. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  11. Synthesis of nanocrystals in KNb(Ge,Si)O5 glasses and chemical etching of nanocrystallized glass fibers

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Fujiwara, Takumi; Komatsu, Takayuki

    2006-01-01

    The nanocrystallization behavior of 25K 2 O-25Nb 2 O 5 -(50-x)GeO 2 -xSiO 2 glasses with x=0,25,and50 (i.e., KNb(Ge,Si)O 5 glasses) and the chemical etching behavior of transparent nanocrystallized glass fibers have been examined. All glasses show nanocrystallization, and the degree of transparency of the glasses studied depends on the heat treatment temperature. Transparent nanocrystallized glasses can be obtained if the glasses are heat treated at the first crystallization peak temperature. Transparent nanocrystallized glass fibers with a diameter of about 100μm in 25K 2 O-25Nb 2 O 5 -50GeO 2 are fabricated, and fibers with sharpened tips (e.g., the taper length is about 450μm and the tip angle is about 12 o ) are obtained using a meniscus chemical etching method, in which etching solutions of 10wt%-HF/hexane and 10M-NaOH/hexane are used. Although the tip (aperture size) has not a nanoscaled size, the present study suggests that KNb(Ge,Si)O 5 nanocrystallized glass fibers have a potential for new near-field optical fiber probes with high refractive indices of around n=1.8 and high dielectric constants of around ε=58 (1kHz, room temperature)

  12. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  13. Passivation of mechanically polished, chemically etched and anodized zirconium in various aqueous solutions: Impedance measurements

    International Nuclear Information System (INIS)

    Abo-Elenien, G.M.; Abdel-Salam, O.E.

    1987-01-01

    Zirconium and its alloys are finding increasing applications especially in water-cooled nuclear reactors. Because of the fact that zirconium is electronegative (E 0 = -1.529V) its corrosion resistance in aqueous solutions is largely determined by the existence of a thin oxide film on its surface. The structure and properties of this film depend in the first place on the method of surface pre-treatment. This paper presents an experimental study of the nature of the oxide film on mechanically polished, chemically etched and anodized zirconium. Ac impedance measurements carried out in various acidic, neutral and alkaline solutions show that the film thickness depends on the method of surface pre-treatment and the type of electrolyte solution. The variation of the potential and impedance during anodization of zirconium at low current density indicates that the initial stages of polarization consist of oxide build-up at a rate dependent on the nature of the electrode surface and the electrolyte. Oxygen evolution commences at a stage where oxide thickening starts to decline. The effect of frequency on the measured impedance indicates that the surface reactivity, and hence the corrosion rate, decreases in the following order: mechanically polished > chemically etched > anodized

  14. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  15. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  16. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  17. Reaction studies of hot silicon, germanium and carbon atoms

    International Nuclear Information System (INIS)

    Gaspar, P.P.

    1990-01-01

    The goal of this project was to increase the authors understanding of the interplay between the kinetic and electronic energy of free atoms and their chemical reactivity by answering the following questions: (1) what is the chemistry of high-energy carbon silicon and germanium atoms recoiling from nuclear transformations; (2) how do the reactions of recoiling carbon, silicon and germanium atoms take place - what are the operative reaction mechanisms; (3) how does the reactivity of free carbon, silicon and germanium atoms vary with energy and electronic state, and what are the differences in the chemistry of these three isoelectronic atoms? This research program consisted of a coordinated set of experiments capable of achieving these goals by defining the structures, the kinetic and internal energy, and the charge states of the intermediates formed in the gas-phase reactions of recoiling silicon and germanium atoms with silane, germane, and unsaturated organic molecules, and of recoiling carbon atoms with aromatic molecules. The reactions of high energy silicon, germanium, and carbon atoms created by nuclear recoil were studied with substrates chosen so that their products illuminated the mechanism of the recoil reactions. Information about the energy and electronic state of the recoiling atoms at reaction was obtained from the variation in end product yields and the extent of decomposition and rearrangement of primary products (usually reactive intermediates) as a function of total pressure and the concentration of inert moderator molecules that remove kinetic energy from the recoiling atoms and can induce transitions between electronic spin states. 29 refs

  18. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  19. Chemical Etching, AFM, Laser Damage Threshold, and Nonlinear Optical Studies of Potential Nonlinear Optical Crystal: Bis (L-Glutamine Potassium Nitrate

    Directory of Open Access Journals (Sweden)

    Redrothu Hanumantharao

    2013-01-01

    Full Text Available A novel semiorganic nonlinear optical crystal bis (L-glutamine potassium nitrate (BGPN grown by slow evaporation technique at ambient temperature. The grown crystal surface has been analyzed by chemical etching and atomic force microscopy (AFM studies. Amplitude parameters like area roughness, roughness average, valley height, valley depth, peak height, and peak valley height were measured successfully from AFM studies. Etching studies were carried out by various solvents like water, methanol and ethanol. The etching study indicates the occurrence of different types of etch pit patterns like striations and steplike pattern. The laser damage threshold energy has been measured by irradiating laser beam using a Q-switched Nd: YAG laser (1064 nm. Second harmonic generation (SHG studies have been performed by famous Kurtz powder technique with reference to standard potassium dihydrogen phosphate single crystals (KDP. It is found from this technique that SHG efficiency of BGPN is in comparison to that of standard KDP crystals.

  20. Dislocation reduction in heteroepitaxial Ge on Si using SiO{sub 2} lined etch pits and epitaxial lateral overgrowth

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, Darin; Han, Sang M. [Department of Chemical and Nuclear Engineering, University of New Mexico, Albuquerque, New Mexico 87131 (United States)

    2011-09-12

    We report a technique that significantly reduces threading dislocations in Ge on Si heteroepitaxy. Germanium is first grown on Si and etched to produce pits in the surface where threading dislocations terminate. Further processing leaves a layer of SiO{sub 2} only within etch pits. Subsequent selective epitaxial Ge growth results in coalescence above the SiO{sub 2}. The SiO{sub 2} blocks the threading dislocations from propagating into the upper Ge epilayer. With annealed Ge films grown on Si, the said method reduces the defect density from 2.6 x 10{sup 8} to 1.7 x 10{sup 6} cm{sup -2}, potentially making the layer suitable for electronic and photovoltaic devices.

  1. Germanium and indium

    Science.gov (United States)

    Shanks, W.C. Pat; Kimball, Bryn E.; Tolcin, Amy C.; Guberman, David E.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Germanium and indium are two important elements used in electronics devices, flat-panel display screens, light-emitting diodes, night vision devices, optical fiber, optical lens systems, and solar power arrays. Germanium and indium are treated together in this chapter because they have similar technological uses and because both are recovered as byproducts, mainly from copper and zinc sulfides.The world’s total production of germanium in 2011 was estimated to be 118 metric tons. This total comprised germanium recovered from zinc concentrates, from fly ash residues from coal burning, and from recycled material. Worldwide, primary germanium was recovered in Canada from zinc concentrates shipped from the United States; in China from zinc residues and coal from multiple sources in China and elsewhere; in Finland from zinc concentrates from the Democratic Republic of the Congo; and in Russia from coal.World production of indium metal was estimated to be about 723 metric tons in 2011; more than one-half of the total was produced in China. Other leading producers included Belgium, Canada, Japan, and the Republic of Korea. These five countries accounted for nearly 95 percent of primary indium production.Deposit types that contain significant amounts of germanium include volcanogenic massive sulfide (VMS) deposits, sedimentary exhalative (SEDEX) deposits, Mississippi Valley-type (MVT) lead-zinc deposits (including Irish-type zinc-lead deposits), Kipushi-type zinc-lead-copper replacement bodies in carbonate rocks, and coal deposits.More than one-half of the byproduct indium in the world is produced in southern China from VMS and SEDEX deposits, and much of the remainder is produced from zinc concentrates from MVT deposits. The Laochang deposit in Yunnan Province, China, and the VMS deposits of the Murchison greenstone belt in Limpopo Province, South Africa, provide excellent examples of indium-enriched deposits. The SEDEX deposits at Bainiuchang, China (located in

  2. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  3. Chemical etching of a GaSb crystal incorporated with Mn grown by the Bridgman method under microgravity conditions

    International Nuclear Information System (INIS)

    Chen Xiaofeng; Chen Nuofu; Wu Jinliang; Zhang Xiulan; Chai Chunlin; Yu Yude

    2009-01-01

    A GaSb crystal incorporated with Mn has been grown by the Bridgman method on the Polizon facility onboard the FOTON-M3 spacecraft. Structural defects and growth striations have been successfully revealed by the chemical etching method. By calculating various parameters of the convection, the striation patterns can be explained, and the critical value of the Taylor number, which characterizes the convective condition of the rotating magnetic field induced azimuthal flow, was shown. The stresses generated during crystal growth can be reflected by the observations of etch pit distribution and other structural defects. Suggestions for improving the space experiment to improve the quality of the crystal are given. (semiconductor materials)

  4. Chemical etching of a GaSb crystal incorporated with Mn grown by the Bridgman method under microgravity conditions

    Energy Technology Data Exchange (ETDEWEB)

    Chen Xiaofeng; Chen Nuofu; Wu Jinliang; Zhang Xiulan; Chai Chunlin; Yu Yude, E-mail: xfchen@semi.ac.c, E-mail: nfchen@semi.ac.c [Key Laboratory of Semiconductor Materials and Devices, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2009-08-15

    A GaSb crystal incorporated with Mn has been grown by the Bridgman method on the Polizon facility onboard the FOTON-M3 spacecraft. Structural defects and growth striations have been successfully revealed by the chemical etching method. By calculating various parameters of the convection, the striation patterns can be explained, and the critical value of the Taylor number, which characterizes the convective condition of the rotating magnetic field induced azimuthal flow, was shown. The stresses generated during crystal growth can be reflected by the observations of etch pit distribution and other structural defects. Suggestions for improving the space experiment to improve the quality of the crystal are given. (semiconductor materials)

  5. Composition and conductance distributions of single GeSi quantum rings studied by conductive atomic force microscopy combined with selective chemical etching.

    Science.gov (United States)

    Lv, Y; Cui, J; Jiang, Z M; Yang, X J

    2013-02-15

    Atomic force microscopy imaging combined with selective chemical etching is employed to quantitatively investigate three-dimensional (3D) composition distributions of single GeSi quantum rings (QRs). In addition, the 3D quantitative composition distributions and the corresponding conductance distributions are simultaneously obtained on the same single GeSi QRs by conductive atomic force microscopy combined with selective chemical etching, allowing us to investigate the correlations between the conductance and composition distributions of single QRs. The results show that the QRs' central holes have higher Ge content, but exhibit lower conductance, indicating that the QRs' conductance distribution is not consistent with their composition distribution. By comparing the topography, composition and conductance profiles of the same single QRs before and after different etching processes, it is found that the conductance distributions of GeSi QRs do not vary with the change of composition distribution. Instead, the QRs' conductance distributions are found to be consistent with their topographic shapes, which can be supposed to be due to the shape determined electronic structures.

  6. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  7. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  8. Precipitation of lithium in germanium

    International Nuclear Information System (INIS)

    Masaik, M.; Furgolle, B.

    1969-01-01

    The precipitation of Lithium in Germanium was studied. Taking account of the interactions Ga LI, LiO, we calculated the oxygen content in germanium samples from the resistivity measurements. (authors)

  9. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  10. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  11. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  12. Preparation of Chemically Etched Tips for Ambient Instructional Scanning Tunneling Microscopy

    Science.gov (United States)

    Zaccardi, Margot J.; Winkelmann, Kurt; Olson, Joel A.

    2010-01-01

    A first-year laboratory experiment that utilizes concepts of electrochemical tip etching for scanning tunneling microscopy (STM) is described. This experiment can be used in conjunction with any STM experiment. Students electrochemically etch gold STM tips using a time-efficient method, which can then be used in an instructional grade STM that…

  13. The etching of InP in HCl solutions : a chemical mechanism

    NARCIS (Netherlands)

    Notten, P.H.L.

    1984-01-01

    The etch rate of InP in solutions of high HCl concentration was shown to be independent of the applied potential ina wide potential range negative with respect to the flatband value. Dissolution of the solid led to the formation of PH3.The etch rate, which was not mass-transport controlled, was

  14. High temperature dielectric function of silicon, germanium and GaN

    Energy Technology Data Exchange (ETDEWEB)

    Leyer, Martin; Pristovsek, Markus; Kneissl, Michael [Technische Universitaet Berlin (Germany). Institut fuer Festkoerperphysik

    2010-07-01

    In the last few years accurate values for the optical properties of silicon, germanium and GaN at high temperatures have become important as a reference for in-situ analysis, e.g. reflectometry. Precise temperature dependent dielectric measurements are necessary for the growth of GaInP/GaInAs/Ge triple-junction solar cells and the hetero epitaxy of GaN on silicon and sapphire. We performed spectroscopic ellipsometry (SE) measurements of the dielectric function of silicon, germanium and GaN between 1.5 eV and 6.5 eV in the temperature range from 300 K to 1300 K. The Samples were deoxidized chemically or by heating. High resolution SE spectra were taken every 50 K while cooling down to room temperature. The temperature dependence of the critical energies is compared to literature. Measurements for germanium showed a shift of the E{sub 2} critical point of {proportional_to}0.1 eV toward lower energies. The reason for this behavior is a non-negligible oxide layer on the samples in the literature.

  15. Low-frequency magnetization processes in chemically etched Co-based amorphous ribbons

    International Nuclear Information System (INIS)

    Betancourt, I.; Martinez, L.A.; Valenzuela, R.

    2005-01-01

    In this report we present a study of the magnetization processes for Co-based amorphous ribbons at low frequencies (10 Hz-13 MHz) as a function of decreasing thicknesses attained by chemical etching. Reversible domain-wall bulging, characterized by initial permeability and relaxation frequency, was monitored by means of inductance measurements. The real part of inductance (proportional to initial permeability) exhibited a decreasing trend with diminishing ribbon thickness, together with an increasing tendency for the relaxation frequency. For high amplitude of the ac field (leading to domain-wall unpinning), reduced ribbon thickness showed a deleterious-enhancement effect on irreversible domain-wall displacement, which was observed for both real and imaginary inductance spectroscopic plots. Results are interpreted in terms of reduced domain-wall pinning distances resulting from thinner alloy samples

  16. Optimization of chemical and instrumental parameters in hydride generation laser-induced breakdown spectrometry for the determination of arsenic, antimony, lead and germanium in aqueous samples.

    Science.gov (United States)

    Yeşiller, Semira Unal; Yalçın, Serife

    2013-04-03

    A laser induced breakdown spectrometry hyphenated with on-line continuous flow hydride generation sample introduction system, HG-LIBS, has been used for the determination of arsenic, antimony, lead and germanium in aqueous environments. Optimum chemical and instrumental parameters governing chemical hydride generation, laser plasma formation and detection were investigated for each element under argon and nitrogen atmosphere. Arsenic, antimony and germanium have presented strong enhancement in signal strength under argon atmosphere while lead has shown no sensitivity to ambient gas type. Detection limits of 1.1 mg L(-1), 1.0 mg L(-1), 1.3 mg L(-1) and 0.2 mg L(-1) were obtained for As, Sb, Pb and Ge, respectively. Up to 77 times enhancement in detection limit of Pb were obtained, compared to the result obtained from the direct analysis of liquids by LIBS. Applicability of the technique to real water samples was tested through spiking experiments and recoveries higher than 80% were obtained. Results demonstrate that, HG-LIBS approach is suitable for quantitative analysis of toxic elements and sufficiently fast for real time continuous monitoring in aqueous environments. Copyright © 2013 Elsevier B.V. All rights reserved.

  17. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  18. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  19. New hydrogen donors in germanium

    International Nuclear Information System (INIS)

    Pokotilo, Yu.M.; Petukh, A.N.; Litvinov, V.V.

    2003-01-01

    The electrophysical properties of the n-type conductivity germanium, irradiated through protons, is studied by the volt-farad method. It is shown that the heat treatment of the implanted germanium at the temperature of 200-300 deg C leads to formation of the fast-diffusing second-rate donors. It is established that the diffusion coefficient of the identified donors coincides with the diffusion coefficient of the atomic hydrogen with an account of the capture on the traps. The conclusion is made, that the atomic hydrogen is the second-rate donor center in germanium [ru

  20. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  1. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  2. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  3. Chemical etching of Tungsten thin films for high-temperature surface acoustic wave-based sensor devices

    Energy Technology Data Exchange (ETDEWEB)

    Spindler, M., E-mail: m.spindler@ifw-dresden.de [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany); Herold, S.; Acker, J. [BTU Cottbus – Senftenberg, Faculty of Sciences, P.O. Box 101548, 01968 Senftenberg (Germany); Brachmann, E.; Oswald, S.; Menzel, S.; Rane, G. [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany)

    2016-08-01

    Surface acoustic wave devices are widely used as wireless sensors in different application fields. Recent developments aimed to utilize those devices as temperature sensors even in the high temperature range (T > 300 °C) and in harsh environmental conditions. Therefore, conventional materials, which are used for the substrate and for the interdigital transducer finger electrodes such as multilayers or alloys based on Al or Cu have to be exchanged by materials, which fulfill some important criteria regarding temperature related effects. Electron beam evaporation as a standard fabrication method is not well applicable for depositing high temperature stable electrode materials because of their very high melting points. Magnetron sputtering is an alternative deposition process but is also not applicable for lift-off structuring without any further improvement of the structuring process. Due to a relatively high Ar gas pressure of about 10{sup −1} Pa, the sidewalls of the photoresist line structures are also covered by the metallization, which subsequently prevents a successful lift-off process. In this study, we investigate the chemical etching of thin tungsten films as an intermediate step between magnetron sputtering deposition of thin tungsten finger electrodes and the lift-off process to remove sidewall covering for a successful patterning process of interdigital transducers. - Highlights: • We fabricated Tungsten SAW Electrodes by magnetron sputtering technology. • An etching process removes sidewall covering of photoresist, which allows lift-off. • Tungsten etching rates based on a hydrogen peroxide solutions were determined.

  4. Zone refining high-purity germanium

    International Nuclear Information System (INIS)

    Hubbard, G.S.; Haller, E.E.; Hansen, W.L.

    1977-10-01

    The effects of various parameters on germanium purification by zone refining have been examined. These parameters include the germanium container and container coatings, ambient gas and other operating conditions. Four methods of refining are presented which reproducibly yield 3.5 kg germanium ingots from which high purity (vertical barN/sub A/ - N/sub D/vertical bar less than or equal to2 x 10 10 cm -3 ) single crystals can be grown. A qualitative model involving binary and ternary complexes of Si, O, B, and Al is shown to account for the behavior of impurities at these low concentrations

  5. High-purity germanium crystal growing

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.

    1982-10-01

    The germanium crystals used for the fabrication of nuclear radiation detectors are required to have a purity and crystalline perfection which is unsurpassed by any other solid material. These crystals should not have a net electrically active impurity concentration greater than 10 10 cm - 3 and be essentially free of charge trapping defects. Such perfect crystals of germanium can be grown only because of the highly favorable chemical and physical properties of this element. However, ten years of laboratory scale and commercial experience has still not made the production of such crystals routine. The origin and control of many impurities and electrically active defect complexes is now fairly well understood but regular production is often interrupted for long periods due to the difficulty of achieving the required high purity or to charge trapping in detectors made from crystals seemingly grown under the required conditions. The compromises involved in the selection of zone refining and crystal grower parts and ambients is discussed and the difficulty in controlling the purity of key elements in the process is emphasized. The consequences of growing in a hydrogen ambient are discussed in detail and it is shown how complexes of neutral defects produce electrically active centers

  6. High-aspect-ratio microstructures with versatile slanting angles on silicon by uniform metal-assisted chemical etching

    Science.gov (United States)

    Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Yun; Wong, C.-P.

    2018-05-01

    High-aspect-ratio (HAR) microstructures on silicon (Si) play key roles in photonics and electromechanical devices. However, it has been challenging to fabricate HAR microstructures with slanting profiles. Here we report successful fabrication of uniform HAR microstructures with controllable slanting angles on (1 0 0)-Si by slanted uniform metal-assisted chemical etching (SUMaCE). The trenches have width of 2 µm, aspect ratio greater than 20:1 and high geometric uniformity. The slanting angles can be adjusted between 2-70° with respect to the Si surface normal. The results support a fundamental hypothesis that under the UMaCE condition, the preferred etching direction is along the normal of the thin film catalysts, regardless of the relative orientation of the catalyst to Si substrates or the crystalline orientation of the substrates. The SUMaCE method paves the way to HAR 3D microfabrication with arbitrary slanting profiles inside Si.

  7. Three-Dimensional Glass Monolithic Micro-Flexure Fabricated by Femtosecond Laser Exposure and Chemical Etching

    Directory of Open Access Journals (Sweden)

    Viktor Tielen

    2014-09-01

    Full Text Available Flexures are components of micro-mechanisms efficiently replacing classical multi-part joints found at the macroscale. So far, flexures have been limited to two-dimensional planar designs due to the lack of a suitable three-dimensional micromanufacturing process. Here we demonstrate and characterize a high-strength transparent monolithic three-dimensional flexural component fabricated out of fused silica using non-ablative femtosecond laser processing combined with chemical etching. As an illustration of the potential use of this flexure, we propose a design of a Hoecken linkage entirely made with three-dimensional cross-spring pivot hinges.

  8. Fabrication of Ge nanocrystals doped silica-on-silicon waveguides and observation of their strong quantum confinement effect

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rottwitt, Karsten

    2009-01-01

    Germanium (Ge) nanocrystals embedded in silica matrix is an interesting material for new optoelectronic devices. In this paper, standard silica-on-silicon waveguides with a core doped by Ge nanocrystals were fabricated using plasma enhanced chemical vapour deposition and reactive ion etching...

  9. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  10. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  11. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  12. A model of chemical etching of olivine in the vicinity of the trajectory of a swift heavy ion

    Energy Technology Data Exchange (ETDEWEB)

    Gorbunov, S.A., E-mail: s.a.gorbunov@mail.ru [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Rymzhanov, R.A. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); Starkov, N.I. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Volkov, A.E. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); National Research Centre ‘Kurchatov Institute’, Kurchatov Sq. 1, 123182 Moscow (Russian Federation); Malakhov, A.I. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation)

    2015-12-15

    Searching of superheavy elements, the charge spectra of heavy nuclei in Galactic Cosmic Rays was investigated within the OLYMPIA experiment using the database of etched ion tracks in meteorite olivine. Etching results in the formation of hollow syringe-like channels with diameters of 1–10 μm along the trajectories of these swift heavy ions (SHI). According to the activated complex theory, the local chemical activity is determined by an increase of the specific Gibbs energy of the lattice stimulated by structure transformations, long-range elastic fields, and interatomic bonds breaking generated in the vicinity of the ion trajectory. To determine the dependencies of the Gibbs free energy increase in SHI tracks in olivine on the mass, energy and charge of a projectile, we apply a multiscale model of excitation and relaxation of materials in the vicinity of the SHI trajectory (SHI tracks). Effect of spreading of fast electrons from the ion trajectory causing neutralization of metallic atoms resulting in an increase of the chemical activity of olivine at long distances from the ion trajectory (up to 5 μm) is estimated and discussed.

  13. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  14. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    Science.gov (United States)

    Li, Hong-Fang; Han, Huan-Mei; Wu, Ya-Guang; Xiao, Shou-Jun

    2010-04-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiH x ( x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  15. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    International Nuclear Information System (INIS)

    Li Hongfang; Han Huanmei; Wu Yaguang; Xiao Shoujun

    2010-01-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2 O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiHx (x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  16. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  17. Reduction of Defects in Germanium-Silicon

    Science.gov (United States)

    2003-01-01

    Crystals grown without contact with a container have far superior quality to otherwise similar crystals grown in direct contact with a container. In addition to float-zone processing, detached- Bridgman growth is a promising tool to improve crystal quality, without the limitations of float zoning or the defects introduced by normal Bridgman growth. Goals of this project include the development of the detached Bridgman process to be reproducible and well understood and to quantitatively compare the defect and impurity levels in crystals grown by these three methods. Germanium (Ge) and germanium-silicon (Ge-Si) alloys are being used. At MSFC, we are responsible for the detached Bridgman experiments intended to differentiate among proposed mechanisms of detachment, and to confirm or refine our understanding of detachment. Because the contact angle is critical to determining the conditions for detachment, the sessile drop method was used to measure the contact angles as a function of temperature and composition for a large number of substrates made of potential ampoule materials. Growth experiments have used pyrolytic boron nitride (pBN) and fused silica ampoules with the majority of the detached results occurring predictably in the pBN. Etch pit density (EPD) measurements of normal and detached Bridgman-grown Ge samples show a two order of magnitude improvement in the detached-grown samples. The nature and extent of detachment is determined by using profilometry in conjunction with optical and electron microscopy. The stability of detachment has been analyzed, and an empirical model for the conditions necessary to achieve sufficient stability to maintain detached growth for extended periods has been developed. We have investigated the effects on detachment of ampoule material, pressure difference above and below the melt, and Si concentration; samples that are nearly completely detached can be grown repeatedly in pBN. Current work is concentrated on developing a

  18. Mesostructured metal germanium sulfides

    Energy Technology Data Exchange (ETDEWEB)

    MacLachlan, M.J.; Coombs, N.; Bedard, R.L.; White, S.; Thompson, L.K.; Ozin, G.A.

    1999-12-29

    A new class of mesostructured metal germanium sulfide materials has been prepared and characterized. The synthesis, via supramolecular assembly of well-defined germanium sulfide anionic cluster precursors and transition-metal cations in formamide, represents a new strategy for the formation of this class of solids. A variety of techniques were employed to examine the structure and composition of the materials. Structurally, the material is best described as a periodic mesostructured metal sulfide-based coordination framework akin to periodic hexagonal mesoporous silica, MCM-41. At the molecular scale, the materials strongly resemble microstructured metal germanium sulfides, in which the structure of the [Ge{sub 4}S{sub 10}]{sup 4{minus}} cluster building-blocks are intact and linked via {mu}-S-M-S bonds. Evidence for a metal-metal bond in mesostructured Cu/Ge{sub 4}S{sub 10} is also provided.

  19. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    Science.gov (United States)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  20. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  1. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  2. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  3. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  4. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  5. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  6. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  7. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: swain@iae.re.kr [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Mishra, Chinmayee [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Hong, Hyun Seon [Sungshin University, Dept. of Interdisciplinary ECO Science, Seoul, 142-732 (Korea, Republic of); Cho, Sung-Soo [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of)

    2016-05-15

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m{sup 3} of copper and 1.35 kg/m{sup 3} of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching

  8. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    International Nuclear Information System (INIS)

    Swain, Basudev; Mishra, Chinmayee; Hong, Hyun Seon; Cho, Sung-Soo

    2016-01-01

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m 3 of copper and 1.35 kg/m 3 of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching wastewater

  9. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  10. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  11. Growth of InAs Quantum Dots on Germanium Substrate Using Metal Organic Chemical Vapor Deposition Technique

    Directory of Open Access Journals (Sweden)

    Tyagi Renu

    2009-01-01

    Full Text Available Abstract Self-assembled InAs quantum dots (QDs were grown on germanium substrates by metal organic chemical vapor deposition technique. Effects of growth temperature and InAs coverage on the size, density, and height of quantum dots were investigated. Growth temperature was varied from 400 to 450 °C and InAs coverage was varied between 1.40 and 2.35 monolayers (MLs. The surface morphology and structural characteristics of the quantum dots analyzed by atomic force microscope revealed that the density of the InAs quantum dots first increased and then decreased with the amount of InAs coverage; whereas density decreased with increase in growth temperature. It was observed that the size and height of InAs quantum dots increased with increase in both temperature and InAs coverage. The density of QDs was effectively controlled by growth temperature and InAs coverage on GaAs buffer layer.

  12. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  13. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  14. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  15. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  16. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  17. Germanium content in Polish hard coals

    Directory of Open Access Journals (Sweden)

    Makowska Dorota

    2016-01-01

    Full Text Available Due to the policy of the European Union, it is necessary to search for new sources of scarce raw materials. One of these materials is germanium, listed as a critical element. This semi-metal is widely used in the electronics industry, for example in the production of semiconductors, fibre optics and solar cells. Coal and fly ash from its combustion and gasification for a long time have been considered as a potential source of many critical elements, particularly germanium. The paper presents the results of germanium content determination in the Polish hard coal. 23 coal samples of various coal ranks were analysed. The samples were collected from 15 mines of the Upper Silesian Coal Basin and from one mine of the Lublin Coal Basin. The determination of germanium content was performed with the use of Atomic Absorption Spectrometry with Electrothermal Atomization (GFAAS. The investigation showed that germanium content in the analysed samples was at least twice lower than the average content of this element in the hard coals analysed so far and was in the range of 0.08 ÷ 1.28 mg/kg. Moreover, the content of Ge in the ashes from the studied coals does not exceed 15 mg/kg, which is lower than the average value of Ge content in the coal ashes. The highest content of this element characterizes coals of the Lublin Coal Basin and young coals type 31 from the Vistula region. The results indicate a low utility of the analysed coal ashes as a source of the recovery of germanium. On the basis of the analyses, the lack of the relationship between the content of the element and the ash content in the tested coals was noted. For coals of the Upper Silesian Coal Basin, the relationship between the content of germanium in the ashes and the depth of the seam was observed.

  18. Evaluation Of The Impact Of The Defense Waste Processing Facility (DWPF) Laboratory Germanium Oxide Use On Recycle Transfers To The H-Tank Farm

    International Nuclear Information System (INIS)

    Jantzen, C.; Laurinat, J.

    2011-01-01

    When processing High Level Waste (HLW) glass, the Defense Waste Processing Facility (DWPF) cannot wait until the melt or waste glass has been made to assess its acceptability, since by then no further changes to the glass composition and acceptability are possible. Therefore, the acceptability decision is made on the upstream feed stream, rather than on the downstream melt or glass product. This strategy is known as 'feed forward statistical process control.' The DWPF depends on chemical analysis of the feed streams from the Sludge Receipt and Adjustment Tank (SRAT) and the Slurry Mix Evaporator (SME) where the frit plus adjusted sludge from the SRAT are mixed. The SME is the last vessel in which any chemical adjustments or frit additions can be made. Once the analyses of the SME product are deemed acceptable, the SME product is transferred to the Melter Feed Tank (MFT) and onto the melter. The SRAT and SME analyses have been analyzed by the DWPF laboratory using a 'Cold Chemical' method but this dissolution did not adequately dissolve all the elemental components. A new dissolution method which fuses the SRAT or SME product with cesium nitrate (CsNO 3 ), germanium (IV) oxide (GeO 2 ) and cesium carbonate (Cs 2 CO 3 ) into a cesium germanate glass at 1050 C in platinum crucibles has been developed. Once the germanium glass is formed in that fusion, it is readily dissolved by concentrated nitric acid (about 1M) to solubilize all the elements in the SRAT and/or SME product for elemental analysis. When the chemical analyses are completed the acidic cesium-germanate solution is transferred from the DWPF analytic laboratory to the Recycle Collection Tank (RCT) where the pH is increased to ∼12 M to be released back to the tank farm and the 2H evaporator. Therefore, about 2.5 kg/yr of GeO 2 /year will be diluted into 1.4 million gallons of recycle. This 2.5 kg/yr of GeO 2 may increase to 4 kg/yr when improvements are implemented to attain an annual canister production

  19. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  20. Status report on the International Germanium Experiment

    International Nuclear Information System (INIS)

    Brodzinski, R.L.; Avignone, F.T.; Collar, J.I.; Courant, H.; Garcia, E.; Guerard, C.K.; Hensley, W.K.; Kirpichnikov, I.V.; Miley, H.S.; Morales, A.; Morales, J.; Nunez-Lagos, R.; Osetrov, S.B.; Pogosov, V.S.; Pomansky, A.A.; Puimedon, J.; Reeves, J.H.; Ruddick, K.; Saenz, C.; Salinas, A.; Sarsa, M.L.; Smolnikov, A.A.; Starostin, A.S.; Tamanyan, A.G.; Vasiliev, S.I.; Villar, J.A.

    1993-01-01

    Phase II detector fabrication for the International Germanium Experiment is in progress. Sources of background observed during Phase I are discussed. Cosmogenic 7 Be is measured in germanium. Radium contamination, presumably in electroformed copper, is reported. (orig.)

  1. Status report on the International Germanium Experiment

    Energy Technology Data Exchange (ETDEWEB)

    Brodzinski, R L; Avignone, F.T.; Collar, J I; Courant, H; Garcia, E; Guerard, C K; Hensley, W K; Kirpichnikov, I V; Miley, H S; Morales, A; Morales, J; Nunez-Lagos, R; Osetrov, S B; Pogosov, V S; Pomansky, A A; Puimedon, J; Reeves, J H; Ruddick, K; Saenz, C; Salinas, A; Sarsa, M L; Smolnikov, A A; Starostin, A S; Tamanyan, A G; Vasiliev, S I; Villar, J A [Pacific Northwest Lab., Richland, WA (United States) Univ. of South Carolina, Columbia, SC (United States) Univ. of Minnesota, Minneapolis, MN (United States) Univ. of Zaragoza (Spain) Inst. for Theoretical and Experimental Physics, Moscow (Russian Federation) Inst. for Nuclear Research, Baksan Neutrino Observatory (Russian Federation) Yerevan Physical Inst., Yerevan (Armenia)

    1993-04-01

    Phase II detector fabrication for the International Germanium Experiment is in progress. Sources of background observed during Phase I are discussed. Cosmogenic [sup 7]Be is measured in germanium. Radium contamination, presumably in electroformed copper, is reported. (orig.)

  2. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  3. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  4. Chemical etching of stainless steel 301 for improving performance of electrochemical capacitors in aqueous electrolyte

    Science.gov (United States)

    Jeżowski, P.; Nowicki, M.; Grzeszkowiak, M.; Czajka, R.; Béguin, F.

    2015-04-01

    The main purpose of the study was to increase the surface roughness of stainless steel 301 current collectors by etching, in order to improve the electrochemical performance of electrical double-layer capacitors (EDLC) in 1 mol L-1 lithium sulphate electrolyte. Etching was realized in 1:3:30 (HNO3:HCl:H2O) solution with times varying up to 10 min. For the considered 15 μm thick foil and a mass loss around 0.4 wt.%, pitting was uniform, with diameter of pits ranging from 100 to 300 nm. Atomic force microscopy (AFM) showed an increase of average surface roughness (Ra) from 5 nm for the as-received stainless steel foil to 24 nm for the pitted material. Electrochemical impedance spectroscopy realized on EDLCs with coated electrodes either on as-received or pitted foil in 1 mol L-1 Li2SO4 gave equivalent distributed resistance (EDR) of 8 Ω and 2 Ω, respectively, demonstrating a substantial improvement of collector/electrode interface after pitting. Correlatively, the EDLCs with pitted collector displayed a better charge propagation and low ohmic losses even at relatively high current of 20 A g-1. Hence, chemical pitting of stainless steel current collectors is an appropriate method for optimising the performance of EDLCs in neutral aqueous electrolyte.

  5. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  6. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  7. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  8. Smooth germanium nanowires prepared by a hydrothermal deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Pei, L.Z., E-mail: lzpei1977@163.com [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhao, H.S. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Tan, W. [Henkel Huawei Electronics Co. Ltd., Lian' yungang, Jiangsu 222006 (China); Yu, H.Y. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Chen, Y.W. [Department of Materials Science, Fudan University, Shanghai 200433 (China); Fan, C.G. [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China); Zhang, Qian-Feng, E-mail: zhangqf@ahut.edu.cn [School of Materials Science and Engineering, Institute of Molecular Engineering and Applied Chemistry, Key Laboratory of Materials Science and Processing of Anhui Province, Anhui University of Technology, Ma' anshan, Anhui 243002 (China)

    2009-11-15

    Smooth germanium nanowires were prepared using Ge and GeO{sub 2} as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  9. Smooth germanium nanowires prepared by a hydrothermal deposition process

    International Nuclear Information System (INIS)

    Pei, L.Z.; Zhao, H.S.; Tan, W.; Yu, H.Y.; Chen, Y.W.; Fan, C.G.; Zhang, Qian-Feng

    2009-01-01

    Smooth germanium nanowires were prepared using Ge and GeO 2 as the starting materials and Cu sheet as the substrate by a simple hydrothermal deposition process. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterizations show that the germanium nanowires are smooth and straight with uniform diameter of about 150 nm in average and tens of micrometers in length. X-ray diffraction (XRD) and Raman spectrum of the germanium nanowires display that the germanium nanowires are mainly composed of cubic diamond phase. PL spectrum shows a strong blue light emission at 441 nm. The growth mechanism is also discussed.

  10. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  11. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  12. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  13. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  14. Neutron-transmutation-doped germanium bolometers

    International Nuclear Information System (INIS)

    Palaio, N.P.; Rodder, M.; Haller, E.E.; Kreysa, E.

    1983-02-01

    Six slices of ultra-pure germanium were irradiated with thermal neutron fluences between 7.5 x 10 16 and 1.88 x 10 18 cm - 2 . After thermal annealing the resistivity was measured down to low temperatures ( 0 exp(δ/T) in the hopping conduction regime. Also, several junction FETs were tested for noise performance at room temperature and in an insulating housing in a 4.2K cryostat. These FETs will be used as first stage amplifiers for neutron-transmutation-doped germanium bolometers

  15. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  16. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  17. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  18. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  19. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  20. Germanium soup

    Science.gov (United States)

    Palmer, Troy A.; Alexay, Christopher C.

    2006-05-01

    This paper addresses the variety and impact of dispersive model variations for infrared materials and, in particular, the level to which certain optical designs are affected by this potential variation in germanium. This work offers a method for anticipating and/or minimizing the pitfalls such potential model variations may have on a candidate optical design.

  1. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  2. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  3. Method of beryllium implantation in germanium substrate

    International Nuclear Information System (INIS)

    Kagawa, S.; Baba, Y.; Kaneda, T.; Shirai, T.

    1983-01-01

    A semiconductor device is disclosed, as well as a method for manufacturing it in which ions of beryllium are implanted into a germanium substrate to form a layer containing p-type impurity material. There after the substrate is heated at a temperature in the range of 400 0 C. to 700 0 C. to diffuse the beryllium ions into the substrate so that the concentration of beryllium at the surface of the impurity layer is in the order of 10 17 cm- 3 or more. In one embodiment, a p-type channel stopper is formed locally in a p-type germanium substrate and an n-type active layer is formed in a region surrounded by, and isolated from, the channel stopper region. In another embodiment, a relatively shallow p-type active layer is formed at one part of an n-type germanium substrate and p-type guard ring regions are formed surrounding, and partly overlapping said p-type active layer. In a further embodiment, a p-type island region is formed at one part of an n-type germanium substrate, and an n-type region is formed within said p-type region. In these embodiments, the p-type channel stopper region, p-type guard ring regions and the p-type island region are all formed by implanting ions of beryllium into the germanium substrate

  4. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  5. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  6. Amorphous Silicon-Germanium Films with Embedded Nanocrystals for Thermal Detectors with Very High Sensitivity

    Directory of Open Access Journals (Sweden)

    Cesar Calleja

    2016-01-01

    Full Text Available We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nanocrystals in a plasma enhanced chemical vapor deposition (PECVD reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR, which is a signature of the sensitivity in thermal detectors (microbolometers. Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9% K−1. Our results show that amorphous silicon-germanium films with embedded nanocrystals can be used as thermosensitive films in high performance infrared focal plane arrays (IRFPAs used in commercial thermal cameras.

  7. Germanium-overcoated niobium Dayem bridges

    International Nuclear Information System (INIS)

    Holdeman, L.B.; Peters, P.N.

    1976-01-01

    Overcoating constriction microbridges with semiconducting germanium provides additional thermal conductivity at liquid-helium temperatures to reduce the effects of self-heating in these Josephson junctions. Microwave-induced steps were observed in the I-V characteristics of an overcoated Dayem bridge fabricated in a 15-nm-thick niobium film; at 4.2 K (T/sub c/-T=2.6 K), at least 20 steps could be counted. No steps were observed in the I-V characteristics of the bridge prior to overcoating. In addition, the germanium overcoat can protect against electrical disturbances at room temperature

  8. Amorphous germanium as an electron or hole blocking contact on high-purity germanium detectors

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.

    1976-10-01

    Experiments were performed in an attempt to make thin n + contacts on high-purity germanium by the solid phase/sup 1)/ epitaxial regrowth of arsenic doped amorphous germanium. After cleaning the crystal surface with argon sputtering and trying many combinations of layers, it was not found possible to induce recrystallization below 400 0 C. However, it was found that simple thermally evaporated amorphous Ge made fairly good electron or hole blocking contacts. Excellent spectrometers have been made with amorphous Ge replacing the n + contact. As presently produced, the amorphous Ge contact diodes show a large variation in high-voltage leakage current

  9. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  10. Highly sensitive refractive index fiber inline Mach-Zehnder interferometer fabricated by femtosecond laser micromachining and chemical etching

    Science.gov (United States)

    Sun, Xiao-Yan; Chu, Dong-Kai; Dong, Xin-Ran; Zhou, Chu; Li, Hai-Tao; Luo-Zhi; Hu, You-Wang; Zhou, Jian-Ying; Cong-Wang; Duan, Ji-An

    2016-03-01

    A High sensitive refractive index (RI) sensor based on Mach-Zehnder interferometer (MZI) in a conventional single-mode optical fiber is proposed, which is fabricated by femtosecond laser transversal-scanning inscription method and chemical etching. A rectangular cavity structure is formed in part of fiber core and cladding interface. The MZI sensor shows excellent refractive index sensitivity and linearity, which exhibits an extremely high RI sensitivity of -17197 nm/RIU (refractive index unit) with the linearity of 0.9996 within the refractive index range of 1.3371-1.3407. The experimental results are consistent with theoretical analysis.

  11. Chemically etched edges of YBa2Cu3O7 films for interconnects, crossovers and Josephson junctions

    International Nuclear Information System (INIS)

    Poppe, U.; Faley, M.I.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems during the preparation of Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The inherently short and anisotropic coherence length in high temperature superconductors makes it also difficult to fabricate Josephson junctions from these materials. One promising technique which helps to overcome such problems using a nonaqueous chemical etching with a Br-ethanol solution was first presented in a recent publication. Here we report results obtained with the use of this method: test of insulation properties of PrBa 2 Cu 3 O 7 , PrBa 2 Cu 2.85 Ga 0.15 O 7 , and SrTiO 3 used for crossovers and Josephson junctions. Some features of interconnects and Josephson junctions, prepared on the basis of the chemical technique are also discussed. (orig.)

  12. Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon

    NARCIS (Netherlands)

    Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.

    2004-01-01

    A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.

  13. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  14. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  15. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  16. The electrochemistry of InP in Br2/HBr solutions and its relevance to etching behaviour

    NARCIS (Netherlands)

    Notten, P.H.L.; Damen, A.A.J.M.

    1987-01-01

    Etch rate-potential curves of p-InP in HBr and Br2/HBr solutions in the dark and under illumination were correlated with current-potential curves. It was found that InP is etched via a "chemical" mechanism both by HBr and Br2. In aqueous HBr solutions InP is only etched at a significant rate at

  17. Manufacturing P-N junctions in germanium bodies

    International Nuclear Information System (INIS)

    Hall, R.N.

    1980-01-01

    A method of producing p-n junctions in Ge so as to facilitate their use as radiation detectors involves forming a body of high purity p-type germanium, diffusing lithium deep into the body, in the absence of electrolytic processes, to form a junction between n-type and p-type germanium greater than 1 mm depth. (UK)

  18. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  19. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  20. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  1. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  2. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  3. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  5. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  6. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  7. The germination of germanium

    Science.gov (United States)

    Burdette, Shawn C.; Thornton, Brett F.

    2018-02-01

    Shawn C. Burdette and Brett F. Thornton explore how germanium developed from a missing element in Mendeleev's periodic table to an enabler for the information age, while retaining a nomenclature oddity.

  8. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  9. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  10. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  11. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  12. Amorphous Silicon-Germanium Films with Embedded Nano crystals for Thermal Detectors with Very High Sensitivity

    International Nuclear Information System (INIS)

    Calleja, C.; Torres, A.; Rosales-Quintero, P.; Moreno, M.

    2016-01-01

    We have optimized the deposition conditions of amorphous silicon-germanium films with embedded nano crystals in a plasma enhanced chemical vapor deposition (PECVD) reactor, working at a standard frequency of 13.56 MHz. The objective was to produce films with very large Temperature Coefficient of Resistance (TCR), which is a signature of the sensitivity in thermal detectors (micro bolometers). Morphological, electrical, and optical characterization were performed in the films, and we found optimal conditions for obtaining films with very high values of thermal coefficient of resistance (TCR = 7.9%K -1 ). Our results show that amorphous silicon-germanium films with embedded nano crystals can be used as thermo sensitive films in high performance infrared focal plane arrays (IRFPAs) used in commercial thermal cameras.

  13. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  14. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  15. Structure of compensating centers in neutron irradiated n-type germanium

    International Nuclear Information System (INIS)

    Erchak, D.P.; Kosobutskij, V.S.; Stel'makh, V.F.

    1989-01-01

    Structural model of one of the main compensating defects of Ge-M1, Ge-M5, Ge-M6 in neutron irradiated (10 18 -10 20 cm -2 ) germanium, strongly alloyed (2x10 18 -3x10 19 cm -3 ) with antimony, phosphorus and arsenic respectively, is suggested. The above mentioned compensating centers are paramagnetic in a positive charge state and represent a vacancy, two nearby germanium atoms of which are replaced with two atoms of corresponding fine donor impurity. It is mainly contributed (63%- for Ge-M5 centers, 56% - for Ge-M6 centers) by orbitals of two germanium atoms neighbouring the vacancy. The angle of the bonds of each of two mentioned germanium atoms with its three neighbours and orientation of maximum electron density of hybride orbital, binding both germanium atoms, is approximately by 5 deg greater the tetrahedral one

  16. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  17. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  18. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.

    2015-06-18

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  19. Oxygen defect processes in silicon and silicon germanium

    KAUST Repository

    Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo

    2015-01-01

    Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.

  20. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  1. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  2. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  3. Silver-compensated germanium center in α-quartz

    International Nuclear Information System (INIS)

    Laman, F.C.; Weil, J.A.

    1977-01-01

    A synthetic germanium-doped crystal of α-quartz was subjected to an electro-diffusion process (ca. 600 V/cm, 625 0 K), in which Ag + ions were introduced along the crystal's optic axis (c). A 9800 MHz electron paramagnetic resonance spectrum at room temperature, taken after room temperature X-irradiation, revealed the presence of a silver-compensated germanium center Asub(Ge-Ag) with large, almost isotropic 107 Ag and 109 Ag hyperfine splittings. Measurement of the spin-Hamiltonian discloses that a suitable model for the observed center utilizes germanium, substituted for silicon, with the accompanying silver interstitial in a nearby c-axis channel, and with electronic structure in which an appreciable admixture Ge 4+ - Ag 0 to Ge 3+ - Ag + exists. Estimates of the unpaired electron orbital are presented. (author)

  4. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  5. Neutron-transmutation-doped germanium bolometers

    Science.gov (United States)

    Palaio, N. P.; Rodder, M.; Haller, E. E.; Kreysa, E.

    1983-01-01

    Six slices of ultra-pure germanium were irradiated with thermal neutron fluences between 7.5 x 10 to the 16th and 1.88 x 10 to the 18th per sq cm. After thermal annealing the resistivity was measured down to low temperatures (less than 4.2 K) and found to follow the relationship rho = rho sub 0 exp(Delta/T) in the hopping conduction regime. Also, several junction FETs were tested for noise performance at room temperature and in an insulating housing in a 4.2 K cryostat. These FETs will be used as first stage amplifiers for neutron-transmutation-doped germanium bolometers.

  6. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  7. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  8. Nonthermal plasma synthesis of size-controlled, monodisperse, freestanding germanium nanocrystals

    International Nuclear Information System (INIS)

    Gresback, Ryan; Holman, Zachary; Kortshagen, Uwe

    2007-01-01

    Germanium nanocrystals may be of interest for a variety of electronic and optoelectronic applications including photovoltaics, primarily due to the tunability of their band gap from the infrared into the visible range of the spectrum. This letter discusses the synthesis of monodisperse germanium nanocrystals via a nonthermal plasma approach which allows for precise control of the nanocrystal size. Germanium crystals are synthesized from germanium tetrachloride and hydrogen entrained in an argon background gas. The crystal size can be varied between 4 and 50 nm by changing the residence times of crystals in the plasma between ∼30 and 440 ms. Adjusting the plasma power enables one to synthesize fully amorphous or fully crystalline particles with otherwise similar properties

  9. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  10. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  11. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  12. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  13. Mesostructured germanium with cubic pore symmetry

    Energy Technology Data Exchange (ETDEWEB)

    Armatas, G S; Kanatzidis, M G [Michigan State Univ., Michigan (United States), Dept. of Chemistry

    2006-11-15

    Regular mesoporous oxide materials have been widely studied and have a range of potential applications, such as catalysis, absorption and separation. They are not generally considered for their optical and electronic properties. Elemental semiconductors with nanopores running through them represent a different form of framework material with physical characteristics contrasting with those of the more conventional bulk, thin film and nanocrystalline forms. Here we describe cubic meso structured germanium, MSU-Ge-l, with gyroidal channels containing surfactant molecules, separated by amorphous walls that lie on the gyroid (G) minimal surface as in the mesoporous silica MCM-48. Although Ge is a high-meltin covalent semiconductor that is difficult to prepare from solution polymerization, we succeeded in assembling a continuous Ge network using a suitable precursor for Ge{sup 4-} atoms. Our results indicate that elemental semiconductors from group 14 of the periodic table can be made to adopt meso structured forms such as MSU-Ge-1, which features two three-dimensional labyrinthine tunnels obeying la3d space group symmetry and separated by a continuous germanium minimal surface that is otherwise amorphous. A consequence of this new structure for germanium, which has walls only one nanometre thick, is a wider electronic energy bandgap (1.4 eV versus 0.66 eV) than has crystalline or amorphous Ge. Controlled oxidation of MSU-Ge-1 creates a range of germanium suboxides with continuously varying Ge:O ratio and a smoothly increasing energy gap. (author)

  14. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  15. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Fabrication and characterization of a nanometer-sized optical fiber electrode based on selective chemical etching for scanning electrochemical/optical microscopy.

    Science.gov (United States)

    Maruyama, Kenichi; Ohkawa, Hiroyuki; Ogawa, Sho; Ueda, Akio; Niwa, Osamu; Suzuki, Koji

    2006-03-15

    We have already reported a method for fabricating ultramicroelectrodes (Suzuki, K. JP Patent, 2004-45394, 2004). This method is based on the selective chemical etching of optical fibers. In this work, we undertake a detailed investigation involving a combination of etched optical fibers with various types of tapered tip (protruding-shape, double- (or pencil-) shape and triple-tapered electrode) and insulation with electrophoretic paint. Our goal is to establish a method for fabricating nanometer-sized optical fiber electrodes with high reproducibility. As a result, we realized pencil-shaped and triple-tapered electrodes that had radii in the nanometer range with high reproducibility. These nanometer-sized electrodes showed well-defined sigmoidal curves and stable diffusion-limited responses with cyclic voltammetry. The pencil-shaped optical fiber, which has a conical tip with a cone angle of 20 degrees , was effective for controlling the electrode radius. The pencil-shaped electrodes had higher reproducibility and smaller electrode radii (r(app) etched optical fiber electrodes. By using a pencil-shaped electrode with a 105-nm radius as a probe, we obtained simultaneous electrochemical and optical images of an implantable interdigitated array electrode. We achieved nanometer-scale resolution with a combination of scanning electrochemical microscopy SECM and optical microscopy. The resolution of the electrochemical and optical images indicated sizes of 300 and 930 nm, respectively. The neurites of living PC12 cells were also successfully imaged on a 1.6-microm scale by using the negative feedback mode of an SECM.

  17. An environmentally-friendly vacuum reduction metallurgical process to recover germanium from coal fly ash

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Lingen; Xu, Zhenming, E-mail: zmxu@sjtu.edu.cn

    2016-07-15

    Highlights: • An environmental friendly vacuum reduction metallurgical process is proposed. • Rare and valuable metal germanium from coal fly ash is recycled. • Residues are not a hazardous material and can be further recycled. • A germanium recovery ratio of 94.64% is obtained in pilot scale experiments. - Abstract: The demand for germanium in the field of semiconductor, electronics, and optical devices is growing rapidly; however, the resources of germanium are scarce worldwide. As a secondary material, coal fly ash could be further recycled to retrieve germanium. Up to now, the conventional processes to recover germanium have two problems as follows: on the one hand, it is difficult to be satisfactory for its economic and environmental effect; on the other hand, the recovery ratio of germanium is not all that could be desired. In this paper, an environmentally-friendly vacuum reduction metallurgical process (VRMP) was proposed to recover germanium from coal fly ash. The results of the laboratory scale experiments indicated that the appropriate parameters were 1173 K and 10 Pa with 10 wt% coke addition for 40 min, and recovery ratio germanium was 93.96%. On the basis of above condition, the pilot scale experiments were utilized to assess the actual effect of VRMP for recovery of germanium with parameter of 1473 K, 1–10 Pa and heating time 40 min, the recovery ratio of germanium reached 94.64%. This process considerably enhances germanium recovery, meanwhile, eliminates much of the water usage and residue secondary pollution compared with other conventional processes.

  18. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  19. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  20. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  1. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  2. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  3. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  4. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  5. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  6. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  7. Track-etch dosemeter response to neutrons up to 300 MeV

    International Nuclear Information System (INIS)

    Devine, R.T.; Walker, S.; Staples, P.; Duran, M.; Mundis, R.; Miller, J.

    1996-01-01

    Electro-chemical and chemical track-etch dosemeters were obtained from commercial suppliers and exposed to neutrons produced at the LANTF WNR white neutron source at 15 degree with no shielding and filtered by polyethylene blocks of 2.5, 5.1, 10.2, 20.3 and 40.6 cin thickness. The neutron spectrum was determined using calculations. Mean energies from 28 to 300 MeV were produced. Dose was calculated from the NCRP-38 flux-to-dose conversion. The results are compared with NTA film which was exposed in the same configuration. The response of track etch dosimeters was found to reach a minimum and then rise as the average neutron energy increased. The response of the NTA film increased as the neutron energy increased

  8. Production parameters for the formation of metallic nanotubules in etched tracks

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Rao, V.; Wilhelm, M.; Demyanov, S.; Szimkowiak, P.; Behar, M.; Alegaonkar, P.S.; Chadderton, L.T.

    2003-01-01

    The formation of conducting nanotubules in etched tracks is reported in literature since about a decade. However, up to now precise production recipes are scarce. For this sake we present here a systematic study on some important factors that influence the formation of metallic nanotubules. In the case of chemical deposition, the first question to be answered is the choice of the activation technique to produce the required activation centers. Both the time of activation and the time of subsequent chemical deposition are crucial parameters in this connection. Finally, the maximum temperature is determined up to which thermal stability of the etched tracks and of the tubules therein is given. This study should allow one to predict better the efficiency of conducting nanotubule formation

  9. A portable system for nuclear, chemical agent, and explosives identification

    International Nuclear Information System (INIS)

    Parker, W.E.; Buckley, W.M.; Kreek, S.A.; Mauger, G.J.; Lavietes, A.D.; Dougan, A.D.; Caffrey, A.J.

    2001-01-01

    The FRIS/PINS hybrid integrates the LLNL-developed Field Radionuclide Identification System (FRIS) with the INEEL-developed Portable Isotopic Neutron Spectroscopy (PINS) chemical assay system to yield a combined general radioisotope, special nuclear material, and chemical weapons/explosives detection and identification system. The PINS system uses a neutron source and a high-purity germanium γ-ray detector. The FRIS system uses an electromechanically cooled germanium detector and its own analysis software to detect and identify special nuclear material and other radioisotopes. The FRIS/PINS combined system also uses the electromechanically-cooled germanium detector. There is no other currently available integrated technology that can combine a prompt-gamma neutron-activation analysis capability for CWE with a passive radioisotope measurement and identification capability for special nuclear material

  10. A Portable System for Nuclear, Chemical Agent and Explosives Identification

    International Nuclear Information System (INIS)

    Parker, W.E.; Buckley, W.M.; Kreek, S.A.; Caffrey, A.J.; Mauger, G.J.; Lavietes, A.D.; Dougan, A.D.

    2000-01-01

    The FRIS/PINS hybrid integrates the LLNL-developed Field Radionuclide Identification System (FRIS) with the INEEL-developed Portable Isotopic Neutron Spectroscopy (PINS) chemical assay system to yield a combined general radioisotope, special nuclear material, and chemical weapons/explosives detection and identification system. The PINS system uses a neutron source and a high-purity germanium γ-ray detector. The FRIS system uses an electrochemically cooled germanium detector and its own analysis software to detect and identify special nuclear material and other radioisotopes. The FRIS/PINS combined system also uses the electromechanically-cooled germanium detector. There is no other currently available integrated technology that can combine an active neutron interrogation and analysis capability for CWE with a passive radioisotope measurement and identification capability for special nuclear material

  11. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  12. Effects of electronically neutral impurities on muonium in germanium

    International Nuclear Information System (INIS)

    Clawson, C.W.; Crowe, K.M.; Haller, E.E.; Rosenblum, S.S.; Brewer, J.H.

    1983-04-01

    Low-temperature measurements of muonium parameters in various germanium crystals have been performed. We have measured crystals with different levels of neutral impurities, with and without dislocations, and with different annealing histories. The most striking result is the apparent trapping of Mu by silicon impurities in germanium

  13. Analytical product study of germanium-containing medicine by different ICP-MS applications

    NARCIS (Netherlands)

    Krystek, Petra; Ritsema, Rob

    2004-01-01

    For several years organo-germanium containing medicine has been used for special treatments of e.g. cancer and AIDS. The active substances contain germanium as beta-carboxyethylgermanium sesquioxide ((GeCH2CH 2COO-H)2O3/"Ge-132"), spirogermanium, germanium-lactate-citrate or unspecified forms. For

  14. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy

    International Nuclear Information System (INIS)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Terziotti, Daniela; Bonera, Emiliano; Spinella, Corrado; Nicotra, Giuseppe

    2012-01-01

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe. (paper)

  15. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy.

    Science.gov (United States)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Terziotti, Daniela; Bonera, Emiliano; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Spinella, Corrado; Nicotra, Giuseppe

    2012-02-03

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe.

  16. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  17. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  18. Annealing and etching effects on strain and stress sensitivity of polymer optical fibre Bragg grating sensors

    DEFF Research Database (Denmark)

    Pospori, A.; Marques, C. A.F.; Sáez-Rodríguez, D.

    2017-01-01

    Thermal annealing and chemical etching effects on the strain and stress sensitivity of polymer optical fibre based sensors are investigated. Bragg grating sensors have been photo-inscribed in PMMA optical fibre and their strain and stress sensitivity has been characterised before and after any...... annealing or etching process. The annealing and etching processes have been tried in different sequence in order to investigate their impact on the sensor's performance. Results show with high confidence that fibre annealing can improve both strain and stress sensitivities. The fibre etching can also...... provide stress sensitivity enhancement, however the strain sensitivity changes seems to be random....

  19. Biomimetic hydrophobic surface fabricated by chemical etching method from hierarchically structured magnesium alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yan; Yin, Xiaoming; Zhang, Jijia [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Wang, Yaming [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Han, Zhiwu, E-mail: zwhan@jlu.edu.cn [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Ren, Luquan [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China)

    2013-09-01

    As one of the lightest metal materials, magnesium alloy plays an important role in industry such as automobile, airplane and electronic product. However, magnesium alloy is hindered due to its high chemical activity and easily corroded. Here, inspired by typical plant surfaces such as lotus leaves and petals of red rose with super-hydrophobic character, the new hydrophobic surface is fabricated on magnesium alloy to improve anti-corrosion by two-step methodology. The procedure is that the samples are processed by laser first and then immersed and etched in the aqueous AgNO{sub 3} solution concentrations of 0.1 mol/L, 0.3 mol/L and 0.5 mol/L for different times of 15 s, 40 s and 60 s, respectively, finally modified by DTS (CH{sub 3}(CH{sub 2}){sub 11}Si(OCH{sub 3}){sub 3}). The microstructure, chemical composition, wettability and anti-corrosion are characterized by means of SEM, XPS, water contact angle measurement and electrochemical method. The hydrophobic surfaces with microscale crater-like and nanoscale flower-like binary structure are obtained. The low-energy material is contained in surface after DTS treatment. The contact angles could reach up to 138.4 ± 2°, which hydrophobic property is both related to the micro–nano binary structure and chemical composition. The results of electrochemical measurements show that anti-corrosion property of magnesium alloy is improved. Furthermore, our research is expected to create some ideas from natural enlightenment to improve anti-corrosion property of magnesium alloy while this method can be easily extended to other metal materials.

  20. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  1. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  2. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  3. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  4. Modeling of dislocation dynamics in germanium Czochralski growth

    Science.gov (United States)

    Artemyev, V. V.; Smirnov, A. D.; Kalaev, V. V.; Mamedov, V. M.; Sidko, A. P.; Podkopaev, O. I.; Kravtsova, E. D.; Shimansky, A. F.

    2017-06-01

    Obtaining very high-purity germanium crystals with low dislocation density is a practically difficult problem, which requires knowledge and experience in growth processes. Dislocation density is one of the most important parameters defining the quality of germanium crystal. In this paper, we have performed experimental study of dislocation density during 4-in. germanium crystal growth using the Czochralski method and comprehensive unsteady modeling of the same crystal growth processes, taking into account global heat transfer, melt flow and melt/crystal interface shape evolution. Thermal stresses in the crystal and their relaxation with generation of dislocations within the Alexander-Haasen model have been calculated simultaneously with crystallization dynamics. Comparison to experimental data showed reasonable agreement for the temperature, interface shape and dislocation density in the crystal between calculation and experiment.

  5. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  6. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  7. ECE laboratory in the Vinča institute: Its basic characteristics and fundamentals of electrochemic etching on polycarbonate

    Directory of Open Access Journals (Sweden)

    Žunić Zora S.

    2003-01-01

    Full Text Available This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINČA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up^ i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings.

  8. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  9. Boron doping compensation of hydrogenated amorphous and polymorphous germanium thin films for infrared detection applications

    Energy Technology Data Exchange (ETDEWEB)

    Moreno, M., E-mail: mmoreno@inaoep.mx [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico); Delgadillo, N. [Universidad Autónoma de Tlaxcala, Av. Universidad No. 1, Z. P. 90006 Tlaxcala (Mexico); Torres, A. [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico); Ambrosio, R. [Technology and Engineering Institute, Ciudad Juarez University UACJ, Av. Del Charro 450N, Z. P. 32310 Chihuahua (Mexico); Rosales, P.; Kosarev, A.; Reyes-Betanzo, C.; Hidalga-Wade, J. de la; Zuniga, C.; Calleja, W. [National Institute of Astrophysics, Optics and Electronics, INAOE, P.O. Box 51 and 216, Puebla, Z. P. 72840 Puebla (Mexico)

    2013-12-02

    In this work we have studied boron doping of hydrogenated amorphous germanium a-Ge:H and polymorphous germanium (pm-Ge:H) in low regimes, in order to compensate the material from n-type (due to oxygen contamination that commonly occurs during plasma deposition) to intrinsic, and in this manner improve the properties that are important for infrared (IR) detection, as activation energy (E{sub a}) and temperature coefficient of resistance (TCR). Electrical, structural and optical characterization was performed on the films produced. Measurements of the temperature dependence of conductivity, room temperature conductivity (σ{sub RT}), E{sub a} and current–voltage characteristics under IR radiation were performed in the compensated a-Ge:H and pm-Ge:H films. Our results demonstrate that, effectively, the values of E{sub a}, TCR and IR detection are improved on the a-Ge:H/pm-Ge:H films, using boron doping in low regimes, which results of interest for infrared detectors. - Highlights: • We reported boron doping compensation of amorphous and polymorphous germanium. • The films were deposited by plasma enhanced chemical vapor deposition. • The aim is to use the films as thermo-sensing elements in un-cooled microbolometers. • Those films have advantages over boron doped a-Si:H used in commercial detectors.

  10. Boron doping compensation of hydrogenated amorphous and polymorphous germanium thin films for infrared detection applications

    International Nuclear Information System (INIS)

    Moreno, M.; Delgadillo, N.; Torres, A.; Ambrosio, R.; Rosales, P.; Kosarev, A.; Reyes-Betanzo, C.; Hidalga-Wade, J. de la; Zuniga, C.; Calleja, W.

    2013-01-01

    In this work we have studied boron doping of hydrogenated amorphous germanium a-Ge:H and polymorphous germanium (pm-Ge:H) in low regimes, in order to compensate the material from n-type (due to oxygen contamination that commonly occurs during plasma deposition) to intrinsic, and in this manner improve the properties that are important for infrared (IR) detection, as activation energy (E a ) and temperature coefficient of resistance (TCR). Electrical, structural and optical characterization was performed on the films produced. Measurements of the temperature dependence of conductivity, room temperature conductivity (σ RT ), E a and current–voltage characteristics under IR radiation were performed in the compensated a-Ge:H and pm-Ge:H films. Our results demonstrate that, effectively, the values of E a , TCR and IR detection are improved on the a-Ge:H/pm-Ge:H films, using boron doping in low regimes, which results of interest for infrared detectors. - Highlights: • We reported boron doping compensation of amorphous and polymorphous germanium. • The films were deposited by plasma enhanced chemical vapor deposition. • The aim is to use the films as thermo-sensing elements in un-cooled microbolometers. • Those films have advantages over boron doped a-Si:H used in commercial detectors

  11. Low temperature synthesis and electrical characterization of germanium doped Ti-based nanocrystals for nonvolatile memory

    International Nuclear Information System (INIS)

    Feng, Li-Wei; Chang, Chun-Yen; Chang, Ting-Chang; Tu, Chun-Hao; Wang, Pai-Syuan; Lin, Chao-Cheng; Chen, Min-Chen; Huang, Hui-Chun; Gan, Der-Shin; Ho, New-Jin; Chen, Shih-Ching; Chen, Shih-Cheng

    2011-01-01

    Chemical and electrical characteristics of Ti-based nanocrystals containing germanium, fabricated by annealing the co-sputtered thin film with titanium silicide and germanium targets, were demonstrated for low temperature applications of nonvolatile memory. Formation and composition characteristics of nanocrystals (NCs) at various annealing temperatures were examined by transmission electron microscopy and X-ray photon-emission spectroscopy, respectively. It was observed that the addition of germanium (Ge) significantly reduces the proposed thermal budget necessary for Ti-based NC formation due to the rise of morphological instability and agglomeration properties during annealing. NC structures formed after annealing at 500 °C, and separated well at 600 °C annealing. However, it was also observed that significant thermal desorption of Ge atoms occurs at 600 °C due to the sublimation of formatted GeO phase and results in a serious decrease of memory window. Therefore, an approach to effectively restrain Ge thermal desorption is proposed by encapsulating the Ti-based trapping layer with a thick silicon oxide layer before 600 °C annealing. The electrical characteristics of data retention in the sample with the 600 °C annealing exhibited better performance than the 500 °C-annealed sample, a result associated with the better separation and better crystallization of the NC structures.

  12. Dislocation-free etching figures in crystals of CdxHg1-xTe solid solutions

    International Nuclear Information System (INIS)

    Kholina, E.N.; Khazieva, R.A.; Bursak, S.S.; Nevskij, O.B.; Filatov, A.V.; Popovyan, G.Eh.; Suslov, E.V.; Prijmak, A.N.

    1988-01-01

    Using the method of chemical etching, etching figures formed in areas distributed over Cd x Hg 1-x Te crystal with increased content of impurities consisting of Si,Al,Na,K,Cl,Fe,Ti,Mg and S mainly are revealed. The size of impurity inclusions is >1μm, that is why they cannot be detected either by optical microscopy or local microroentgenospectral analysis. Formation and distribution of impurity inclusions are obviously defined by only the concentration of impurity atoms in the melt. The shape of etching figures does not depend on crystallographical orientation of the crystal-matrix

  13. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  14. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  15. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  16. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  17. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  19. Neutron Transmission of Germanium Poly- and Monocrystals

    International Nuclear Information System (INIS)

    Habib, N.

    2009-01-01

    The measured total neutron cross-sections of germanium poly- and mono-crystals were analyzed using an additive formula. The formula takes into account the germanium crystalline structure and its physical parameters. Computer programs have developed in order to provide the required analyses. The calculated values of the total cross-section of polycrystalline germanium in the neutron wavelength range from 0.001 up to 0.7 nm were fitted to the measured ones at ETRR-1. From the fitting the main constants of the additive formula were determined. The experimental data measured at ETRR-1 of the total cross-section of high quality Ge single crystal at 4400 K, room, and liquid nitrogen temperatures, in the wavelength range between 0.028 nm and 0.64 nm, were also compared with the calculated values using the formula having the same constants. An overall agreement is noticed between the formula fits and experimental data. A feasibility study is done for the use of germanium in poly-crystalline form, as cold neutron filter, and in mono-crystalline one as an efficient filter for thermal neutrons. The filtering efficiency of Ge single crystal is detailed in terms of its isotopic abundance, crystal thickness, mosaic spread, and temperature. It can be concluded that the 7.5 cm thick 76 Ge single crystal (0.10 FWHM mosaic spread) cooled at liquid nitrogen temperature is an efficient thermal neutron filter.

  20. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    Science.gov (United States)

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  1. Study of substrate topographical effects on epithelial cell behavior using etched alpha-particle tracks on PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Poon, W.L.; Li, W.Y.; Cheung, T.; Cheng, S.H.; Yu, K.N.

    2008-01-01

    Micrometer-size pits on the surface of a polymer (polyallyldiglycol carbonate or PADC) substrate created by alpha-particle irradiation and subsequent chemical etching were used to study the topographical effects alone on cell behavior. Vinculin, the cell adhesion and membrane protrusion protein, was used as an indicator of cytoskeletonal reorganization on the substrate and localization of vinculin was used to demonstrate the presence of focal adhesions. In our experiments, vinculin expressed in epithelial HeLa cells cultured on PADC films with track-etch pits, but not in cells cultured on the raw or chemically etched blank films. In other words, vinculin expression was induced by the topography of track-etch pits, while etching of the substrate alone (without alpha-particle irradiation) did not cause up-regulation of vinculin protein expression. HeLa cells cultured on PADC films with track-etch pits also showed changes in cell proliferation, cell area and cell circularity, and were largely contained by the pits. In other words, the cell membrane edges tended to be in contact with the pits. By comparing the correlation between the positions of HeLa cells and the pits, and that between the positions of cells and computer-simulated pits, the tendency for membrane edges of HeLa cells to be in contact with the pits was recognized. This could be explained by inhibition of membrane protrusion at the pits. In conclusion, substrate track-etch pits were an important determinant of epithelial cell behaviors

  2. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  3. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  4. Status report on the International Germanium Experiment

    International Nuclear Information System (INIS)

    Brodzinski, R.L.; Hensley, W.K.; Miley, H.S.; Reeves, J.H.; Avignone, F.T.; Collar, J.I.; Guerard, C.K.; Courant, H.; Ruddick, K.; Kirpichnikov, I.V.; Starostin, A.S.; Osetrov, S.B.; Pomansky, A.A.; Smolnikov, A.A.; Vasiliev, S.I.

    1992-06-01

    Phase II detector fabrication for the International Germanium Experiment is awaiting resolution of technical details observed during Phase I. Measurements of fiducial volume, configuration of the tansistor-reset preamplifier stage, and sources of background are discussed. Cosmogenic 7 Be is measured in germanium. Radium contamination in electroformed copper reported. The 2ν double- beta decay half-life of 76 Ge measured with a Phase I detector is in reasonable agreement with previously reported values. No events are observed in the vicinity of the Oν double-beta decay energy

  5. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  6. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  7. Bandgap-customizable germanium using lithographically determined biaxial tensile strain for silicon-compatible optoelectronics.

    Science.gov (United States)

    Sukhdeo, David S; Nam, Donguk; Kang, Ju-Hyung; Brongersma, Mark L; Saraswat, Krishna C

    2015-06-29

    Strain engineering has proven to be vital for germanium-based photonics, in particular light emission. However, applying a large permanent biaxial tensile strain to germanium has been a challenge. We present a simple, CMOS-compatible technique to conveniently induce a large, spatially homogenous strain in circular structures patterned within germanium nanomembranes. Our technique works by concentrating and amplifying a pre-existing small strain into a circular region. Biaxial tensile strains as large as 1.11% are observed by Raman spectroscopy and are further confirmed by photoluminescence measurements, which show enhanced and redshifted light emission from the strained germanium. Our technique allows the amount of biaxial strain to be customized lithographically, allowing the bandgaps of different germanium structures to be independently customized in a single mask process.

  8. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  9. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  10. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  11. Calibration of germanium detectors

    International Nuclear Information System (INIS)

    Debertin, K.

    1983-01-01

    The process of determining the energy-dependent detection probability with measurements using Ge (Li) and high-grade germanium detectors is described. The paper explains which standards are best for a given purpose and given requirements as to accuracy, and how to assess measuring geometry variations and summation corrections. (DG) [de

  12. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  13. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2015-01-01

    Full Text Available A method to remove the misfit dislocations and reduce the threading dislocations density (TDD in the germanium (Ge epilayer growth on a silicon (Si substrate is presented. The Ge epitaxial film is grown directly on the Si (001 donor wafer using a “three-step growth” approach in a reduced pressure chemical vapour deposition. The Ge epilayer is then bonded and transferred to another Si (001 handle wafer to form a germanium-on-insulator (GOI substrate. The misfit dislocations, which are initially hidden along the Ge/Si interface, are now accessible from the top surface. These misfit dislocations are then removed by annealing the GOI substrate. After the annealing, the TDD of the Ge epilayer can be reduced by at least two orders of magnitude to <5 × 106 cm−2.

  14. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  15. Lattice site and thermal stability of transition metals in germanium

    CERN Document Server

    Augustyns, Valérie; Pereira, Lino

    Although the first transistor was based on germanium, current chip technology mainly uses silicon due to its larger abundance, a lower price and higher quality silicon-oxide. However, a very important goal in microelectronics is to obtain faster integrated circuits. The advantages of germanium compared to silicon (e.g. a higher mobility of the charge carriers) motivates further research on germanium based materials. Semiconductor doping (e.g. introducing impurities into silicon and germanium in order to alter - and control - their properties) can be done by ion implantation or by in situ doping, whereby the host material is doped during growth. This thesis focuses on introducing dopants by ion implantation. The implantation as well as the subsequent measurements were performed in ISOLDE (CERN) using the emission channeling technique. Although ion implantation generates undesired defects in the host material (e.g. vacancies), such damage can be reduced by performing the implantation at an elevated temperature....

  16. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  17. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  18. Electrochemistry in anisotropic etching of silicon in alkaline solutions

    NARCIS (Netherlands)

    Nguyen, Q.D.

    2007-01-01

    Etching is the process of using an acidic or caustic chemical to cut into unprotected areas of a particular material. Initially used in the 15th century for decorating plate armor and sword blades, the metal surface (typically steel or copper) was first covered with a wax-like material. Part of the

  19. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  20. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  1. Etching twin core fiber for the temperature-independent refractive index sensing

    Science.gov (United States)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  2. Synthesis of Platinum Nanotubes and Nanorings via Simultaneous Metal Alloying and Etching

    KAUST Repository

    Huang, Zhiqi

    2016-04-19

    Metallic nanotubes represent a class of hollow nanostructures with unique catalytic properties. However, the wet-chemical synthesis of metallic nanotubes remains a substantial challenge, especially for those with dimensions below 50 nm. This communication describes a simultaneous alloying-etching strategy for the synthesis of Pt nanotubes with open ends by selective etching Au core from coaxial Au/Pt nanorods. This approach can be extended for the preparation of Pt nanorings when Saturn-like Au core/Pt shell nanoparticles are used. The diameter and wall thickness of both nanotubes and nanorings can be readily controlled in the range of 14-37 nm and 2-32 nm, respectively. We further demonstrated that the nanotubes with ultrathin side walls showed superior catalytic performance in oxygen reduction reaction. © 2016 American Chemical Society.

  3. Extremely superhydrophobic surfaces with micro- and nanostructures fabricated by copper catalytic etching.

    Science.gov (United States)

    Lee, Jung-Pil; Choi, Sinho; Park, Soojin

    2011-01-18

    We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10-30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

  4. Optical properties of Germanium nanoparticles synthesized by pulsed laser ablation in acetone

    Directory of Open Access Journals (Sweden)

    Saikiran eVadavalli

    2014-10-01

    Full Text Available Germanium (Ge nanoparticles (NPs are synthesized by means of pulsed laser ablation of bulk germanium target immersed in acetone with ns laser pulses at different pulse energies. The fabricated NPs are characterized by employing different techniques such as UV-visible absorption spectroscopy, photoluminescence, micro-Raman spectroscopy, transmission electron microscopy (TEM and field emission scanning electron microscopy (FESEM. The mean size of the Ge NPs is found to vary from few nm to 40 nm with the increase in laser pulse energy. Shift in the position of the absorption spectra is observed and also the photoluminescence peak shift is observed due to quantum confinement effects. High resolution TEM combined with micro-Raman spectroscopy confirms the crystalline nature of the generated germanium nanoparticles. The formation of various sizes of germanium NPs at different laser pulse energies is evident from the asymmetry in the Raman spectra and the shift in its peak position towards the lower wavenumber side. The FESEM micrographs confirm the formation of germanium micro/nanostructures at the laser ablated position of the bulk germanium. In particular, the measured NP sizes from the micro-Raman phonon quantum confinement model are found in good agreement with TEM measurements of Ge NPs.

  5. Detailed microstructure analysis of as-deposited and etched porous ZnO films

    International Nuclear Information System (INIS)

    Shang, Congcong; Thimont, Yohann; Barnabé, Antoine; Presmanes, Lionel; Pasquet, Isabelle; Tailhades, Philippe

    2015-01-01

    Graphical abstract: - Highlights: • Porous ZnO thin films were deposited by rf magnetron sputtering. • Surface enhancement factors were deduced from geometrical considerations. • Enlargement of the inter-grain spaces have been achieved by HCl chemical etching. • Microstructural parameters were deduced from SEM, AFM and optical measurements. - Abstract: ZnO nanostructured materials in thin film forms are of particular interest for photovoltaic or photocatalysis processes but they suffer from a lack of simple methods for optimizing their microstructure. We have demonstrated that microporous ZnO thin films with optimized inter grain accessibility can be produce by radio frequency magnetron sputtering process and chemical etching with 2.75 mM HCl solution for different duration. The as-deposited ZnO thin films were first characterized in terms of structure, grain size, inter grain space, open cavity depth and total thickness of the film by XRD, AFM, SEM, profilometry and optical measurements. A specific attention was dedicated to the determination of the surface enhancement factor (SEF) by using basic geometrical considerations and images treatments. In addition, the porous fraction and its distribution in the thickness have been estimated thanks to the optical simulation of the experimental UV–Visible–IR spectrums using the Bruggeman dielectric model and cross section SEM images analysis respectively. This study showed that the microstructure of the as-deposited films consists of a dense layer covered by a porous upper layer developing a SEF of 12–13 m 2 m −2 . This two layers architecture is not modified by the etching process. The etching process only affects the upper porous layer in which the overall porosity and the inter-grain space increase with the etching duration. Column diameter and total film thickness decrease at the same time when the films are soaked in the HCl bath. The microporous structure obtained after the etching process could

  6. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  7. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  8. Molecular precursors for the phase-change material germanium-antimony-telluride, Ge{sub 2}Sb{sub 2}Te{sub 5} (GST)

    Energy Technology Data Exchange (ETDEWEB)

    Harmgarth, Nicole; Zoerner, Florian; Engelhardt, Felix; Edelmann, Frank T. [Chemisches Institut, Otto-von-Guericke-Universitaet Magdeburg (Germany); Liebing, Phil [Laboratorium fuer Anorganische Chemie, ETH Zuerich (Switzerland); Burte, Edmund P.; Silinskas, Mindaugas [Institut fuer Mikro- und Sensorsysteme, Otto-von-Guericke-Universitaet Magdeburg (Germany)

    2017-10-04

    This review provides an overview of the precursor chemistry that has been developed around the phase-change material germanium-antimony-telluride, Ge{sub 2}Sb{sub 2}Te{sub 5} (GST). Thin films of GST can be deposited by employing either chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques. In both cases, the success of the layer deposition crucially depends on the proper choice of suitable molecular precursors. Previously reported processes mainly relied on simple alkoxides, alkyls, amides and halides of germanium, antimony, and tellurium. More sophisticated precursor design provided a number of promising new aziridinides and guanidinates. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  10. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  11. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  12. Fabrication of beta-PVDF membranes by track etching and specific functionalization of nano-pores

    International Nuclear Information System (INIS)

    Cuscito, O.

    2008-01-01

    Poly(vinylidene fluoride)(β-PVDF) nano-porous membranes were made by chemical revealing of tracks induced from swift heavy ions irradiation. Pore opening and radii can be varied in a controllable manner with the etching time. nano-pores size in nano-meter scale (from 12 nm to 50 nm) appears to be linearly dependent to the etching time. It was then necessary to adapt the characterization tools to these membranes. Consequently, we resorted to the use of structural analysis methods (Scanning Electron Microscopy, Small Angle Neutron Scattering) and developed evaluation methods of the membranes transport properties like gas permeation and ionic diffusion. Results obtained confirm the pores opening (break through) and the hydrophobicity of material, which we have modified with hydrophilic molecules. In this precise case, the grafting of acrylic acid was initiated by the radicals still remains after track-etching (called radio-grafting). This key result was obtained by a study of Electron Paramagnetic Resonance. The labelling of introduced chemical functionalities with fluorescent probes was a very effective mean to visualize very few amounts of molecules by confocal microscopy. The radio-grafting was found specifically localized inside etched tracks. The protocol offers the possibility to create a double functionality, the one localized inside the nano-pores and the other on the surface of membranes. The modification of radio-grafting parameters (the acrylic acid concentration, solvent nature, use of transfer agent) and the chemical properties of the nano-pore walls have a direct incidence on the transport properties. (author) [fr

  13. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  14. Technology CAD for germanium CMOS circuit

    Energy Technology Data Exchange (ETDEWEB)

    Saha, A.R. [Department of Electronics and ECE, IIT Kharagpur, Kharagpur-721302 (India)]. E-mail: ars.iitkgp@gmail.com; Maiti, C.K. [Department of Electronics and ECE, IIT Kharagpur, Kharagpur-721302 (India)

    2006-12-15

    Process simulation for germanium MOSFETs (Ge-MOSFETs) has been performed in 2D SILVACO virtual wafer fabrication (VWF) suite towards the technology CAD for Ge-CMOS process development. Material parameters and mobility models for Germanium were incorporated in simulation via C-interpreter function. We also report on the device design issues along with the DC and RF characterization of the bulk Ge-MOSFETs, AC parameter extraction and circuit simulation of Ge-CMOS. Simulation results are compared with bulk-Si devices. Simulations predict a cut-off frequency, f {sub T} of about 175 GHz for Ge-MOSFETs compared to 70 GHz for a similar gate-length Si MOSFET. For a single stage Ge-CMOS inverter circuit, a GATE delay of 0.6 ns is predicted.

  15. Technology CAD for germanium CMOS circuit

    International Nuclear Information System (INIS)

    Saha, A.R.; Maiti, C.K.

    2006-01-01

    Process simulation for germanium MOSFETs (Ge-MOSFETs) has been performed in 2D SILVACO virtual wafer fabrication (VWF) suite towards the technology CAD for Ge-CMOS process development. Material parameters and mobility models for Germanium were incorporated in simulation via C-interpreter function. We also report on the device design issues along with the DC and RF characterization of the bulk Ge-MOSFETs, AC parameter extraction and circuit simulation of Ge-CMOS. Simulation results are compared with bulk-Si devices. Simulations predict a cut-off frequency, f T of about 175 GHz for Ge-MOSFETs compared to 70 GHz for a similar gate-length Si MOSFET. For a single stage Ge-CMOS inverter circuit, a GATE delay of 0.6 ns is predicted

  16. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  17. Segmentation of the Outer Contact on P-Type Coaxial Germanium Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Hull, Ethan L.; Pehl, Richard H.; Lathrop, James R.; Martin, Gregory N.; Mashburn, R. B.; Miley, Harry S.; Aalseth, Craig E.; Hossbach, Todd W.

    2006-09-21

    Germanium detector arrays are needed for low-level counting facilities. The practical applications of such user facilities include characterization of low-level radioactive samples. In addition, the same detector arrays can also perform important fundamental physics measurements including the search for rare events like neutrino-less double-beta decay. Coaxial germanium detectors having segmented outer contacts will provide the next level of sensitivity improvement in low background measurements. The segmented outer detector contact allows performance of advanced pulse shape analysis measurements that provide additional background reduction. Currently, n-type (reverse electrode) germanium coaxial detectors are used whenever a segmented coaxial detector is needed because the outer boron (electron barrier) contact is thin and can be segmented. Coaxial detectors fabricated from p-type germanium cost less, have better resolution, and are larger than n-type coaxial detectors. However, it is difficult to reliably segment p-type coaxial detectors because thick (~1 mm) lithium-diffused (hole barrier) contacts are the standard outside contact for p-type coaxial detectors. During this Phase 1 Small Business Innovation Research (SBIR) we have researched the possibility of using amorphous germanium contacts as a thin outer contact of p-type coaxial detectors that can be segmented. We have developed amorphous germanium contacts that provide a very high hole barrier on small planar detectors. These easily segmented amorphous germanium contacts have been demonstrated to withstand several thousand volts/cm electric fields with no measurable leakage current (<1 pA) from charge injection over the hole barrier. We have also demonstrated that the contact can be sputter deposited around and over the curved outside surface of a small p-type coaxial detector. The amorphous contact has shown good rectification properties on the outside of a small p-type coaxial detector. These encouraging

  18. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Science.gov (United States)

    Li, Kunquan; Zeng, Xingrong; Li, Hongqiang; Lai, Xuejun

    2015-08-01

    Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO3) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO3 concentration had little effect on the wetting behavior, but a high AgNO3 concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for untreated iron. Meanwhile, the superhydrophobic iron surface maintained superhydrophobicity after 10 icing and de-icing cycles in cold conditions.

  19. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  20. Structural and XPS studies of PSi/TiO2 nanocomposites prepared by ALD and Ag-assisted chemical etching

    International Nuclear Information System (INIS)

    Iatsunskyi, Igor; Kempiński, Mateusz; Nowaczyk, Grzegorz; Jancelewicz, Mariusz; Pavlenko, Mykola; Załęski, Karol; Jurga, Stefan

    2015-01-01

    Highlights: • Porous silicon/TiO 2 nanocomposites have been investigated. • Morphology and chemical composition of PSi/TiO 2 nanocomposites were established. • Valence-band XPS maximums for PSi/TiO 2 nanocomposites were found and analyzed. - Abstract: PSi/TiO 2 nanocomposites fabricated by atomic layer deposition (ALD) and metal-assisted chemical etching (MACE) were investigated. The morphology and phase structure of PSi/TiO 2 nanocomposites were studied by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM) with an energy dispersive X-ray spectroscopy (EDX) and Raman spectroscopy. The mean size of TiO 2 nanocrystals was determined by TEM and Raman spectroscopy. X-ray photoelectron spectroscopy (XPS) was used to analyze the chemical elemental composition by observing the behavior of the Ti 2p, O 1s and Si 2p lines. TEM, Raman spectroscopy and XPS binding energy analysis confirmed the formation of TiO 2 anatase phase inside the PSi matrix. The XPS valence band analysis was performed in order to investigate the modification of PSi/TiO 2 nanocomposites electronic structure. Surface defects states of Ti 3+ at PSi/TiO 2 nanocomposites were identified by analyzing of XPS valence band spectra

  1. Water soluble nano-scale transient material germanium oxide for zero toxic waste based environmentally benign nano-manufacturing

    KAUST Repository

    Almuslem, A. S.

    2017-02-14

    In the recent past, with the advent of transient electronics for mostly implantable and secured electronic applications, the whole field effect transistor structure has been dissolved in a variety of chemicals. Here, we show simple water soluble nano-scale (sub-10 nm) germanium oxide (GeO) as the dissolvable component to remove the functional structures of metal oxide semiconductor devices and then reuse the expensive germanium substrate again for functional device fabrication. This way, in addition to transiency, we also show an environmentally friendly manufacturing process for a complementary metal oxide semiconductor (CMOS) technology. Every year, trillions of complementary metal oxide semiconductor (CMOS) electronics are manufactured and billions are disposed, which extend the harmful impact to our environment. Therefore, this is a key study to show a pragmatic approach for water soluble high performance electronics for environmentally friendly manufacturing and bioresorbable electronic applications.

  2. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  3. Coexistence in even-even nuclei with emphasis on the germanium isotopes

    International Nuclear Information System (INIS)

    Carchidi, M.A.V.

    1985-01-01

    No simple model to date can explain in a self-consistent way the results of direct transfer data and BE2 electromagnetic rates in the germanium isotopes. The simplest models use a two-state interaction for describing the ground state and first excited O + state. In all cases, these models can account for some of the data, but they are in drastic conflict with other experimental measurements. In this thesis, it is shown that a two-state model can consistently account for two-neutron and alpha transfer O + 2 /g.s. cross-section ratio data in the germanium region (ie. zinc, germanium, and selenium), proton occupation number data in the ground states of the even stable zinc, germanium, and selenium isotopes, and BE2 transition rates in isotopes of germanium and zinc. In addition the author can account for most of the one-neutron and two-neutron transfer O + 2 /g.s. and (9/2 + 2 )/(9/2 + 1 ) cross-section ratio data in the odd-mass germanium isotopes. In this generalized two-state model (called Rerg1), the author makes as few assumptions as possible about the nature of the basis states; rather the author allows the experimental data to dictate the properties of the basis-state overlaps. In this sense, the author has learned much about the basis states and has a useful tool for constructing them. The author also shows that the Rerg1 model can quantitatively account for all two-neutron O + 2 /g.s. cross-section ratio data in all even-even nuclei from calcium to uranium

  4. Quantum confinement effect in cheese like silicon nano structure fabricated by metal induced etching

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Shailendra K., E-mail: phd1211512@iiti.ac.in; Sahu, Gayatri; Sagdeo, Pankaj R.; Kumar, Rajesh [Material Research Laboratory, Discipline of Physics & MSEG, Indian Institute of Technology Indore, Madhya Pradesh-452017 (India)

    2015-08-28

    Quantum confinement effect has been studied in cheese like silicon nano-structures (Ch-SiNS) fabricated by metal induced chemical etching using different etching times. Scanning electron microscopy is used for the morphological study of these Ch-SiNS. A visible photoluminescence (PL) emission is observed from the samples under UV excitation at room temperature due to quantum confinement effect. The average size of Silicon Nanostructures (SiNS) present in the samples has been estimated by bond polarizability model using Raman Spectroscopy from the red-shift observed from SiNSs as compared to its bulk counterpart. The sizes of SiNS present in the samples decreases as etching time increase from 45 to 75 mintunes.

  5. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  6. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  7. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  8. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  9. Techniques to distinguish between electron and photon induced events using segmented germanium detectors

    International Nuclear Information System (INIS)

    Kroeninger, K.

    2007-01-01

    Two techniques to distinguish between electron and photon induced events in germanium detectors were studied: (1) anti-coincidence requirements between the segments of segmented germanium detectors and (2) the analysis of the time structure of the detector response. An 18-fold segmented germanium prototype detector for the GERDA neutrinoless double beta-decay experiment was characterized. The rejection of photon induced events was measured for the strongest lines in 60 Co, 152 Eu and 228 Th. An accompanying Monte Carlo simulation was performed and the results were compared to data. An overall agreement with deviations of the order of 5-10% was obtained. The expected background index of the GERDA experiment was estimated. The sensitivity of the GERDA experiment was determined. Special statistical tools were developed to correctly treat the small number of events expected. The GERDA experiment uses a cryogenic liquid as the operational medium for the germanium detectors. It was shown that germanium detectors can be reliably operated through several cooling cycles. (orig.)

  10. Techniques to distinguish between electron and photon induced events using segmented germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kroeninger, K.

    2007-06-05

    Two techniques to distinguish between electron and photon induced events in germanium detectors were studied: (1) anti-coincidence requirements between the segments of segmented germanium detectors and (2) the analysis of the time structure of the detector response. An 18-fold segmented germanium prototype detector for the GERDA neutrinoless double beta-decay experiment was characterized. The rejection of photon induced events was measured for the strongest lines in {sup 60}Co, {sup 152}Eu and {sup 228}Th. An accompanying Monte Carlo simulation was performed and the results were compared to data. An overall agreement with deviations of the order of 5-10% was obtained. The expected background index of the GERDA experiment was estimated. The sensitivity of the GERDA experiment was determined. Special statistical tools were developed to correctly treat the small number of events expected. The GERDA experiment uses a cryogenic liquid as the operational medium for the germanium detectors. It was shown that germanium detectors can be reliably operated through several cooling cycles. (orig.)

  11. High-resolution imaging gamma-ray spectroscopy with externally segmented germanium detectors

    Science.gov (United States)

    Callas, J. L.; Mahoney, W. A.; Varnell, L. S.; Wheaton, W. A.

    1993-01-01

    Externally segmented germanium detectors promise a breakthrough in gamma-ray imaging capabilities while retaining the superb energy resolution of germanium spectrometers. An angular resolution of 0.2 deg becomes practical by combining position-sensitive germanium detectors having a segment thickness of a few millimeters with a one-dimensional coded aperture located about a meter from the detectors. Correspondingly higher angular resolutions are possible with larger separations between the detectors and the coded aperture. Two-dimensional images can be obtained by rotating the instrument. Although the basic concept is similar to optical or X-ray coded-aperture imaging techniques, several complicating effects arise because of the penetrating nature of gamma rays. The complications include partial transmission through the coded aperture elements, Compton scattering in the germanium detectors, and high background count rates. Extensive electron-photon Monte Carlo modeling of a realistic detector/coded-aperture/collimator system has been performed. Results show that these complicating effects can be characterized and accounted for with no significant loss in instrument sensitivity.

  12. Chemical etching of GaAs with a novel low energy ion beam source: a low damage process for device fabrication

    International Nuclear Information System (INIS)

    Beckerman, J.; Jackman, R.B.

    1993-01-01

    If the advantages of physics (anisotropy) can be combined with the advantages of chemistry (damage-free perturbation of the lattice) then an excellent, near damage-free, etching reaction can result. In this context, the promise for ultra-low energy ( -1 . The source does, however, give rise to a coating, derived from the source liner, which must be washed from all etched samples. The presence of such a coating is likely to be the origin of the slow etch rate achieved. After removal of the coating, smooth, mirror-like etched surfaces are apparent. These surfaces perform very well when Schottky diodes are constructed from them showing no deviation from the behaviour of control samples. (author)

  13. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  14. Germanium field-effect transistor made from a high-purity substrate

    International Nuclear Information System (INIS)

    Hansen, W.L.; Goulding, F.S.; Haller, E.E.

    1978-11-01

    Field effect transistors have been fabricated on high-purity germanium substrates using low-temperature technology. The aim of this work is to preserve the low density of trapping centers in high-quality starting material by low-temperature ( 0 C) processing. The use of germanium promises to eliminate some of the traps which cause generation-recombination noise in silicon field-effect transistors (FET's) at low temperatures. Typically, the transconductance (g/sub m/) in the germanium FET's is 10 mA/V and the gate leakage can be less than 10 -12 A. Present devices exhibit a large 1/f noise component and most of this noise must be eliminated if they are to be competitive with silicon FET's commonly used in high-resolution nuclear spectrometers

  15. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  16. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  17. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  18. Multiphysical simulation analysis of the dislocation structure in germanium single crystals

    Science.gov (United States)

    Podkopaev, O. I.; Artemyev, V. V.; Smirnov, A. D.; Mamedov, V. M.; Sid'ko, A. P.; Kalaev, V. V.; Kravtsova, E. D.; Shimanskii, A. F.

    2016-09-01

    To grow high-quality germanium crystals is one of the most important problems of growth industry. The dislocation density is an important parameter of the quality of single crystals. The dislocation densities in germanium crystals 100 mm in diameter, which have various shapes of the side surface and are grown by the Czochralski technique, are experimentally measured. The crystal growth is numerically simulated using heat-transfer and hydrodynamics models and the Alexander-Haasen dislocation model in terms of the CGSim software package. A comparison of the experimental and calculated dislocation densities shows that the dislocation model can be applied to study lattice defects in germanium crystals and to improve their quality.

  19. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  20. γ-ray tracking in germanium: the backtracking method

    International Nuclear Information System (INIS)

    Marel, J. van der; Cederwall, B.

    2002-01-01

    In the framework of a European TMR network project the concept for a γ-ray tracking array is being developed for nuclear physics spectroscopy in the energy range of ∼10 keV up to several MeV. The tracking array will consist of a large number of position-sensitive germanium detectors in a spherical geometry around a target. Due to the high segmentation, a Compton scattered γ-ray will deposit energy in several different segments. A method has been developed to reconstruct the tracks of multiple coincident γ-rays and to find their initial energies. By starting from the final point the track can be reconstructed backwards to the origin with the help of the photoelectric and Compton cross-sections and the Compton scatter formula. Every reconstructed track is given a figure of merit, thus allowing suppression of wrongly reconstructed tracks and γ-rays that have scattered out of the detector system. This so-called backtracking method has been tested on simulated events in a shell-like geometry for germanium and in planar geometries for silicon, germanium and CdTe

  1. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  2. Measurement of bulk etch rates for poly-allyl-diglycol carbonate (PADC) and cellulose nitrate in a broad range of concentration and temperature of NaOH etching solution

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Hunger, M.; Starke, S.; Weickert, F.

    2007-01-01

    In the present work the dependence of the bulk etch rate v B for solid state nuclear track detectors (SSNTD) on the concentration c and the temperature T of the NaOH etching solution has been studied for material types PADC and cellulose nitrate. As commonly applied exponents of PADC and cellulose nitrate material, the commercial products CR-39 and LR-115 were investigated. The concentration and temperature have been varied in the ranges 0.5moll -1 -1 and 313 -1 and T between 313 and 333K for cellulose nitrate, respectively. The application of a simple Arrhenius-law of chemical reactions fails in the interpretation of the dependence on the concentration. A constant activation energy cannot describe the behaviour of v B (c,T) over the whole range of concentration. To understand the deviation, more qualified models treating the superposition of chemical and physical processes including reaction kinetics and material transport phenomena by diffusion have to be developed and tested

  3. Germanium detector studies in the framework of the GERDA experiment

    Energy Technology Data Exchange (ETDEWEB)

    Budjas, Dusan

    2009-05-06

    The GERmanium Detector Array (GERDA) is an ultra-low background experiment under construction at Laboratori Nazionali del Gran Sasso. GERDA will search for {sup 76}Ge neutrinoless double beta decay with an aim for 100-fold reduction in background compared to predecessor experiments. This ambition necessitates innovative design approaches, strict selection of low-radioactivity materials, and novel techniques for active background suppression. The core feature of GERDA is its array of germanium detectors for ionizing radiation, which are enriched in {sup 76}Ge. Germanium detectors are the central theme of this dissertation. The first part describes the implementation, testing, and optimisation of Monte Carlo simulations of germanium spectrometers, intensively involved in the selection of low-radioactivity materials. The simulations are essential for evaluations of the gamma ray measurements. The second part concerns the development and validation of an active background suppression technique based on germanium detector signal shape analysis. This was performed for the first time using a BEGe-type detector, which features a small read-out electrode. As a result of this work, BEGe is now one of the two detector technologies included in research and development for the second phase of the GERDA experiment. A suppression of major GERDA backgrounds is demonstrated, with (0.93{+-}0.08)% survival probability for events from {sup 60}Co, (21{+-}3)% for {sup 226}Ra, and (40{+-}2)% for {sup 228}Th. The acceptance of {sup 228}Th double escape events, which are analogous to double beta decay, was kept at (89{+-}1)%. (orig.)

  4. Growth and characterization of germanium epitaxial film on silicon (001 with germane precursor in metal organic chemical vapour deposition (MOCVD chamber

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2013-09-01

    Full Text Available The quality of germanium (Ge epitaxial film grown directly on a silicon (Si (001 substrate with 6° off-cut using conventional germane precursor in a metal organic chemical vapour deposition (MOCVD system is studied. The growth sequence consists of several steps at low temperature (LT at 400 °C, intermediate temperature ramp (LT-HT of ∼10 °C/min and high temperature (HT at 600 °C. This is followed by post-growth annealing in hydrogen at temperature ranging from 650 to 825 °C. The Ge epitaxial film of thickness ∼ 1 μm experiences thermally induced tensile strain of 0.11 % with a treading dislocation density (TDD of ∼107/cm2 and the root-mean-square (RMS roughness of ∼ 0.75 nm. The benefit of growing Ge epitaxial film using MOCVD is that the subsequent III-V materials can be grown in-situ without the need of breaking the vacuum hence it is manufacturing worthy.

  5. The Effect of Alumina and Magnesia Supported Germanium Nanoparticles on the Growth of Carbon Nanotubes in the Chemical Vapor Deposition Method

    Directory of Open Access Journals (Sweden)

    Ghazaleh Allaedini

    2015-01-01

    Full Text Available The effect of alumina and magnesia supported germanium (Ge nanoparticles on the synthesis of carbon nanotubes (CNTs using the chemical vapor deposition (CVD method in atmospheric pressure was investigated. The TEM micrographs confirmed the formation of carbon nanotubes, and the field emission scanning electron microscopy (FESEM analysis suggested a tip-growth mechanism for the grown carbon nanotubes. The X-ray diffraction (XRD pattern indicated a graphitic nature of the carbon nanotubes. The obtained CNTs using Ge nanoparticles supported by MgO resulted in a higher degree of graphitization than the CNTs obtained using Ge nanoparticles supported by Al2O3. Raman spectroscopy analysis of the CNTs confirmed the presence of radial breathing modes (RBM, which verified the formation of CNTs. High frequency Raman analysis demonstrated that the degree of graphitization of the synthesized CNTs using magnesia supported Ge nanoparticles is higher than that of the alumina supported Ge nanoparticles with the values of (ID/IG ratios equal to 0.45 and 0.73, respectively.

  6. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  7. Germanium geochemistry and mineralogy

    Science.gov (United States)

    Bernstein, L.R.

    1985-01-01

    Germanium is enriched in the following geologic environments: 1. (1) iron meteorites and terrestrial iron-nickel; 2. (2) sulfide ore deposits, particularly those hosted by sedimentary rocks; 3. (3) iron oxide deposits; 4. (4) oxidized zones of Ge-bearing sulfide deposits; 5. (5) pegmatites, greisens, and skarns; and 6. (6) coal and lignitized wood. In silicate melts, Ge is highly siderophile in the presence of native iron-nickel; otherwise, it is highly lithophile. Among silicate minerals, Ge is concentrated in those having less polymerized silicate tetrahedra such as olivine and topaz. In deposits formed from hydrothermal solutions, Ge tends to be enriched mostly in either sulfides or in fluorine-bearing phases; it is thus concentrated both in some hydrothermal sulfide deposits and in pegmatites, greisens, and skarns. In sulfide deposits that formed from solutions having low to moderate sulfur activity, Ge is concentrated in sphalerite in amounts up to 3000 ppm. Sulfide deposits that formed from solutions having higher sulfur activity allowed Ge to either form its own sulfides, particularly with Cu, or to substitute for As, Sn, or other metals in sulfosalts. The Ge in hydrothermal fluids probably derives from enrichment during the fractional crystallization of igneous fluids, or is due to the incorporation of Ge from the country rocks, particularly from those containing organic material. Germanium bonds to lignin-derivative organic compounds that are found in peat and lignite, accounting for its common concentration in coals and related organic material. Germanium is precipitated from water together with iron hydroxide, accounting for its concentration in some sedimentary and supergene iron oxide deposits. It also is able to substitute for Fe in magnetite in a variety of geologic environments. In the oxidized zone of Ge-bearing sulfide deposits, Ge is concentrated in oxides, hydroxides, and hydroxy-sulfates, sometimes forming its own minerals. It is particularly

  8. Quantum interference magnetoconductance of polycrystalline germanium films in the variable-range hopping regime

    Science.gov (United States)

    Li, Zhaoguo; Peng, Liping; Zhang, Jicheng; Li, Jia; Zeng, Yong; Zhan, Zhiqiang; Wu, Weidong

    2018-06-01

    Direct evidence of quantum interference magnetotransport in polycrystalline germanium films in the variable-range hopping (VRH) regime is reported. The temperature dependence of the conductivity of germanium films fulfilled the Mott VRH mechanism with the form of ? in the low-temperature regime (?). For the magnetotransport behaviour of our germanium films in the VRH regime, a crossover, from negative magnetoconductance at the low-field to positive magnetoconductance at the high-field, is observed while the zero-field conductivity is higher than the critical value (?). In the regime of ?, the magnetoconductance is positive and quadratic in the field for some germanium films. These features are in agreement with the VRH magnetotransport theory based on the quantum interference effect among random paths in the hopping process.

  9. Next Generation Germanium Systems for Safeguards Applications

    International Nuclear Information System (INIS)

    Dreyer, J.; Burks, M.; Hull, E.

    2015-01-01

    We are developing the latest generation of highly portable, mechanically cooled germanium systems for safeguard applications. In collaboration with our industrial partner, Ph.D.s Co, we have developed the Germanium Gamma Ray Imager (GeGI), an imager with a 2π field of view. This instrument has been thoroughly field tested in a wide range of environments and have performed reliably even in the harshest conditions. The imaging capability of GeGI complements existing safeguards techniques by allowing for the spatial detection, identification, and characterization of nuclear material. Additionally, imaging can be used in design information verification activities to address potential material diversions. Measurements conducted at the Paducah Gaseous Diffusion Plant highlight the advantages this instrument offers in the identification and localization of LEU, HEU and Pu holdup. GeGI has also been deployed to the Savannah River Site for the measurement of radioactive waste canisters, providing information valuable for waste characterization and inventory accountancy. Measuring 30 x 15 x 23 cm and weighing approximately 15 kg, this instrument is the first portable germanium-based imager. GeGI offers high reliability with the convenience of mechanical cooling, making this instrument ideal for the next generation of safeguards instrumentation. (author)

  10. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  11. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  12. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  13. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  14. Breakthrough in fake prevention. Nuclear track-etching

    International Nuclear Information System (INIS)

    Yan Yushun; He Xiangming; Zhang Quanrong

    1999-01-01

    Nuclear particle track-etched anti-counterfeit marking is a new weapon against fake products. The marks is manufactured by intricate high technology in state-controlled sensitive nuclear facilities which ensures that the mark can not be copied. The pattern of the mark is characterized by its permeability, and can be distinguished from fakes by using a transparent liquid (e.g. water), colored pen or chemical reagent. The technique has passed the of facial health safety examination and poses no danger of nuclear irradiation

  15. Strain distribution in single, suspended germanium nanowires studied using nanofocused x-rays

    DEFF Research Database (Denmark)

    Keplinger, Mario; Grifone, Raphael; Greil, Johannes

    2016-01-01

    Within the quest for direct band-gap group IV materials, strain engineering in germanium is one promising route. We present a study of the strain distribution in single, suspended germanium nanowires using nanofocused synchrotron radiation. Evaluating the probed Bragg reflection for different ill...

  16. Study of radioactive impurities in neutron transmutation doped germanium

    Energy Technology Data Exchange (ETDEWEB)

    Mathimalar, S.; Dokania, N.; Singh, V. [India-based Neutrino Observatory, Tata Institute of Fundamental Research, Mumbai 400 005 (India); Homi Bhabha National Institute, Anushaktinagar, Mumbai 400 094 (India); Nanal, V., E-mail: nanal@tifr.res.in [Department of Nuclear and Atomic Physics, Tata Institute of Fundamental Research, Mumbai 400 005 (India); Pillay, R.G. [Department of Nuclear and Atomic Physics, Tata Institute of Fundamental Research, Mumbai 400 005 (India); Shrivastava, A. [Nuclear Physics Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India); Jagadeesan, K.C.; Thakare, S.V. [Isotope Production and Applications Division, Bhabha Atomic Research Centre, Mumbai 400 085 (India)

    2015-02-21

    A program to develop low temperature (mK) sensors with neutron transmutation doped Ge for rare event studies with a cryogenic bolometer has been initiated. For this purpose, semiconductor grade Ge wafers are irradiated with thermal neutron flux from Dhruva reactor at Bhabha Atomic Research Centre (BARC), Mumbai. Spectroscopic studies of irradiated samples have revealed that the environment of the capsule used for irradiating the sample leads to significant levels of {sup 65}Zn, {sup 110m}Ag and {sup 182}Ta impurities, which can be reduced by chemical etching of approximately ∼50μm thick surface layer. From measurements of the etched samples in the low background counting setup, activity due to trace impurities of {sup 123}Sb in bulk Ge is estimated to be ∼1Bq/g after irradiation. These estimates indicate that in order to use the NTD Ge sensors for rare event studies, a cooldown period of ∼2 years would be necessary to reduce the radioactive background to ≤1mBq/g.

  17. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  18. Effect of chemical structure on the radioactive decay rate of 71Ge

    International Nuclear Information System (INIS)

    Makariunas, K.; Makariuniene, E.; Dragunas, A.

    1979-01-01

    The influence of the chemical structure on the electron capture radioactive decay rate of 71 Ge was observed. 71 Ge nuclei in bivalent sulphide GeS decay faster than in quadrivalent sulphide GeS 2 . The relative change Δlambda/lambda of the decay constant lambda is + (11.4 +- 1.7) X 10 -4 . A possibility to use the experimental values of Δlambda/lambda to determine the chemical changes in the electron density at germanium nuclei in germanium chemical compounds is discussed. Quantitative determination of the changes in the electron density is complicated because of insufficient reliability of the published values of exchange and overlap corrections to the electron capture probabilities. (Auth.)

  19. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  20. Calibration of germanium detectors

    International Nuclear Information System (INIS)

    Bjurman, B.; Erlandsson, B.

    1985-01-01

    This paper describes problems concerning the calibration of germanium detectors for the measurement of gamma-radiation from environmental samples. It also contains a brief description of some ways of reducing the uncertainties concerning the activity determination. These uncertainties have many sources, such as counting statistics, full energy peak efficiency determination, density correction and radionuclide specific-coincidence effects, when environmental samples are investigated at close source-to-detector distances

  1. Thinning of CIGS solar cells: Part I: Chemical processing in acidic bromine solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bouttemy, M.; Tran-Van, P. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Gerard, I., E-mail: gerard@chimie.uvsq.fr [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Hildebrandt, T.; Causier, A. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Pelouard, J.L.; Dagher, G. [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), route de Nozay 91460 Marcoussis (France); Jehl, Z.; Naghavi, N. [Institut de Recherche et Developpement sur l' Energie Photovoltaique (IRDEP -UMR 7174 CNRS/EDF/Chimie-ParisTech), 6 quai Watier, 78401 Chatou (France); Voorwinden, G.; Dimmler, B. [Wuerth Elektronik Research GmbH, Industriestr. 4, 70565 Stuttgart (Germany); Powalla, M. [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung (ZSW), Industriestr. 6, 70565 Stuttgart (Germany); Guillemoles, J.F. [Institut de Recherche et Developpement sur l' Energie Photovoltaique (IRDEP -UMR 7174 CNRS/EDF/Chimie-ParisTech), 6 quai Watier, 78401 Chatou (France); Lincot, D. [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), route de Nozay 91460 Marcoussis (France); Etcheberry, A. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France)

    2011-08-31

    CIGSe absorber was etched in HBr/Br{sub 2}/H{sub 2}O to prepare defined thicknesses of CIGSe between 2.7 and 0.5 {mu}m. We established a reproducible method of reducing the absorber thickness via chemical etching. We determine the dissolution kinetics rate of CIGSe using trace analysis by graphite furnace atomic absorption spectrometry of Ga and Cu. The roughness of the etching surface decreases during the first 500 nm of the etching to a steady state value of the root-mean-square roughness near 50 nm. X-ray photoelectron spectroscopy analyses demonstrate an etching process occurring with a constant chemical composition of the treated surface acidic bromine solutions provide a controlled chemical thinning process resulting in an almost flat surface and a very low superficial Se{sup 0} enrichment.

  2. Electrochemical etching amplification of low-let recoil particle tracks in polymers for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Sohrabi, M.; Morgan, K.Z.

    1975-11-01

    An electrochemical etching method for the amplification of fast-neutron-induced recoil particle tracks in polymers was investigated. The technique gave superior results over those obtained by conventional etching methods especially when polycarbonate foils were used for recoil particle track amplification. Electrochemical etching systems capable of multi-foil processing were designed and constructed to demonstrate the feasibility of the techniques for large-scale neutron dosimetry. Electrochemical etching parameters were studied including the nature or type of the polymer foil used, foil thickness and its effect on etching time, the applied voltage and its frequency, the chemical composition, concentration, and temperature of the etchant, distance and angle between the electrodes, and the type of particles such as recoil particles including protons. Recoil particle track density, mean track diameter, and optical density as functions of the mentioned parameters were determined. Each parameter was found to have a distinct effect on the etching results in terms of the measured responses. Several new characteristics of this fast neutron dosimetry method were studied especially for personnel dosimetry using various radiation sources such as nuclear reactors, medical cyclotrons, and isotopic neutron sources. The dose range, neutron energy dependence, directional response, fading characteristics, neutron threshold energy, etc. were investigated

  3. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  4. Detached Bridgman Growth of Germanium and Germanium-Silicon Alloy Crystals

    Science.gov (United States)

    Szofran, F. R.; Volz, M. P.; Schweizer, M.; Cobb, S. D.; Motakef, S.; Croell, A.; Dold, P.; Curreri, Peter A. (Technical Monitor)

    2002-01-01

    Earth based experiments on the science of detached crystal growth are being conducted on germanium and germanium-silicon alloys (2 at% Si average composition) in preparation for a series of experiments aboard the International Space Station (ISS). The purpose of the microgravity experiments includes differentiating among proposed mechanisms contributing to detachment, and confirming or refining our understanding of the detachment mechanism. Because large contact angle are critical to detachment, sessile drop measurements were used to determine the contact angles as a function of temperature and composition for a large number of substrates made of potential ampoule materials. Growth experiments have used pyrolytic boron nitride (pBN) and fused silica ampoules with the majority of the detached results occurring predictably in the pBN. The contact angles were 173 deg (Ge) and 165 deg (GeSi) for pBN. For fused silica, the contact angle decreases from 150 deg to an equilibrium value of 117 deg (Ge) or from 129 deg to an equilibrium value of 100 deg (GeSi) over the duration of the experiment. The nature and extent of detachment is determined by using profilometry in conjunction with optical and electron microscopy. The stability of detachment has been analyzed, and an empirical model for the conditions necessary to achieve sufficient stability to maintain detached growth for extended periods has been developed. Results in this presentation will show that we have established the effects on detachment of ampoule material, pressure difference above and below the melt, and silicon concentration; samples that are nearly completely detached can be grown repeatedly in pBN.

  5. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  6. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  7. Thermal recrystallization of physical vapor deposition based germanium thin films on bulk silicon (100)

    KAUST Repository

    Hussain, Aftab M.

    2013-08-16

    We demonstrate a simple, low-cost, and scalable process for obtaining uniform, smooth surfaced, high quality mono-crystalline germanium (100) thin films on silicon (100). The germanium thin films were deposited on a silicon substrate using plasma-assisted sputtering based physical vapor deposition. They were crystallized by annealing at various temperatures ranging from 700 °C to 1100 °C. We report that the best quality germanium thin films are obtained above the melting point of germanium (937 °C), thus offering a method for in-situ Czochralski process. We show well-behaved high-κ /metal gate metal-oxide-semiconductor capacitors (MOSCAPs) using this film. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. GRAN SASSO: Enriched germanium in action

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1991-12-15

    Two large crystals of carefully enriched germanium, one weighing 1 kilogram and the other 2.9 kilograms, and worth many millions of dollars, are being carefully monitored in the Italian Gran Sasso Laboratory in the continuing search for neutrinoless double beta decay.

  9. GRAN SASSO: Enriched germanium in action

    International Nuclear Information System (INIS)

    Anon.

    1991-01-01

    Two large crystals of carefully enriched germanium, one weighing 1 kilogram and the other 2.9 kilograms, and worth many millions of dollars, are being carefully monitored in the Italian Gran Sasso Laboratory in the continuing search for neutrinoless double beta decay

  10. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  11. Polypropylene Track Membranes for Mikro and Ultrafiltration of Chemically Aggressive Agents

    CERN Document Server

    Kravets, L I; Apel, P Yu

    2000-01-01

    A production process for track membranes on the basis of chemically resistant polymer polypropylene has been developed. Research in all stages of the formation of the polypropylene track membranes has been conducted: the main principles of the process of chemical etching of polypropylene irradiated with accelerated ions have been investigated, the most effective structure of the etchant for a selective etching of the heavy ion tracks has been selected, the parameters of etching have been optimized. A method for sensibilization of latent tracks in polypropylene by effect of solvents has been developed. It helps to reach a significant increase in etching selectivity. A method for destruction of an absorbed chromocontaining layer on the surface of polypropylene track membranes formed during etching has been elaborated. Experimental samples of the membranes for micro and ultrafiltration have been obtained and their properties have been studied in course of their exploitation in chemically aggressive agents. For t...

  12. Fiber-Optic Refractometer Based on an Etched High-Q ?-Phase-Shifted Fiber-Bragg-Grating

    OpenAIRE

    Zhang, Qi; Ianno, Natale J.; Han, Ming

    2013-01-01

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q p-phase-shifted fiber-Bragg-grating (pFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong pFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched pFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive...

  13. Ultraviolet-light-induced processes in germanium-doped silica

    DEFF Research Database (Denmark)

    Kristensen, Martin

    2001-01-01

    A model is presented for the interaction of ultraviolet (UV) light with germanium-doped silica glass. It is assumed that germanium sites work as gates for transferring the excitation energy into the silica. In the material the excitation induces forbidden transitions to two different defect states...... which are responsible for the observed refractive index changes. Activation energies [1.85 +/-0.15 eV and 1.91 +/-0.15 eV] and rates [(2.7 +/-1.9) x 10(13) Hz and(7.2 +/-4.5) x 10(13) Hz] are determined for thermal elimination of these states. Good agreement is found with experimental results and new UV...

  14. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  15. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  16. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  17. Niobium nitride Josephson junctions with silicon and germanium barriers

    International Nuclear Information System (INIS)

    Cukauskas, E.J.; Carter, W.L.

    1988-01-01

    Niobium nitride based junctions with silicon, germanium, and composite silicon/germanium barriers were fabricated and characterized for several barrier compositions. The current-voltage characteristics were analyzed at several temperatures using the Simmons model and numerical integration of the WKB approximation for the average barrier height and effective thickness. The zero voltage conductance was measured from 1.5 K to 300 K and compared to the Mott hopping conductivity model and the Stratton tunneling temperature dependence. Conductivity followed Mott conductivity at temperatures above 60 K for junctions with less than 100 angstrom thick barriers

  18. Impurity diffusion, point defect engineering, and surface/interface passivation in germanium

    KAUST Repository

    Chroneos, Alexander I.

    2012-01-26

    In recent years germanium has been emerging as a mainstream material that could have important applications in the microelectronics industry. The principle aim of this study is to review investigations of the diffusion of technologically important p- and n-type dopants as well as surface and interface passivation issues in germanium. The diffusion of impurities in germanium is interrelated to the formation of clusters whenever possible, and possibilities for point defect engineering are discussed in view of recent results. The importance of electrically active defects on the Ge surface and interfaces is addressed considering strategies to suppress them and to passivate the surfaces/interfaces, bearing in mind their importance for advanced devices. © 2012 by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Ge14 Br8 (PEt3 )4 : A Subhalide Cluster of Germanium.

    Science.gov (United States)

    Kunz, Tanja; Schrenk, Claudio; Schnepf, Andreas

    2018-04-03

    Heating a metastable solution of Ge I Br to room temperature led to the first structurally characterized metalloid subhalide cluster Ge 14 Br 8 (PEt 3 ) 4 (1). Furthermore 1 can be seen as the first isolated binary halide cluster on the way from Ge I Br to elemental germanium, giving insight into the complex reaction mechanism of its disproportionation reaction. Quantum chemical calculations further indicate that a classical bonding situation is realized within 1 and that the last step of the formation of 1 might include the trapping of GeBr 2 units. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  1. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  2. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  3. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  4. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  5. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  6. Pulse shapes and surface effects in segmented germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Lenz, Daniel

    2010-03-24

    It is well established that at least two neutrinos are massive. The absolute neutrino mass scale and the neutrino hierarchy are still unknown. In addition, it is not known whether the neutrino is a Dirac or a Majorana particle. The GERmanium Detector Array (GERDA) will be used to search for neutrinoless double beta decay of {sup 76}Ge. The discovery of this decay could help to answer the open questions. In the GERDA experiment, germanium detectors enriched in the isotope {sup 76}Ge are used as source and detector at the same time. The experiment is planned in two phases. In the first, phase existing detectors are deployed. In the second phase, additional detectors will be added. These detectors can be segmented. A low background index around the Q value of the decay is important to maximize the sensitivity of the experiment. This can be achieved through anti-coincidences between segments and through pulse shape analysis. The background index due to radioactive decays in the detector strings and the detectors themselves was estimated, using Monte Carlo simulations for a nominal GERDA Phase II array with 18-fold segmented germanium detectors. A pulse shape simulation package was developed for segmented high-purity germanium detectors. The pulse shape simulation was validated with data taken with an 19-fold segmented high-purity germanium detector. The main part of the detector is 18-fold segmented, 6-fold in the azimuthal angle and 3-fold in the height. A 19th segment of 5mm thickness was created on the top surface of the detector. The detector was characterized and events with energy deposited in the top segment were studied in detail. It was found that the metalization close to the end of the detector is very important with respect to the length of the of the pulses observed. In addition indications for n-type and p-type surface channels were found. (orig.)

  7. Pulse shapes and surface effects in segmented germanium detectors

    International Nuclear Information System (INIS)

    Lenz, Daniel

    2010-01-01

    It is well established that at least two neutrinos are massive. The absolute neutrino mass scale and the neutrino hierarchy are still unknown. In addition, it is not known whether the neutrino is a Dirac or a Majorana particle. The GERmanium Detector Array (GERDA) will be used to search for neutrinoless double beta decay of 76 Ge. The discovery of this decay could help to answer the open questions. In the GERDA experiment, germanium detectors enriched in the isotope 76 Ge are used as source and detector at the same time. The experiment is planned in two phases. In the first, phase existing detectors are deployed. In the second phase, additional detectors will be added. These detectors can be segmented. A low background index around the Q value of the decay is important to maximize the sensitivity of the experiment. This can be achieved through anti-coincidences between segments and through pulse shape analysis. The background index due to radioactive decays in the detector strings and the detectors themselves was estimated, using Monte Carlo simulations for a nominal GERDA Phase II array with 18-fold segmented germanium detectors. A pulse shape simulation package was developed for segmented high-purity germanium detectors. The pulse shape simulation was validated with data taken with an 19-fold segmented high-purity germanium detector. The main part of the detector is 18-fold segmented, 6-fold in the azimuthal angle and 3-fold in the height. A 19th segment of 5mm thickness was created on the top surface of the detector. The detector was characterized and events with energy deposited in the top segment were studied in detail. It was found that the metalization close to the end of the detector is very important with respect to the length of the of the pulses observed. In addition indications for n-type and p-type surface channels were found. (orig.)

  8. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  9. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  10. Step voltage with periodic hold-up etching: A novel porous silicon formation

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.; Soukeih, M.

    2007-01-01

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 μA. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching

  11. Step voltage with periodic hold-up etching: A novel porous silicon formation

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)]. E-mail: scientific@aec.org.sy; Awad, F. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic); Soukeih, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)

    2007-05-16

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 {mu}A. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching.

  12. Atomistic simulations of graphite etching at realistic time scales.

    Science.gov (United States)

    Aussems, D U B; Bal, K M; Morgan, T W; van de Sanden, M C M; Neyts, E C

    2017-10-01

    Hydrogen-graphite interactions are relevant to a wide variety of applications, ranging from astrophysics to fusion devices and nano-electronics. In order to shed light on these interactions, atomistic simulation using Molecular Dynamics (MD) has been shown to be an invaluable tool. It suffers, however, from severe time-scale limitations. In this work we apply the recently developed Collective Variable-Driven Hyperdynamics (CVHD) method to hydrogen etching of graphite for varying inter-impact times up to a realistic value of 1 ms, which corresponds to a flux of ∼10 20 m -2 s -1 . The results show that the erosion yield, hydrogen surface coverage and species distribution are significantly affected by the time between impacts. This can be explained by the higher probability of C-C bond breaking due to the prolonged exposure to thermal stress and the subsequent transition from ion- to thermal-induced etching. This latter regime of thermal-induced etching - chemical erosion - is here accessed for the first time using atomistic simulations. In conclusion, this study demonstrates that accounting for long time-scales significantly affects ion bombardment simulations and should not be neglected in a wide range of conditions, in contrast to what is typically assumed.

  13. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  14. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  15. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  16. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  17. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  18. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  19. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  20. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  1. Recrystallization behaviour and electrical properties of germanium ion implanted polycrystalline silicon films

    International Nuclear Information System (INIS)

    Kang, Myeon-Koo; Matsui, Takayuki; Kuwano, Hiroshi

    1996-01-01

    The recrystallization behaviour of undoped and phosphorus-doped polycrystalline silicon films amorphized by germanium ion implantation at doses ranging from 1 x 10 15 to 1 x 10 16 cm -2 are investigated, and the electrical properties of phosphorus-doped films after recrystallization are studied. The phosphorus doping concentration ranges from 3 x 10 18 to 1 x 10 20 cm -3 . It is found that the nucleation rate decreases for undoped films and increases for phosphorus-doped films with increasing germanium dose; the growth rates decrease for both doped and undoped films. The decrease in nucleation rate is caused by the increase in implantation damage. The decrease in growth rate is considered to be due to the increase in lattice strain. The grain size increases with germanium dose for undoped films, but decreases for phosphorus-doped films. The dependence of the electrical properties of the recrystallized films as a function of phosphorus doping concentration with different germanium doses can be explained in terms of the grain size, crystallinity and grain boundary barrier height. (Author)

  2. Development of neutron-transmutation-doped germanium bolometer material

    International Nuclear Information System (INIS)

    Palaio, N.P.

    1983-08-01

    The behavior of lattice defects generated as a result of the neutron-transmutation-doping of germanium was studied as a function of annealing conditions using deep level transient spectroscopy (DLTS) and mobility measurements. DLTS and variable temperature Hall effect were also used to measure the activation of dopant impurities formed during the transmutation process. In additioon, a semi-automated method of attaching wires on to small chips of germanium ( 3 ) for the fabrication of infrared detecting bolometers was developed. Finally, several different types of junction field effect transistors were tested for noise at room and low temperature (approx. 80 K) in order to find the optimum device available for first stage electronics in the bolometer signal amplification circuit

  3. Study of three dimensional germanium islands and ultrathin Si{sub x}Ge{sub 1-x} films grown by chemical vapour deposition on Si(111)-(7 x 7)

    Energy Technology Data Exchange (ETDEWEB)

    Gopalakrishnan, Selvi

    2005-07-15

    This work probed at the atomic level, processes that occur during the Ge three dimensional island formation and on ultrathin Si{sub x}Ge{sub 1-x} epitaxial growth by chemical vapour deposition on the Si(111)-(7 x 7) substrate with the aid of surface probe techniques such as STM and AFM, XPS, as well as TEM imaging of any 3D island formation. This work could essentially be divided into two parts. The first part studied the growth of the strained Ge on Si system with emphasis on the characterisation of the CVD grown three dimensional germanium islands on a standard Si(111)-(7 x 7) substrate as well as on a surface modified Si(111)-(7 x 7) substrate. The characterisation was carried out using a combination of techniques. XPS was used to calculate the effective coverages of deposited germanium, the STM was used to image the top most layers whenever possible and AFM, cross-sectional TEM and HRTEM to image the three dimensional islands. The possible causes of the surface modification were also examined. In the second part of this work the growth morphologies ultrathin Si{sub x}Ge{sub 1-x} layers grown on the Si(111)-(7 x 7) substrate at 750 K where the hydrogen desorption rate from the Si(111) surface is low and at 850 K which was the temperature at which the rate of hydrogen desorption from the Si(111) surface was a maximum were investigated. In addition modelling of ultrathin layer growth was carried out using two existing growth models. (orig.)

  4. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  5. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  6. Organotrichlorogermane synthesis by the reaction of elemental germanium, tetrachlorogermane and organic chloride via dichlorogermylene intermediate.

    Science.gov (United States)

    Okamoto, Masaki; Asano, Takuya; Suzuki, Eiichi

    2004-08-07

    Organotrichlorogermanes were synthesized by the reaction of elemental germanium, tetrachlorogermane and organic chlorides, methyl, propyl, isopropyl and allyl chlorides. Dichlorogermylene formed by the reaction of elemental germanium with tetrachlorogermane was the reaction intermediate, which was inserted into the carbon-chlorine bond of the organic chloride to give organotrichlorogermane. When isopropyl or allyl chloride was used as an organic chloride, organotrichlorogermane was formed also in the absence of tetrachlorogermane. These chlorides were converted to hydrogen chloride, which subsequently reacted with elemental germanium to give the dichlorogermylene intermediate. The reaction of elemental germanium, tetrachlorogermane and organic chlorides provides a simple and easy method for synthesizing organotrichlorogermanes, and all the raw materials are easily available.

  7. Imaging capabilities of germanium gamma cameras

    International Nuclear Information System (INIS)

    Steidley, J.W.

    1977-01-01

    Quantitative methods of analysis based on the use of a computer simulation were developed and used to investigate the imaging capabilities of germanium gamma cameras. The main advantage of the computer simulation is that the inherent unknowns of clinical imaging procedures are removed from the investigation. The effects of patient scattered radiation were incorporated using a mathematical LSF model which was empirically developed and experimentally verified. Image modifying effects of patient motion, spatial distortions, and count rate capabilities were also included in the model. Spatial domain and frequency domain modeling techniques were developed and used in the simulation as required. The imaging capabilities of gamma cameras were assessed using low contrast lesion source distributions. The results showed that an improvement in energy resolution from 10% to 2% offers significant clinical advantages in terms of improved contrast, increased detectability, and reduced patient dose. The improvements are of greatest significance for small lesions at low contrast. The results of the computer simulation were also used to compare a design of a hypothetical germanium gamma camera with a state-of-the-art scintillation camera. The computer model performed a parametric analysis of the interrelated effects of inherent and technological limitations of gamma camera imaging. In particular, the trade-off between collimator resolution and collimator efficiency for detection of a given low contrast lesion was directly addressed. This trade-off is an inherent limitation of both gamma cameras. The image degrading effects of patient motion, camera spatial distortions, and low count rate were shown to modify the improvements due to better energy resolution. Thus, based on this research, the continued development of germanium cameras to the point of clinical demonstration is recommended

  8. HEROICA: A fast screening facility for the characterization of germanium detectors

    Energy Technology Data Exchange (ETDEWEB)

    Andreotti, Erica [Universität Tübingen, Auf der Morgenstelle 14, 72076 Tübingen (Germany); Collaboration: GERDA Collaboration

    2013-08-08

    In the course of 2012, a facility for the fast screening of germanium detectors called HEROICA (Hades Experimental Research Of Intrinsic Crystal Appliances) has been installed at the HADES underground laboratory in the premises of the Belgian Nuclear Research Centre SCK•CEN, in Mol (Belgium). The facility allows performing a complete characterization of the critical germanium detectors' operational parameters with a rate of about two detectors per week.

  9. Interpretation of Mason's equation in terms of measurable electrochemical-etching parameters governing the dielectric breakdown phenomenon

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Durrani, S.A.

    1986-01-01

    A new interpretation of Mason's equation, as applicable to the electrochemical etching (ECE) of tracks in polymers, is presented in terms of physically meaningful track-etching parameters. The values of these parameters have been experimentally obtained by irradiating CR-39 foils with heavy ions ( 238 U and 129 Xe) and α-particles of various energies, and applying chemical pre-etching (CPE), followed by ECE in steps, with increasing electric field until the 'treeing' breakdown is initiated. A value of 1.19 MV cm -1 is obtained for the intrinsic electrical breakdown stress of CR-39. It is found that the track radius r used in Mason's equation is related to the radius rsub(Θ) of a sphere, inscribed within the etch cone, whose lowest point is at a fixed distance lsub(o) above the cone tip, with lsub(o) ≅ 1.75 μm for α-particle tracks of energies 2-5.5 MeV, such that r = 0.43 + rsub(Θ) (in μm). (author)

  10. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  11. A quick method for maintaining the molarity of NaOH solution during continuous etching of CR-39

    International Nuclear Information System (INIS)

    Khan, E.U.; Husaini, S.N.; Malik, F.; Sajid, M.; Karim, S.; Qureshi, I.E.

    2002-01-01

    A series of experiments have been performed to investigate the physio-chemical changes that occurred in the 6 M NaOH solution after etching CR-39 (Pershore and Homalite) detectors, each for 3, 6, 9, 12 and 15 h. Various physical parameters like concentration, electrical conductivity (EC) and density of the solutions have been measured after each etching interval. Results have been discussed in the light of stoichiometrical equation for the interaction of CR-39 with NaOH. It is found that the concentration, EC and the density of the solution, in which CR-39 has been etched, increase linearly with respect to the etching time. The inter-relationship of EC-concentration and density-concentration suggest that the concentration of the etchant can be maintained at certain desired value by adjusting the corresponding value of EC or density, measured through non-destructive and quick methods

  12. Harmonic Lattice Dynamics of Germanium

    Energy Technology Data Exchange (ETDEWEB)

    Nelin, G

    1974-07-01

    The phonon dispersion relations of the DELTA-, LAMBDA-, and SIGMA-directions of germanium at 80 K are analysed in terms of current harmonic lattice dynamical models. On the basis of this experience, a new model is proposed which gives a unified account of the strong points of the previous models. The principal elements of the presented theory are quasiparticle bond charges combined with a valence force field.

  13. Harmonic Lattice Dynamics of Germanium

    International Nuclear Information System (INIS)

    Nelin, G.

    1974-01-01

    The phonon dispersion relations of the Δ-, Λ-, and Σ-directions of germanium at 80 K are analysed in terms of current harmonic lattice dynamical models. On the basis of this experience, a new model is proposed which gives a unified account of the strong points of the previous models. The principal elements of the presented theory are quasiparticle bond charges combined with a valence force field

  14. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  15. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  16. Nonlinear optics in germanium mid-infrared fiber material: Detuning oscillations in femtosecond mid-infrared spectroscopy

    Directory of Open Access Journals (Sweden)

    M. Ordu

    2017-09-01

    Full Text Available Germanium optical fibers hold great promise in extending semiconductor photonics into the fundamentally important mid-infrared region of the electromagnetic spectrum. The demonstration of nonlinear response in fabricated Ge fiber samples is a key step in the development of mid-infrared fiber materials. Here we report the observation of detuning oscillations in a germanium fiber in the mid-infrared region using femtosecond dispersed pump-probe spectroscopy. Detuning oscillations are observed in the frequency-resolved response when mid-infrared pump and probe pulses are overlapped in a fiber segment. The oscillations arise from the nonlinear frequency resolved nonlinear (χ(3 response in the germanium semiconductor. Our work represents the first observation of coherent oscillations in the emerging field of germanium mid-infrared fiber optics.

  17. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  18. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  19. Selective Laser Sintering And Melting Of Pristine Titanium And Titanium Ti6Al4V Alloy Powders And Selection Of Chemical Environment For Etching Of Such Materials

    Directory of Open Access Journals (Sweden)

    Dobrzański L.A.

    2015-09-01

    Full Text Available The aim of the investigations described in this article is to present a selective laser sintering and melting technology to fabricate metallic scaffolds made of pristine titanium and titanium Ti6Al4V alloy powders. Titanium scaffolds with different properties and structure were manufactured with this technique using appropriate conditions, notably laser power and laser beam size. The purpose of such elements is to replace the missing pieces of bones, mainly cranial and facial bones in the implantation treatment process. All the samples for the investigations were designed in CAD/CAM (3D MARCARM ENGINEERING AutoFab (Software for Manufacturing Applications software suitably integrated with an SLS/SLM system. Cube-shaped test samples dimensioned 10×10×10 mm were designed for the investigations using a hexagon-shaped base cell. The so designed 3D models were transferred to the machine software and the actual rapid manufacturing process was commenced. The samples produced according to the laser sintering technology were subjected to chemical processing consisting of etching the scaffolds’ surface in different chemical mediums. Etching was carried out to remove the loosely bound powder from the surface of scaffolds, which might detach from their surface during implantation treatment and travel elsewhere in an organism. The scaffolds created were subjected to micro- and spectroscopic examinations

  20. Characterisation of two AGATA asymmetric high purity germanium capsules

    International Nuclear Information System (INIS)

    Colosimo, S.J.; Moon, S.; Boston, A.J.; Boston, H.C.; Cresswell, J.R.; Harkness-Brennan, L.; Judson, D.S.; Lazarus, I.H.; Nolan, P.J.; Simpson, J.; Unsworth, C.

    2015-01-01

    The AGATA spectrometer is an array of highly segmented high purity germanium detectors. The spectrometer uses pulse shape analysis in order to track Compton scattered γ-rays to increase the efficiency of nuclear spectroscopy studies. The characterisation of two high purity germanium detector capsules for AGATA of the same A-type has been performed at the University of Liverpool. This work will examine the uniformity of performance of the two capsules, including a comparison of the resolution and efficiency as well as a study of charge collection. The performance of the capsules shows good agreement, which is essential for the efficient operation of the γ-ray tracking array

  1. Quantitative spectrographic determination of traces of germanium in lignite

    International Nuclear Information System (INIS)

    Martin, M.; Roca, M.

    1972-01-01

    A burning technique in a d.c. arc at 10 amp has been employed. The standards have been prepared from a natural lignite with a low germanium content. In order to enhance sensitivity, AgCl, K 2 SO 4 , CuF 2 , Sb 2 S 3 and Bi 2 S 3 have been tested as sweeping materials. Using 2% CuF 2 a detection limit of 1 ppm germanium is attainable. Bi, Cu, Sb and Sn have been studied as internal standards: the former leads to the, highest precision (1 6%. Results show good agreement with those obtained by the addition method. (Author) 6 refs

  2. Characterisation of two AGATA asymmetric high purity germanium capsules

    Energy Technology Data Exchange (ETDEWEB)

    Colosimo, S.J., E-mail: sjc@ns.ph.liv.ac.uk [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Moon, S.; Boston, A.J.; Boston, H.C.; Cresswell, J.R.; Harkness-Brennan, L.; Judson, D.S. [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Lazarus, I.H. [STFC Daresbury, Daresbury, Warrington WA4 4AD (United Kingdom); Nolan, P.J. [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom); Simpson, J. [STFC Daresbury, Daresbury, Warrington WA4 4AD (United Kingdom); Unsworth, C. [Department of Physics, Oliver Lodge Laboratory, University of Liverpool, Liverpool L69 7ZE (United Kingdom)

    2015-02-11

    The AGATA spectrometer is an array of highly segmented high purity germanium detectors. The spectrometer uses pulse shape analysis in order to track Compton scattered γ-rays to increase the efficiency of nuclear spectroscopy studies. The characterisation of two high purity germanium detector capsules for AGATA of the same A-type has been performed at the University of Liverpool. This work will examine the uniformity of performance of the two capsules, including a comparison of the resolution and efficiency as well as a study of charge collection. The performance of the capsules shows good agreement, which is essential for the efficient operation of the γ-ray tracking array.

  3. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  4. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  5. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  6. Development of segmented germanium detectors for neutrinoless double beta decay experiments

    International Nuclear Information System (INIS)

    Liu, Jing

    2009-01-01

    The results from neutrino oscillation experiments indicate that at least two neutrinos have mass. However, the value of the masses and whether neutrinos and anti-neutrinos are identical, i.e., Majorana particles, remain unknown. Neutrinoless double beta decay experiments can help to improve our understanding in both cases and are the only method currently possible to tackle the second question. The GERmanium Detector Array (GERDA) experiment, which will search for the neutrinoless double beta decay of 76 Ge, is currently under construction in Hall A of the INFN Gran Sasso National Laboratory (LNGS), Italy. In order to achieve an extremely low background level, segmented germanium detectors are considered to be operated directly in liquid argon which serves simultaneously as cooling and shielding medium. Several test cryostats were built at the Max-Planck-Institut fuer Physik in Muenchen to operate segmented germanium detectors both in vacuum and submerged in cryogenic liquid. The performance and the background discrimination power of segmented germanium detectors were studied in detail. It was proven for the first time that segmented germanium detectors can be operated stably over long periods submerged in a cryogenic liquid. It was confirmed that the segmentation scheme employed does well in the identification of photon induced background and demonstrated for the first time that also neutron interactions can be identified. The C++ Monte Carlo framework, MaGe (Majorana-GERDA), is a joint development of the Majorana and GERDA collaborations. It is based on GEANT4, but tailored especially to simulate the response of ultra-low background detectors to ionizing radiation. The predictions of the simulation were veri ed to be accurate for a wide range of conditions. Some shortcomings were found and corrected. Pulse shape analysis is complementary to segmentation in identifying background events. Its efficiency can only be correctly determined using reliable pulse shape

  7. Development of segmented germanium detectors for neutrinoless double beta decay experiments

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Jing

    2009-06-09

    The results from neutrino oscillation experiments indicate that at least two neutrinos have mass. However, the value of the masses and whether neutrinos and anti-neutrinos are identical, i.e., Majorana particles, remain unknown. Neutrinoless double beta decay experiments can help to improve our understanding in both cases and are the only method currently possible to tackle the second question. The GERmanium Detector Array (GERDA) experiment, which will search for the neutrinoless double beta decay of {sup 76}Ge, is currently under construction in Hall A of the INFN Gran Sasso National Laboratory (LNGS), Italy. In order to achieve an extremely low background level, segmented germanium detectors are considered to be operated directly in liquid argon which serves simultaneously as cooling and shielding medium. Several test cryostats were built at the Max-Planck-Institut fuer Physik in Muenchen to operate segmented germanium detectors both in vacuum and submerged in cryogenic liquid. The performance and the background discrimination power of segmented germanium detectors were studied in detail. It was proven for the first time that segmented germanium detectors can be operated stably over long periods submerged in a cryogenic liquid. It was confirmed that the segmentation scheme employed does well in the identification of photon induced background and demonstrated for the first time that also neutron interactions can be identified. The C++ Monte Carlo framework, MaGe (Majorana-GERDA), is a joint development of the Majorana and GERDA collaborations. It is based on GEANT4, but tailored especially to simulate the response of ultra-low background detectors to ionizing radiation. The predictions of the simulation were veri ed to be accurate for a wide range of conditions. Some shortcomings were found and corrected. Pulse shape analysis is complementary to segmentation in identifying background events. Its efficiency can only be correctly determined using reliable pulse

  8. Fabrication of substrates with curvature for cell cultivation by alpha-particle irradiation and chemical etching of PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Tjhin, V.T.; Lin, A.C.C.; Cheng, J.P.; Cheng, S.H.; Yu, K.N.

    2012-01-01

    In the present paper, we developed a microfabrication technology to generate cell-culture substrates with identical chemistry and well-defined curvature. Micrometer-sized pits with curved surfaces were created on a two-dimensional surface of a polymer known as polyallyldiglycol carbonate (PADC). A PADC film was first irradiated by alpha particles and then chemically etched under specific conditions to generate pits with well-defined curvature at the incident positions of the alpha particles. The surface with these pits was employed as a model system for studying the effects of substrate curvature on cell behavior. As an application, the present work studied mechanosensing of substrate curvature by epithelial cells (HeLa cells) through regulation of microtubule (MT) dynamics. We used end-binding protein 3–green fluorescent protein (EB3–GFP) as a marker of MT growth to show that epithelial cells having migrated into the pits with curved surfaces had significantly smaller MT growth speeds than those having stayed on flat surfaces without the pits.

  9. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  10. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  11. Chemically Tuning the Localized Surface Plasmon Resonances of Gold Nanostructure Arrays

    KAUST Repository

    Zheng, Yue Bing

    2009-04-30

    We report on chemical etching of ordered Au nanostructure arrays to continuously tune their localized surface plasmon resonances (LSPR). Real-time extinction spectra were recorded from both Au nanodisks and nanospheres immobilized on glass substrates when immersed in Au etchant. The time-dependent LSPR frequencies, intensities, and bandwidths were studied theoretically with discrete dipole approximations and the Mie solution, and they were correlated with the evolution of the etched Au nanostructures\\' morphology (as examined by atomic force microscopy). Since this chemical etching method can conveniently and accurately tune LSPR, it offers precise control of plasmonic properties and can be useful in applications such as surfaceenhanced Raman spectroscopy and molecular resonance spectroscopy. © 2009 American Chemical Society.

  12. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  13. Unexpected properties of the inductively coupled plasma induced defect in germanium

    Energy Technology Data Exchange (ETDEWEB)

    Coelho, S.M.M., E-mail: sergio@up.ac.za; Auret, F.D.; Janse van Rensburg, P.J.; Nel, J.M.

    2014-04-15

    Inductively coupled plasma (ICP) etching of germanium introduces a single defect, the E{sub 0.31} electron trap, for a large range of argon partial pressures from 4×10{sup –3} to 6.5×10{sup –4} mbar that correspond to ion energies of 8 to 60 eV. Ge of three crystallographic orientations, (1 0 0), (1 1 0) and (1 1 1), treated with 20 and 60 eV ICP had defect concentration profiles that were similar in appearance, with a maximum concentration of 10{sup 14} cm{sup −3} extending more than a µm into the material, approximately three orders of magnitude deeper than what TRIM simulations predicted. All profiles were measured using Laplace deep level transient spectroscopy (L-DLTS), a technique that is sensitive to defect concentrations as low as 10{sup 11} cm{sup −3}. Isochronal annealing of samples showed concentration curves broadening after a 400 K anneal and decreasing to the 10{sup 13} cm{sup −3} level after a 450 K anneal. Unannealed samples measured after a year exhibited similar decreases in defect concentration without broadening of their profiles. A 550 K anneal lowered the defect concentration to levels below the L-DLTS detection limit. Thereafter additional plasma treatment of the surface failed to reintroduce this defect indicating that the structure required for the formation of E{sub 0.31} was no longer present in the region under observation.

  14. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  15. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  16. Cosmogenic activation of germanium used for tonne-scale rare event search experiments

    Science.gov (United States)

    Wei, W.-Z.; Mei, D.-M.; Zhang, C.

    2017-11-01

    We report a comprehensive study of cosmogenic activation of germanium used for tonne-scale rare event search experiments. The germanium exposure to cosmic rays on the Earth's surface are simulated with and without a shielding container using Geant4 for a given cosmic muon, neutron, and proton energy spectrum. The production rates of various radioactive isotopes are obtained for different sources separately. We find that fast neutron induced interactions dominate the production rate of cosmogenic activation. Geant4-based simulation results are compared with the calculation of ACTIVIA and the available experimental data. A reasonable agreement between Geant4 simulations and several experimental data sets is presented. We predict that cosmogenic activation of germanium can set limits to the sensitivity of the next generation of tonne-scale experiments.

  17. Diffusion of interstitial oxygen in silicon and germanium: a hybrid functional study

    International Nuclear Information System (INIS)

    Colleoni, Davide; Pasquarello, Alfredo

    2016-01-01

    The minimum-energy paths for the diffusion of an interstitial O atom in silicon and germanium are studied through the nudged-elastic-band method and hybrid functional calculations. The reconsideration of the diffusion of O in silicon primarily serves the purpose of validating the procedure for studying the O diffusion in germanium. Our calculations show that the minimum energy path goes through an asymmetric transition state in both silicon and germanium. The stability of these transition states is found to be enhanced by the generation of unpaired electrons in the highest occupied single-particle states. Calculated energy barriers are 2.54 and 2.14 eV for Si and Ge, in very good agreement with corresponding experimental values of 2.53 and 2.08 eV, respectively. (paper)

  18. Crystal Orientation Effect on the Subsurface Deformation of Monocrystalline Germanium in Nanometric Cutting.

    Science.gov (United States)

    Lai, Min; Zhang, Xiaodong; Fang, Fengzhou

    2017-12-01

    Molecular dynamics simulations of nanometric cutting on monocrystalline germanium are conducted to investigate the subsurface deformation during and after nanometric cutting. The continuous random network model of amorphous germanium is established by molecular dynamics simulation, and its characteristic parameters are extracted to compare with those of the machined deformed layer. The coordination number distribution and radial distribution function (RDF) show that the machined surface presents the similar amorphous state. The anisotropic subsurface deformation is studied by nanometric cutting on the (010), (101), and (111) crystal planes of germanium, respectively. The deformed structures are prone to extend along the 110 slip system, which leads to the difference in the shape and thickness of the deformed layer on various directions and crystal planes. On machined surface, the greater thickness of subsurface deformed layer induces the greater surface recovery height. In order to get the critical thickness limit of deformed layer on machined surface of germanium, the optimized cutting direction on each crystal plane is suggested according to the relevance of the nanometric cutting to the nanoindentation.

  19. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  20. Reactivity of silicon and germanium doped CNTs toward aromatic sulfur compounds: A theoretical approach

    International Nuclear Information System (INIS)

    Galano, Annia; Francisco-Marquez, Misaela

    2008-01-01

    Adsorption processes of thiophene and benzothiophene on pristine carbon nanotubes (CNTs), and on CNTs doped with Si or Ge, have been modeled with Density Functional. This is the first study on the chemical reactivity of such doped tubes. The calculated data suggest that the presence of silicon or germanium atoms in CNTs increases their reactivity toward thiophene, and benzothiophene. The adsorption of these species on pristine CNTs seems very unlikely to occur, while the addition products involving doped CNTs were found to be very stable, with respect to the isolated reactants, in terms of Gibbs free energy. Several of these adsorption processes were found to be significantly exergonic (ΔG < 0) in non-polar liquid phase. The results reported in this work suggest that Si and Ge defects on CNTs increase their reactivity toward unsaturated species, and could make them useful in the removal processes of aromatic sulfur compounds from oil-hydrocarbons. However, according to our results, CNTs doped with Si atoms are expected to be more efficient as aromatic sulfur compounds scavengers than those doped with Ge. These results also suggest that the presence of silicon and germanium atoms in the CNTs structures enhances their reactivity toward nucleophilic molecules, compared to pristine carbon nanotubes

  1. Dazai super-large uranium-bearing germanium deposit in western Yunnan region metallogenic geological conditions and prospect

    International Nuclear Information System (INIS)

    Han Yanrong; Yuan Qingbang; Li Yonghua; Zhang Ling; Dai Jiemin

    1995-05-01

    The Dazai super-large uranium-bearing germanium deposit is located in Bangmai Fault Basin, Western Yunnan, China. The basin basement is migmatitic granite and the cover is miocene coal-bearing clastics, Bangmai Formation. The basin development had undergone faulted rhombus basin forming, synsedimentary structure-developing and up-lifted-denuded stages. Synsedimentary faults had controlled distribution of sedimentary formation and lithofacies, and uranium and germanium mineralization. Germanium ore-bodies occur mainly in master lignite-bed of lower rhythmite. Hosted germanium-lignite is taken as main ore-type. Germanium occurs in vitrinite of lignite in the form of metal-organic complex. The metallogenetic geological conditions of the deposit are that ground preparation is uplift zone-migmatitic granite-fault basin-geothermal anomaly area, rich and thick ore-body is controlled by synsedimentary fault, peat-bog phase is favorable to accumulation for ore-forming elements, and unconformity between overlying cover and underlying basement is a channel-way of mineralizing fluid. A multiperiodic composite, being regarded sedimentation and diagenesis as a major process, uranium and germanium ore deposit has been formed through two mineralization. Four prospecting areas have been forecasted and two deposits have been accordingly discovered again. Technical-economic provableness shows that the deposit is characterized by shallow-buried, rich grade, large scale, easy mining and smelting. (9 figs.)

  2. Wet-chemical etching of atom probe tips for artefact free analyses of nanoscaled semiconductor structures.

    Science.gov (United States)

    Melkonyan, D; Fleischmann, C; Veloso, A; Franquet, A; Bogdanowicz, J; Morris, R J H; Vandervorst, W

    2018-03-01

    We introduce an innovative specimen preparation method employing the selectivity of a wet-chemical etching step to improve data quality and success rates in the atom probe analysis of contemporary semiconductor devices. Firstly, on the example of an SiGe fin embedded in SiO 2 we demonstrate how the selective removal of SiO 2 from the final APT specimen significantly improves accuracy and reliability of the reconstructed data. With the oxide removal, we eliminate the origin of shape artefacts, i.e. the formation of a non-hemispherical tip shape, that are typically observed in the reconstructed volume of complex systems. Secondly, using the same approach, we increase success rates to ∼90% for the damage-free, 3D site-specific localization of short (250 nm), vertical Si nanowires at the specimen apex. The impact of the abrupt emitter radius change that is introduced by this specimen preparation method is evaluated as being minor using field evaporation simulation and comparison of different reconstruction schemes. The Ge content within the SiGe fin as well as the 3D boron distribution in the Si NW as resolved by atom probe analysis are in good agreement with TEM/EDS and ToF-SIMS analysis, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.

  3. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  4. Aqueous bromine etching of InP: a specific surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Causier, A.; Bouttemy, M.; Gerard, I.; Aureau, D.; Vigneron, J.; Etcheberry, A. [Institut Lavoisier de Versailles, Versailles-Saint-Quentin University, UMR CNRS 8180, 45 Av. des Etats-Unis, 78035 Versailles (France)

    2012-06-15

    The n -InP behaviour in HBr (0.1-1.0 M)/Br{sub 2} (1.25 x 10{sup -2}M) aqueous solutions is studied by AAS, XPS and SEM-FEG. Indium AAS-titrations of the HBr/Br{sub 2} solutions demonstrate that InP undergoes an etching mechanism whatever the HBr/Br{sub 2} formulation. The etching process is always linear with time but its rate depends on the HBr concentration. XPS analyses permit to link the apparent slow-down of the dissolution process when decreasing the HBr molarity from 1.0 M to 0.1 M to the presence of a mixed (In,P){sub ox} oxide layer on the surface. Therefore, the dissolution process of InP in HBr/Br{sub 2} solution appears to be ruled by the surface chemical state (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  5. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  6. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  7. Performance of a 6x6 segmented germanium detector for {gamma}-ray tracking

    Energy Technology Data Exchange (ETDEWEB)

    Valiente-Dobon, J.J. E-mail: j.valiente-dobon@surrey.ac.uk; Pearson, C.J.; Regan, P.H.; Sellin, P.J.; Gelletly, W.; Morton, E.; Boston, A.; Descovich, M.; Nolan, P.J.; Simpson, J.; Lazarus, I.; Warner, D

    2003-06-01

    A 36 fold segmented germanium coaxial detector has been supplied by EURISYS MESURES. The outer contact is segmented both radially and longitudinally. The signals from the fast preamplifiers have been digitised by 12 bit, 40 MHz ADCs. In this article we report preliminary results obtained using this detector and their relevance for future germanium {gamma}-ray tracking arrays.

  8. Study of the possibility of growing germanium single crystals under low temperature gradients

    Science.gov (United States)

    Moskovskih, V. A.; Kasimkin, P. V.; Shlegel, V. N.; Vasiliev, Y. V.; Gridchin, V. A.; Podkopaev, O. I.; Zhdankov, V. N.

    2014-03-01

    The possibility of growing germanium single crystals under low temperature gradients in order to produce a dislocation-free material has been studied. Germanium crystals with a dislocation density of about 100-200 cm-2 have been grown in a system with a weight control of crystal growth at maximum axial gradients of about 1.5 K/cm.

  9. Germanium-doped gallium phosphide obtained by neutron irradiation

    Science.gov (United States)

    Goldys, E. M.; Barczynska, J.; Godlewski, M.; Sienkiewicz, A.; Heijmink Liesert, B. J.

    1993-08-01

    Results of electrical, optical, electron spin resonance and optically detected magnetic resonance studies of thermal neutron irradiated and annealed at 800 °C n-type GaP are presented. Evidence is found to support the view that the main dopant introduced via transmutation of GaP, germanium, occupies cation sites and forms neutral donors. This confirms the possibility of neutron transmutation doping of GaP. Simultaneously, it is shown that germanium is absent at cation sites. Presence of other forms of Ge-related defects is deduced from luminescence and absorption data. Some of them are tentatively identified as VGa-GeGa acceptors leading to the self-compensation process. This observation means that the neutron transmutation as a doping method in application to GaP is not as efficient as for Si.

  10. Edge morphology evolution of graphene domains during chemical vapor deposition cooling revealed through hydrogen etching.

    Science.gov (United States)

    Zhang, Haoran; Zhang, Yanhui; Zhang, Yaqian; Chen, Zhiying; Sui, Yanping; Ge, Xiaoming; Yu, Guanghui; Jin, Zhi; Liu, Xinyu

    2016-02-21

    During cooling, considerable changes such as wrinkle formation and edge passivation occur in graphene synthesized on the Cu substrate. Wrinkle formation is caused by the difference in the thermal expansion coefficients of graphene and its substrate. This work emphasizes the cooling-induced edge passivation. The graphene-edge passivation can limit the regrowth of graphene at the domain edge. Our work shows that silicon-containing particles tend to accumulate at the graphene edge, and the formation of these particles is related to cooling. Furthermore, a clear curvature can be observed at the graphene edge on the Cu substrate, indicating the sinking of the graphene edge into the Cu substrate. Both the sinking of the graphene edge and the accumulation of silicon-containing particles are responsible for edge passivation. In addition, two kinds of graphene edge morphologies are observed after etching, which were explained by different etching mechanisms that illustrate the changes of the graphene edge during cooling.

  11. Methods of removal of defects arising at liquid etching of polycrystalline silicon

    Directory of Open Access Journals (Sweden)

    Ivanchykou A. E.

    2008-02-01

    Full Text Available The paper presents a model of generation of defects having the form of spots on the surface of the polycrystalline silicon during processing of semiconductor wafers with hydrofluoric acid based etchant, and a model of removal of such defects in chemical solutions. The authors investigate how the centrifuge speed during drying and the relief of structures, produced on the plate, effect the number of defects. It is shown that there is a possibility to remove defects by chemical treatment in the peroxide-ammonia solutions (PAS and also by sequence of chemical cleaning in Karo mixture, SiO2 etching and treatment in PAS.

  12. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  13. Radially Polarized Conical Beam from an Embedded Etched Fiber

    OpenAIRE

    Kalaidji , D.; Spajer , M.; Marthouret , N.; Grosjean , T.

    2009-01-01

    International audience; We propose a method for producing a conical beam based on the lateral refraction of the TM01 mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid...

  14. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  15. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  16. In vitro binding of germanium to proteins of rice shoots

    International Nuclear Information System (INIS)

    Matsumoto, Hideaki; Takahashi, Eiichi

    1976-01-01

    The possibility of in vitro binding between proteins of rice shoots and germanium (Ge) was investigated. The proteins in mixtures of aqueous extracts of rice shoots and radioactive germanium ( 68 GeO 2 ) were fractionated. The binding of radioactivity to the proteins was observed even after 5 successive fractionation steps from the original mixtures. At the final fractionation step using polyacrylamide gel electrophoresis, a constant proportionality between protein concentration and associated radioactivity was found in most samples although not all. These results indicate that the binding of 68 Ge to proteins is not due to the simple adsorption by proteins. (auth.)

  17. Program LEPS to addition of gamma spectra from germanium detectors

    International Nuclear Information System (INIS)

    Romero, L.

    1986-01-01

    The LEP program, written in FORTRAN IV, performs the addition of two spectra, collected with different detectors, from the same sample. This application, adds the two gamma spectra obtained from two opposite LEPS Germanium Detectors (Low Energy Photon Spectrometer), correcting the differences (channel/energy) between both two spectra, and fitting them before adding. The total-spectrum is recorded at the computer memory as a single spectrum. The necessary equipment, to run this program is: - Two opposite germanium detectors, with their associate electronics. - Multichannel analyzer (2048 memory channel minimum) - Computer on-line interfacing to multichannel analyzer. (Author) 4 refs

  18. Characterization of nanocrystalline silicon germanium film and ...

    African Journals Online (AJOL)

    The nanocrystalline silicon-germanium films (Si/Ge) and Si/Ge nanotubes have low band gaps and high carrier mobility, thus offering appealing potential for absorbing gas molecules. Interaction between hydrogen molecules and bare as well as functionalized Si/Ge nanofilm and nanotube was investigated using Monte ...

  19. Coupled chemical reactions in dynamic nanometric confinement: Ag2O membrane formation during ion track etching

    Czech Academy of Sciences Publication Activity Database

    Hernandez, G. M.; Cruz, S. A.; Quintero, R.; Arellano, H. G.; Fink, Dietmar; Alfonta, L.; Mandabi, Y.; Kiv, A.; Vacík, Jiří

    2013-01-01

    Roč. 168, č. 9 (2013), s. 675-695 ISSN 1042-0150 Institutional support: RVO:61389005 Keywords : track * polymers * etching * chemistry * ions * nanostructure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.603, year: 2013

  20. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  1. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  2. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  3. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  4. Electrodeposition at room temperature of amorphous silicon and germanium nanowires in ionic liquid

    Energy Technology Data Exchange (ETDEWEB)

    Martineau, F; Namur, K; Mallet, J; Delavoie, F; Troyon, M; Molinari, M [Laboratoire de Microscopies et d' Etude de Nanostructures (LMEN EA3799), Universite de Reims Champagne Ardennes (URCA), Reims Cedex 2 (France); Endres, F, E-mail: michael.molinari@univ-reims.fr [Institute of Particle Technology, Chair of Interface Processes, Clausthal University of Technology, D-36678 Clausthal-Zellerfeld (Germany)

    2009-11-15

    The electrodeposition at room temperature of silicon and germanium nanowires from the air- and water-stable ionic liquid 1-butyl-1-methylpyrrolidinium bis(trifluoromethanesulfonyl)imide (P{sub 1,4}) containing SiCl{sub 4} as Si source or GeCl{sub 4} as Ge source is investigated by cyclic voltammetry. By using nanoporous polycarbonate membranes as templates, it is possible to reproducibly grow pure silicon and germanium nanowires of different diameters. The nanowires are composed of pure amorphous silicon or germanium. The nanowires have homogeneous cylindrical shape with a roughness of a few nanometres on the wire surfaces. The nanowires' diameters and lengths well match with the initial membrane characteristics. Preliminary photoluminescence experiments exhibit strong emission in the near infrared for the amorphous silicon nanowires.

  5. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  6. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  7. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  8. Germanium films by polymer-assisted deposition

    Science.gov (United States)

    Jia, Quanxi; Burrell, Anthony K.; Bauer, Eve; Ronning, Filip; McCleskey, Thomas Mark; Zou, Guifu

    2013-01-15

    Highly ordered Ge films are prepared directly on single crystal Si substrates by applying an aqueous coating solution having Ge-bound polymer onto the substrate and then heating in a hydrogen-containing atmosphere. A coating solution was prepared by mixing water, a germanium compound, ethylenediaminetetraacetic acid, and polyethyleneimine to form a first aqueous solution and then subjecting the first aqueous solution to ultrafiltration.

  9. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  10. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  11. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  12. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  13. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  14. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  15. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin; Liao, Mingdun; Yang, Xi; Han, Can; Li, Jingqi; Li, Junshuai; Li, Yali; Gao, Pingqi; Ye, Jichun

    2016-01-01

    cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically

  16. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  17. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  18. Mechanically-cooled germanium detector using two stirling refrigerators

    International Nuclear Information System (INIS)

    Katagiri, Masaki; Kobayashi, Yoshii; Takahashi, Koji

    1996-01-01

    In this paper, we present a developed mechanically-cooled germanium gamma-ray detector using Stirling refrigerators. Two Stirling refrigerators having cooling faculty of 1.5W at 80K were used to cool down a germanium detector element to 77K instead of a dewar containing liquid nitrogen. An 145cm 3 (56.0mmf x 59.1 mml) closed-end Ge(I) detector having relative detection efficiency of 29.4% was attached at the refrigerators. The size of the detector was 60cml x 15cmh x 15cmw. The lowest cooling temperature, 70K was obtained after 8 hours operation. The energy resolutions for 1.33MeV gamma-rays and for pulser signals were 2.43keV and 1.84keV at an amplifier shaping time of 2μsec, respectively

  19. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  20. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.