WorldWideScience

Sample records for chemical vapor infiltrated

  1. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  2. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  3. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  4. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  5. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  6. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  7. Measurement of gas transport properties for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1996-12-01

    In the chemical vapor infiltration (CVI) process for fabricating ceramic matrix composites (CMCs), transport of gas phase reactant into the fiber preform is a critical step. The transport can be driven by pressure or by concentration. This report describes methods for measuring this for CVI preforms and partially infiltrated composites. Results are presented for Nicalon fiber cloth layup preforms and composites, Nextel fiber braid preforms and composites, and a Nicalon fiber 3-D weave composite. The results are consistent with a percolating network model for gas transport in CVI preforms and composites. This model predicts inherent variability in local pore characteristics and transport properties, and therefore, in local densification during processing; this may lead to production of gastight composites.

  8. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  9. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  10. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  11. Rapid Chemical Vapor Infiltration of Silicon Carbide Minicomposites at Atmospheric Pressure.

    Science.gov (United States)

    Petroski, Kenneth; Poges, Shannon; Monteleone, Chris; Grady, Joseph; Bhatt, Ram; Suib, Steven L

    2018-02-07

    The chemical vapor infiltration technique is one of the most popular for the fabrication of the matrix portion of a ceramic matrix composite. This work focuses on tailoring an atmospheric pressure deposition of silicon carbide onto carbon fiber tows using the methyltrichlorosilane (CH 3 SiCl 3 ) and H 2 deposition system at atmospheric pressure to create minicomposites faster than low pressure systems. Adjustment of the flow rate of H 2 bubbled through CH 3 SiCl 3 will improve the uniformity of the deposition as well as infiltrate the substrate more completely as the flow rate is decreased. Low pressure depositions conducted at 50 Torr deposit SiC at a rate of approximately 200 nm*h -1 , while the atmospheric pressure system presented has a deposition rate ranging from 750 nm*h -1 to 3.88 μm*h -1 . The minicomposites fabricated in this study had approximate total porosities of 3 and 6% for 10 and 25 SCCM infiltrations, respectively.

  12. Chemical vapor infiltration of TiB{sub 2} composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and test the materials in a static bath and lab-scale Hall cell.

  13. Mass transport measurements and modeling for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Chiang, D.Y.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1997-12-01

    This project involves experimental and modeling investigation of densification behavior and mass transport in fiber preforms and partially densified composites, and application of these results to chemical vapor infiltration (CVI) process modeling. This supports work on-going at ORNL in process development for fabrication of ceramic matrix composite (CMC) tubes. Tube-shaped composite preforms are fabricated at ORNL with Nextel{trademark} 312 fiber (3M Corporation, St. Paul, MN) by placing and compressing several layers of braided sleeve on a tubular mandrel. In terms of fiber architecture these preforms are significantly different than those made previously with Nicalon{trademark} fiber (Nippon Carbon Corp., Tokyo, Japan) square weave cloth. The authors have made microstructure and permeability measurements on several of these preforms and a few partially densified composites so as to better understand their densification behavior during CVI.

  14. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damaging the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.

  15. Study of a new hybrid process combining slurry infiltration and Reactive Chemical Vapour Infiltration for the realisation of Ceramic Matrix Composites

    International Nuclear Information System (INIS)

    Ledain, Olivier

    2014-01-01

    Ceramic matrix composites were originally developed for aerospace,military aeronautics or energy applications thanks to their good properties at high temperature. They are generally made by Chemical Vapor Infiltration (CVI). A new short hybrid process combining fiber preform slurry impregnation of ceramic powders with an innovative Reactive CVI (RCVI) route is proposed to reduce the production time. This route is based on the combination of Reactive Chemical Vapour Deposition (RCVD), which is often used to deposit coatings on fibres, with the Chemical Vapor Infiltration (CVI).In RCVD, the absence of one element of the deposited carbide in the initial gas phase involves the consumption/conversion of the solid substrate. In this work, the RCVD growth and the associated consumption were studied with different parameters in the Ti-H-Cl-C chemical system. The study has been completed with the chemical products analysis, combining XRD, XPS and FTIR. Then, the partial conversion of sub-micrometer carbon powders into titanium carbide and the consolidation of green bodies by RCVI from H 2 /TiCl 4 gaseous infiltration were studied. The residual porosity and the final TiC content were measured in the bulk of the infiltrated powders by image analysis from scanning electron microscopy. Depending on temperature, few hundred micrometers-depth infiltrations are obtained.Finally, the results have been transposed to the RCVI into CMC-type pre-forms. Despite a minimal TiC content of 25% in the overall preform, the results shown a bad homogeneity of the infiltration and a poor cohesion of fibres with RCVI consolidated powder of their environment. (author) [fr

  16. Robust numerical simulation of porosity evolution in chemical vapor infiltration III: three space dimension

    CERN Document Server

    Jin Shi

    2003-01-01

    Chemical vapor infiltration (CVI) process is an important technology to fabricate ceramic matrix composites (CMC's). In this paper, a three-dimension numerical model is presented to describe pore microstructure evolution during the CVI process. We extend the two-dimension model proposed in [S. Jin, X.L. Wang, T.L. Starr, J. Mater. Res. 14 (1999) 3829; S. Jin. X.L. Wang, T.L. Starr, X.F. Chen, J. Comp. Phys. 162 (2000) 467], where the fiber surface is modeled as an evolving interface, to the three space dimension. The 3D method keeps all the virtue of the 2D model: robust numerical capturing of topological changes of the interface such as the merging, and fast detection of the inaccessible pores. For models in the kinetic limit, where the moving speed of the interface is constant, some numerical examples are presented to show that this three-dimension model will effectively track the change of porosity, close-off time, location and shape of all pores.

  17. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  18. Structure and properties of braided sleeve preforms for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    In all composites the properties and structure of the reinforcement strongly influence the performance of the material. For some composites, however, the reinforcement also affects the fabrication process itself exerting an additional, second order influence on performance. This is the case for the chemical vapor infiltration (CVI) process for fabrication of ceramic matrix composites. In this process the matrix forms progressively as a solid deposit, first onto the fiber surfaces, then onto the previous layer of deposit, ultimately growing to fill the inter-fiber porosity. The transport of reactants to the surfaces and the evolved morphology of the matrix depend on the initial reinforcement structure. This structure can vary greatly and is controlled by such factors as fiber size and cross-section, the number of filaments and amount of twist per tow or yarn, and the weave or braid architecture. Often the choice of reinforcement is based on mechanical performance analysis or on the cost and availability of the material or on the temperature stability of the fiber. Given this choice, the composite densification process--CVI--must be optimized to attain a successful material. Ceramic fiber in the form of cylindrical braided sleeve is an attractive choice for fabrication of tube-form ceramic matrix composites. Multiple, concentric layers of sleeve can be placed over a tubular mandrel, compressed and fixed with a binder to form a freestanding tube preform. This fiber architecture is different than that created by layup of plain weave cloth--the material used in most previous CVI development. This report presents the results of the investigation of CVI densification of braided sleeve preforms and the evolution of their structure and transport properties during processing.

  19. Fiber Effects on Minicomposite Mechanical Properties for Several Silicon Carbide Fiber: Chemically Vapor-Infiltrated Silicon Carbide Matrix Systems

    Science.gov (United States)

    Morscher, Gregory N.; Martinez-Fernandez, Julian

    1999-01-01

    Several different types of SiC fiber tows were coated with BN and composited using chemically vapor-infiltrated SiC to form single-tow minicomposites. The types of SiC fiber included Nicalon(sup TM), Hi-Nicalon(sup TM), and the new Sylramic(sup TM) polycrystalline SiC fiber. The interfacial shear stresses were determined from unload-reload tensile hysteresis-loop tests. The ultimate stress and strain properties also were determined for the minicomposites. The ultimate strengths of the newer Hi-Nicalon and Sylramic fibers were superior to that of Nicalon minicomposites with similar fiber volume fractions. The Sylramic minicomposites had the lowest strain to failure and highest interfacial shear strength, respectively, because of the high modulus of the fiber and the rough surface of this fiber type. The apparent interfacial shear strength increased as the stress increased for the Sylramic minicomposites, which also was attributed to the surface roughness of this fiber.

  20. Fiber-reinforced ceramic matrix composites processed by a hybrid technique based on chemical vapor infiltration, slurry impregnation and spark plasma sintering

    International Nuclear Information System (INIS)

    Magnant, J.; Pailler, R.; Le Petitcorps, Y.; Maille, L.; Guette, A.; Marthe, J.

    2013-01-01

    Fabrication of multidirectional continuous carbon and silicon carbide fiber reinforced ceramic matrix composites (CMC) by a new short time hybrid process was studied. This process is based, first, on the deposition of fiber interphase and coating by chemical vapor infiltration, next, on the introduction of silicon nitride powders into the fibrous preform by slurry impregnation and, finally, on the densification of the composite by liquid phase spark plasma sintering (LP-SPS). The homogeneous introduction of the ceramic charges into the multidirectional fiber pre-forms was realized by slurry impregnation from highly concentrated and well-dispersed aqueous colloid suspensions. The chemical degradation of the carbon fibers during the fabrication was prevented by adapting the sintering pressure cycle. The composites manufactured are dense. Microstructural analyses were conducted to explain the mechanical properties achieved. One main important result of this study is that LP-SPS can be used in some hybrid processes to densify fiber reinforced CMC. (authors)

  1. Analysis techniques of lattice fringe images for quantified evaluation of pyrocarbon by chemical vapor infiltration.

    Science.gov (United States)

    Li, Miaoling; Zhao, Hongxia; Qi, Lehua; Li, Hejun

    2014-10-01

    Some image analysis techniques are developed for simplifying lattice fringe images of deposited pyrocarbon in carbon/carbon composites by chemical vapor infiltration. They are mainly the object counting method for detecting the optimum threshold, the self-adaptive morphological filtering, the node-separation technique for breaking the aggregate fringes, and some post processing algorithms for reconstructing the fringes. The simplified fringes are the foundation for defining and extracting quantitative nanostructure parameters of pyrocarbon. The frequency filter window of a Fourier transform is defined as the circular band that retains only those fringes with interlayer distance between 0.3 and 0.45 nm. Some judge criteria are set to define topological relation between fringes. For example, the aspect ratio and area of fringes are employed to detect aggregate fringes. Fringe coaxality and distance between endpoints are used to judge the disconnected fringes. The optimum values are determined by using the iterative correction techniques. The best cut-off value for the short fringes is chosen only when there is a reasonable match between the mean fringe length and the value measured by X-ray diffraction. The adopted techniques have been verified to be feasible and to have the potential to convert the complex lattice fringe image to a set of distinct fringe structures.

  2. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P. [Oak Ridge National Lab., TN (United States); Matlin, W.M.; Liaw, P.K. [Univ. of Tennessee, Knoxville, TN (United States)

    1996-08-01

    Processing equipment for the infiltration of fiber-reinforced composite tubes is being designed that incorporates improvements over the equipment used to infiltrate disks. A computer-controlled machine-man interface is being developed to allow for total control of all processing variables. Additionally, several improvements are being made to the furnace that will reduce the complexity and cost of the process. These improvements include the incorporation of free standing preforms, cast mandrels, and simpler graphite heating elements.

  3. Water vapor mass balance method for determining air infiltration rates in houses

    Science.gov (United States)

    David R. DeWalle; Gordon M. Heisler

    1980-01-01

    A water vapor mass balance technique that includes the use of common humidity-control equipment can be used to determine average air infiltration rates in buildings. Only measurements of the humidity inside and outside the home, the mass of vapor exchanged by a humidifier/dehumidifier, and the volume of interior air space are needed. This method gives results that...

  4. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  5. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  6. TiO2 based photo-catalysts prepared by chemical vapor infiltration (CVI) on micro-fibrous substrates

    International Nuclear Information System (INIS)

    Sarantopoulos, Ch.

    2007-10-01

    This thesis deals with micro-fibrous glass substrates functionalized with TiO 2 . The oxide is deposited as a thin film onto the micro fibres by chemical vapour infiltration (CVI), yielding a photo-catalytic material usable for cleaning polluted air. We studied the relation between the structure of the material and its photo-catalytic efficiency. TiO 2 thin films were prepared at low pressure, in a hot-wall CVD reactor, using Ti(O-iPr) 4 as a precursor. They were characterized by XRD, SEM, EDX, XPS and BET, and by recording the kinetics of decomposition of varied pollutants in solution (orange G, malic acid, imazapyr) and in air (toluene). The conditions favoring the growth of porous films through a columnar growth mode were established by MOCVD-depositing TiO 2 thin films on flat substrates. The subsequent works with micro fibrous thick substrates showed the uniformity of infiltration to be the main factor governing the photo-catalytic efficiency. Operating parameters that optimize infiltration do not yield columnar growth mode. A compromise is necessary. Our photo-catalysts are showing high efficiency comparable, if not higher, to those actually commercialized. These promising results are opening real perspectives for the proposed process. (author)

  7. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  8. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  9. Electrochemical performance of LiFePO4 modified by pressure-pulsed chemical vapor infiltration in lithium-ion batteries

    International Nuclear Information System (INIS)

    Li Jianling; Suzuki, Tomohiro; Naga, Kazuhisa; Ohzawa, Yoshimi; Nakajima, Tsuyoshi

    2007-01-01

    Using the pressure-pulsed chemical vapor infiltration (PCVI) technique, pyrolytic carbon (pyrocarbon) films were deposited on the surface of LiFePO 4 particles for cathode material of lithium-ion batteries. The electrochemical performance of the original LiFePO 4 and PCVIed LiFePO 4 materials was evaluated using a three electrodes cell by galvanostatic charging/discharging at 25, 40 and 55 deg. C, respectively. Morphology and structure of LiFePO 4 were analyzed by SEM, XRD and Raman. The resulting carbon contents at 500, 1000, 2000, 3000 and 5000 pulses were 2.7, 4.7, 9.5, 15.1 and 19.4%, respectively and these samples were abbreviated as 500P, 1000P, 2000P, 3000P and 5000P, respectively. All the PCVIed samples exhibited excellent rate performance. The tendency was more and more obvious with the increase of the current densities. The specific capacities of 500P, 1000P and 2000P were maintained at 117, 124 and 132 mAh g -1 , respectively, which were 120.8, 264.7 and 29.47% larger than those of corresponding original LiFePO 4 , respectively, at a 5C rate at 55 deg. C. The EIS measurement showed that electrochemical reaction resistance (R ct ) of PCVIed LiFePO 4 were obviously decreased, indicating a fast kinetics compared to the original LiFePO 4 . The cycle ability of the 2000P sample was tested at 25 deg. C and C/2 rate. The cell was cycled for 150 cycles and no obviously capacity fade was observed. Its specific capacity of 115 mAh g -1 at 150th cycle is 1.7 times higher than that of original LiFePO 4

  10. Areal variation and chemical modification of weathered shale infiltration characteristics

    International Nuclear Information System (INIS)

    Luxmoore, R.J.; Spalding, B.P.; Munro, I.M.

    1981-01-01

    Spatial variability of infiltration into a weathered shale subsoil was evaluated at a site proximal to one used for shallow land burial of low-level radioactive waste at Oak Ridge National Laboratory. Double-ring infiltometers were installed at 48 locations on a 2- by 2-m grid after the removal of 1 to 2 m of soil (Litz-Sequoia association, Typic Hapludults). Infiltration rates were measured before and during the 0- to 20- and 239- to 259-day periods following treatment with solutions of NaOH, KOH, NaF, NaAlO 2 , and Na 2 SiO 3 at rates of 151 equivalents/m 2 . None of these chemical treatments significantly altered infiltration rate, indicating that chemical modification of soil exchange properties may be achieved without inducing hydrologic disturbance in these subsoils. A semivariogram analysis of infiltration data showed that areal variability was random; any spatial patterning must therefore occur at a smaller scale than 2 m

  11. Modelling of the chemical state in groundwater infiltration systems

    International Nuclear Information System (INIS)

    Zysset, A.

    1993-01-01

    Groundwater is replenished by water stemming either from precipitations, lakes or rivers. The area where such an infiltration occurs is characterized by a change in the environmental conditions, such as a decrease of the flow velocity and an increase in the solid surface marking the boundary of the flow field. With these changes new chemical processes may become relevant to the transport behavior of contaminants. Since the rates of chemical processes usually are a function of the concentrations of several species, an understanding of infiltration sites may require a multicomponent approach. The present study aims at formulating a mathematical model together with its numerical solution for groundwater infiltration sites. Such a model should improve the understanding of groundwater quality changes related to infiltrating contaminants. The groundwater quality is of vital interest to men because at many places most of the drinking water originates from groundwater. In the first part of the present study two partial models are formulated: one accounting for the transport in a one-dimensional, homogeneous and saturated porous medium, the other accounting for chemical reactions. This second model is initially stated for general kinetic systems. Then, it is specified for two systems, namely for a system governed only by reactions which are fast compared to the transport processes and for a system with biologically mediated redox reactions of dissolved substrates. In the second part of the study a numerical solution to the model is developed. For this purpose, the two partial models are coupled. The coupling is either iterative as in the case of a system with fast reactions or sequential as in all other cases. The numerical solutions of simple test cases are compared to analytical solutions. In the third part the model is evaluated using observations of infiltration sites reported in the literature. (author) figs., tabs., 155 refs

  12. Electrochemical performance of LiFePO{sub 4} modified by pressure-pulsed chemical vapor infiltration in lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Li Jianling [Department of Physical Chemistry, University of Science and Technology Beijing, No. 30 College Road, Haidian District, Beijing 100083 (China); Department of Applied Chemistry, Aichi Institute of Technology, Yachigusa 1247, Yakusa-cho, Toyota 470-0392 (Japan)], E-mail: lijianling@metall.ustb.edu.cn; Suzuki, Tomohiro; Naga, Kazuhisa; Ohzawa, Yoshimi; Nakajima, Tsuyoshi [Department of Applied Chemistry, Aichi Institute of Technology, Yachigusa 1247, Yakusa-cho, Toyota 470-0392 (Japan)

    2007-09-25

    Using the pressure-pulsed chemical vapor infiltration (PCVI) technique, pyrolytic carbon (pyrocarbon) films were deposited on the surface of LiFePO{sub 4} particles for cathode material of lithium-ion batteries. The electrochemical performance of the original LiFePO{sub 4} and PCVIed LiFePO{sub 4} materials was evaluated using a three electrodes cell by galvanostatic charging/discharging at 25, 40 and 55 deg. C, respectively. Morphology and structure of LiFePO{sub 4} were analyzed by SEM, XRD and Raman. The resulting carbon contents at 500, 1000, 2000, 3000 and 5000 pulses were 2.7, 4.7, 9.5, 15.1 and 19.4%, respectively and these samples were abbreviated as 500P, 1000P, 2000P, 3000P and 5000P, respectively. All the PCVIed samples exhibited excellent rate performance. The tendency was more and more obvious with the increase of the current densities. The specific capacities of 500P, 1000P and 2000P were maintained at 117, 124 and 132 mAh g{sup -1}, respectively, which were 120.8, 264.7 and 29.47% larger than those of corresponding original LiFePO{sub 4}, respectively, at a 5C rate at 55 deg. C. The EIS measurement showed that electrochemical reaction resistance (R{sub ct}) of PCVIed LiFePO{sub 4} were obviously decreased, indicating a fast kinetics compared to the original LiFePO{sub 4}. The cycle ability of the 2000P sample was tested at 25 deg. C and C/2 rate. The cell was cycled for 150 cycles and no obviously capacity fade was observed. Its specific capacity of 115 mAh g{sup -1} at 150th cycle is 1.7 times higher than that of original LiFePO{sub 4}.

  13. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  14. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  15. A numerical investigation of vapor intrusion--the dynamic response of contaminant vapors to rainfall events.

    Science.gov (United States)

    Shen, Rui; Pennell, Kelly G; Suuberg, Eric M

    2012-10-15

    The U.S. government and various agencies have published guidelines for field investigation of vapor intrusion, most of which suggest soil gas sampling as an integral part of the investigation. Contaminant soil gas data are often relatively more stable than indoor air vapor concentration measurements, but meteorological conditions might influence soil gas values. Although a few field and numerical studies have considered some temporal effects on soil gas vapor transport, a full explanation of the contaminant vapor concentration response to rainfall events is not available. This manuscript seeks to demonstrate the effects on soil vapor transport during and after different rainfall events, by applying a coupled numerical model of fluid flow and vapor transport. Both a single rainfall event and seasonal rainfall events were modeled. For the single rainfall event models, the vapor response process could be divided into three steps: namely, infiltration, water redistribution, and establishment of a water lens atop the groundwater source. In the infiltration step, rainfall intensity was found to determine the speed of the wetting front and wash-out effect on the vapor. The passage of the wetting front led to an increase of the vapor concentration in both the infiltration and water redistribution steps and this effect is noted at soil probes located 1m below the ground surface. When the mixing of groundwater with infiltrated water was not allowed, a clean water lens accumulated above the groundwater source and led to a capping effect which can reduce diffusion rates of contaminant from the source. Seasonal rainfall with short time intervals involved superposition of the individual rainfall events. This modeling results indicated that for relatively deeper soil that the infiltration wetting front could not flood, the effects were damped out in less than a month after rain; while in the long term (years), possible formation of a water lens played a larger role in determining

  16. A Numerical Investigation of Vapor Intrusion — the Dynamic Response of Contaminant Vapors to Rainfall Events

    Science.gov (United States)

    Shen, Rui; Pennell, Kelly G.; Suuberg, Eric M.

    2013-01-01

    The U.S. government and various agencies have published guidelines for field investigation of vapor intrusion, most of which suggest soil gas sampling as an integral part of the investigation. Contaminant soil gas data are often relatively more stable than indoor air vapor concentration measurements, but meteorological conditions might influence soil gas values. Although a few field and numerical studies have considered some temporal effects on soil gas vapor transport, a full explanation of the contaminant vapor concentration response to rainfall events is not available. This manuscript seeks to demonstrate the effects on soil vapor transport during and after different rainfall events, by applying a coupled numerical model of fluid flow and vapor transport. Both a single rainfall event and seasonal rainfall events were modeled. For the single rainfall event models, the vapor response process could be divided into three steps: namely, infiltration, water redistribution, and establishment of a water lens atop the groundwater source. In the infiltration step, rainfall intensity was found to determine the speed of the wetting front and wash-out effect on the vapor. The passage of the wetting front led to an increase of the vapor concentration in both the infiltration and water redistribution steps and this effect is noted at soil probes located 1 m below the ground surface. When the mixing of groundwater with infiltrated water was not allowed, a clean water lens accumulated above the groundwater source and led to a capping effect which can reduce diffusion rates of contaminant from the source. Seasonal rainfall with short time intervals involved superposition of the individual rainfall events. This modeling results indicated that for relatively deeper soil that the infiltration wetting front could not flood, the effects were damped out in less than a month after rain; while in the long term (years), possible formation of a water lens played a larger role in

  17. Relation between chemical shift artifact and infiltration on MR imaging of renal cell carcinoma

    International Nuclear Information System (INIS)

    Yoshigoe, Fukuo; Makino, Hideki; Yanada, Syuichi; Ohishi, Yukihiko; Mashima, Yasuoki; Yamada, Hideo.

    1994-01-01

    Retrospective study on the relation between existence of the interruption and disturbance of chemical shift artifact and tumor infiltration at the periphery of the kidney on MR imaging was evaluated in 28 cases with renal cell carcinoma. Judgement was possible in 9 out of the 11 cases with pathological stage below pT2 and 14 cases out of 17 pT3 cases. Judgement was impracticable in 5 cases because the peripheral fat tissue of the kidney was too less to observe chemical shift artifact and the tumor was spreading at the side opposite to the chemical shift artifact. Chemical shift artifact on MRI in this study correlated well with renal tumor infiltration. (author)

  18. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  19. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  20. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  1. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  2. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  3. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  4. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  5. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  7. SiC/SiC composites by preceramic polymer infiltration and pyrolysis

    International Nuclear Information System (INIS)

    Schiroky, G.H.

    1997-01-01

    Lanxide Corporation has been developing fiber-reinforced silicon carbide matrix composites using the technique of preceramic polymer infiltration and pyrolysis, commonly referred to as the PIP-process. In this method, liquid CERASET TM preceramic polymer is being infiltrated into lay-ups of ceramic fibers, thermoset, and pyrolized at elevated temperatures for conversion into a SiC matrix. Several cycles of reinfiltration and pyrolysis must be performed to build up the SiC matrix because of the increase in density during pyrolysis from 1.0 g/cm 3 for the liquid polymer to between 2.2 and 3.2 g/cm 3 for the ceramic matrix. Composites have been fabricated using three different approaches: first, polymer infiltration of free-standing fiber preforms in which the fiber plies are being held together with a C/SiC duplex coating applied by chemical vapor infiltration; second, infiltration of individually coated fiber plies contained in a mold using the resin transfer molding method; and third, infiltration of vacuum-bagged, individually coated fiber plies using the vacuum assisted resin infiltration technique. Very good mechanical properties of Nicalon TM /SiC and Hi-Nicalon TM /SiC composites have been obtained, with four-point flexural strengths exceeding 400 MPa and toughnesses in the 20 to 30 MPa·m 1/2 range. The thermal conductivity of the fabricated composites is low (below 5 W/m·K) and must be improved substantially to meet the requirements for fusion structural applications. The fabricated components are relatively dense and impermeable to nitrogen, however, are readily permeated by helium. Chemical analysis has indicated the presence of a small amount of nitrogen (ca. 1 wt%) in the SiC material after pyrolysis of the CERASET preceramic polymer at 1600degC. (author)

  8. SiC/SiC composites by preceramic polymer infiltration and pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Schiroky, G.H. [Lanxide Corporation, Newark, DE (United States)

    1997-12-31

    Lanxide Corporation has been developing fiber-reinforced silicon carbide matrix composites using the technique of preceramic polymer infiltration and pyrolysis, commonly referred to as the PIP-process. In this method, liquid CERASET{sup TM} preceramic polymer is being infiltrated into lay-ups of ceramic fibers, thermoset, and pyrolized at elevated temperatures for conversion into a SiC matrix. Several cycles of reinfiltration and pyrolysis must be performed to build up the SiC matrix because of the increase in density during pyrolysis from 1.0 g/cm{sup 3} for the liquid polymer to between 2.2 and 3.2 g/cm{sup 3} for the ceramic matrix. Composites have been fabricated using three different approaches: first, polymer infiltration of free-standing fiber preforms in which the fiber plies are being held together with a C/SiC duplex coating applied by chemical vapor infiltration; second, infiltration of individually coated fiber plies contained in a mold using the resin transfer molding method; and third, infiltration of vacuum-bagged, individually coated fiber plies using the vacuum assisted resin infiltration technique. Very good mechanical properties of Nicalon{sup TM}/SiC and Hi-Nicalon{sup TM}/SiC composites have been obtained, with four-point flexural strengths exceeding 400 MPa and toughnesses in the 20 to 30 MPa{center_dot}m{sup 1/2} range. The thermal conductivity of the fabricated composites is low (below 5 W/m{center_dot}K) and must be improved substantially to meet the requirements for fusion structural applications. The fabricated components are relatively dense and impermeable to nitrogen, however, are readily permeated by helium. Chemical analysis has indicated the presence of a small amount of nitrogen (ca. 1 wt%) in the SiC material after pyrolysis of the CERASET preceramic polymer at 1600degC. (author)

  9. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  10. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  11. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  12. TiO{sub 2} based photo-catalysts prepared by chemical vapor infiltration (CVI) on micro-fibrous substrates; Photocatalyseurs a base de TiO{sub 2} prepares par infiltration chimique en phase vapeur (CVI) sur supports microfibreux

    Energy Technology Data Exchange (ETDEWEB)

    Sarantopoulos, Ch

    2007-10-15

    This thesis deals with micro-fibrous glass substrates functionalized with TiO{sub 2}. The oxide is deposited as a thin film onto the micro fibres by chemical vapour infiltration (CVI), yielding a photo-catalytic material usable for cleaning polluted air. We studied the relation between the structure of the material and its photo-catalytic efficiency. TiO{sub 2} thin films were prepared at low pressure, in a hot-wall CVD reactor, using Ti(O-iPr){sub 4} as a precursor. They were characterized by XRD, SEM, EDX, XPS and BET, and by recording the kinetics of decomposition of varied pollutants in solution (orange G, malic acid, imazapyr) and in air (toluene). The conditions favoring the growth of porous films through a columnar growth mode were established by MOCVD-depositing TiO{sub 2} thin films on flat substrates. The subsequent works with micro fibrous thick substrates showed the uniformity of infiltration to be the main factor governing the photo-catalytic efficiency. Operating parameters that optimize infiltration do not yield columnar growth mode. A compromise is necessary. Our photo-catalysts are showing high efficiency comparable, if not higher, to those actually commercialized. These promising results are opening real perspectives for the proposed process. (author)

  13. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  14. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  15. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  16. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  17. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  18. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  19. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  20. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  1. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  2. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  3. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  4. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  5. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  6. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  7. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  8. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  9. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  10. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  11. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  12. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  13. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  14. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  15. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  16. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  17. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  18. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  19. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  20. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  1. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  2. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  3. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  4. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  5. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  6. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  7. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  8. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  9. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  10. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  11. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  14. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  15. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  16. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  17. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  18. Microwave and RF assisted chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Devlin, D.J.; Barbero, R.S. [Los Alamos National Laboratory, NM (United States)

    1995-05-01

    Work during this reporting period has focused on the development of a CVI technique for rapid production of carbon/carbon and alumina composite systems. The focus of the alumina effort is towards porous materials for membrane supports and hot gas filtration. Industrial interest in these applications include companies such as: Dow, Westinghouse, Amoco and DuPont. Applications for the carbon materials are numerous and include: brakes, sporting goods, biomedical materials, flaps and seals for thrust control, after burner nozzles, turbine engine flaps and rotors. This effort will focus on aircraft brakes. A collaboration is underway with Hitco a major producer of carbon/carbon materials.

  19. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  20. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  1. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  2. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  3. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  4. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  5. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  6. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  7. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  8. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  9. Sequential Vapor Infiltration Treatment Enhances the Ionic Current Rectification Performance of Composite Membranes Based on Mesoporous Silica Confined in Anodic Alumina.

    Science.gov (United States)

    Liang, Yanyan; Liu, Zhengping

    2016-12-20

    Ionic current rectification of nanofluidic diode membranes has been studied widely in recent years because it is analogous to the functionality of biological ion channels in principle. We report a new method to fabricate ionic current rectification membranes based on mesoporous silica confined in anodic aluminum oxide (AAO) membranes. Two types of mesostructured silica nanocomposites, hexagonal structure and nanoparticle stacked structure, were used to asymmetrically fill nanochannels of AAO membranes by a vapor-phase synthesis (VPS) method with aspiration approach and were further modified via sequence vapor infiltration (SVI) treatment. The ionic current measurements indicated that SVI treatment can modulate the asymmetric ionic transport in prepared membranes, which exhibited clear ionic current rectification phenomenon under optimal conditions. The ionic current rectifying behavior is derived from the asymmetry of surface conformations, silica species components, and hydrophobic wettability, which are created by the asymmetrical filling type, silica depositions on the heterogeneous membranes, and the condensation of silanol groups. This article provides a considerable strategy to fabricate composite membranes with obvious ionic current rectification performance via the cooperation of the VPS method and SVI treatment and opens up the potential of mesoporous silica confined in AAO membranes to mimic fluid transport in biological processes.

  10. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  11. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  12. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  13. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  14. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  15. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  16. A visual water vapor photonic crystal sensor with PVA/SiO2 opal structure

    Science.gov (United States)

    Yang, Haowei; Pan, Lei; Han, Yingping; Ma, Lihua; Li, Yao; Xu, Hongbo; Zhao, Jiupeng

    2017-11-01

    In study, we proposed a simple yet fast optical sensing motif based on thimbleful of polyvinyl alcohol (PVA) infiltrated photonic crystal (PC), which allows for high efficiency in vapor sensing through changes in their inter-layer space. Linear response to a broad dynamic range of vapor concentration was realized. Ultrafast response time (<1 s) and excellent recyclability were also demonstrated. Selective response to a vapor was exhibited, reflecting well the characteristic sorption properties of PVA, with which colorimetric reporting was readily achieved. These substantial improvements in performance are attributed to the efficacy of signal transduction and the enhanced signal transduction because of thimbleful PVA infiltrated space between adjacent SiO2 nanospheres.

  17. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  18. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  19. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  20. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  1. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  2. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  3. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  4. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  5. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  6. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  7. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  8. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  9. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  10. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Properties of carbon nano-tubes-Cf/SiC composite by precursor infiltration and pyrolysis process

    International Nuclear Information System (INIS)

    Yu, Haijiao; Zhou, Xingui; Zhang, Wei; Peng, Huaxin; Zhang, Changrui; Sun, Ke

    2011-01-01

    Research highlights: → Carbon nanotubes (CNTs) introduced into carbon fiber reinforced silicon carbide matrix (C f /SiC) composite via the infiltration slurry. → We quantitatively investigate the effects of small quantity CNTs on flexural strength, fracture toughness and RT thermal conductivity of 3D C f /SiC composite. → We combine the advantages of commercial grade CNTs and 3D C f /SiC composite structure with a simple process; provide industry production basis for this composite. -- Abstract: Carbon nanotubes (CNTs) were introduced into the precursor infiltration and pyrolysis (PIP) carbon fiber reinforced silicon carbide matrix (C f /SiC) composite via the infiltration slurry. The weight fraction of CNTs in the composite was 0.765 per mille . The fiber-matrix interface coating was prepared through chemical vapor deposition (CVD) process using methyltrichlorosilane (MTS). Effects of the CNTs on mechanical and thermal properties of the composite were evaluated by three-point bending test, single-edge notched beam (SENB) test, and laser flash method. Attributed to the introduction of the small quantity of CNTs, flexural strength and fracture toughness of the C f /SiC composite both increased by 25%, and thermal conductivity at room temperature increased by 30%.

  12. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  14. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  15. 40 CFR 796.1950 - Vapor pressure.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) CHEMICAL FATE TESTING GUIDELINES Physical and Chemical Properties § 796.1950 Vapor pressure. (a.... In addition, chemicals that are likely to be gases at ambient temperatures and which have low water... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more...

  16. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  17. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  18. In-well vapor stripping drilling and characterization work plan

    International Nuclear Information System (INIS)

    Koegler, K.J.

    1994-01-01

    This work plan provides the information necessary for drilling, sampling, and hydrologic testing of wells to be completed in support of a demonstration of the in-well vapor stripping system. The in-well vapor stripping system is a remediation technology designed to preferentially extract volatile organic compounds (VOCs) from contaminated groundwater by converting them to a vapor phase. Air-lift pumping is used to lift and aerate groundwater within the well. The volatiles escaping the aerated water are drawn off by a slight vacuum and treated at the surface while the water is allowed to infiltrate the vadose zone back to the watertable

  19. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  20. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    Science.gov (United States)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  1. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  2. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  3. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  4. Infiltration control for low-level radioactive solid waste disposal areas: an assessment

    International Nuclear Information System (INIS)

    Arora, H.S.

    1980-11-01

    The primary mode of radionuclide transport from shallow land-disposal sites for low-level wastes can be traced to infiltration of precipitation. This report examines the factors that affect surface water entry and movement in the ground and assesses available infiltration-control technology for solid-waste-disposal sites in the humid eastern portion of the United States. A survey of the literature suggests that a variety of flexible and rigid liner systems are available as barriers for the stored waste and would be effective in preventing water infiltration. Installation of near-surface seals of bentonite clay admixed with dispersive chemicals seem to offer the required durability and low permeability at a reasonable cost. The infiltration rate in a bentonite-sealed area may be further retarded by the application of dispersive chemicals that can be easily admixed with the surface soil. Because the effectiveness of a dispersive chemical for infiltration reduction is influenced by the physico-chemical properties of the soil, appropriate laboratory tests should be conducted prior to field application

  5. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    An electronic nose (e-nose) is a biologically inspired device designed to mimic the operation of the olfactory system. The e-nose utilizes a chemical sensor array consisting of broadly responsive vapor sensors, whose combined response produces a unique pattern for a given compound or mixture. The sensor array is inspired by the biological function of the receptor neurons found in the human olfactory system, which are inherently cross-reactive and respond to many different compounds. The use of an e-nose is an attractive approach to predict unknown odors and is used in many fields for quantitative and qualitative analysis. If properly designed, an e-nose has the potential to adapt to new odors it was not originally designed for through laboratory training and algorithm updates. This would eliminate the lengthy and costly R&D costs associated with materiel and product development. Although e-nose technology has been around for over two decades, much research is still being undertaken in order to find new and more diverse types of sensors. Graphene is a single-layer, 2D material comprised of carbon atoms arranged in a hexagonal lattice, with extraordinary electrical, mechanical, thermal and optical properties due to its 2D, sp2-bonded structure. Graphene has much potential as a chemical sensing material due to its 2D structure, which provides a surface entirely exposed to its surrounding environment. In this configuration, every carbon atom in graphene is a surface atom, providing the greatest possible surface area per unit volume, so that electron transport is highly sensitive to adsorbed molecular species. Graphene has gained much attention since its discovery in 2004, but has not been realized in many commercial electronics. It has the potential to be a revolutionary material for use in chemical sensors due to its excellent conductivity, large surface area, low noise, and versatile surface for functionalization. In this work, graphene is incorporated into a

  6. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  7. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  8. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  9. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    Science.gov (United States)

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  10. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    Science.gov (United States)

    2018-04-27

    Final 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing 5a. CONTRACT...NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING...ORGANIZATION NAME(S) AND ADDRESS(ES) US Army Dugway Proving Ground West Desert Test Center (TEDT-DPW) Dugway, UT 84022-5000 8. PERFORMING ORGANIZATION

  11. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  12. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  13. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  14. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  15. Resonant infiltration of an opal: Reflection line shape and contribution from in-depth regions.

    Science.gov (United States)

    Maurin, Isabelle; Bloch, Daniel

    2015-06-21

    We analyze the resonant variation of the optical reflection on an infiltrated artificial opal made of transparent nanospheres. The resonant infiltration is considered as a perturbation in the frame of a previously described one-dimensional model based upon a stratified effective index. We show that for a thin slice of resonant medium, the resonant response oscillates with the position of this slice. We derive that for adequate conditions of incidence angle, this spatially oscillating behavior matches the geometrical periodicity of the opal and hence the related density of resonant infiltration. Close to these matching conditions, the resonant response of the global infiltration varies sharply in amplitude and shape with the incidence angle and polarization. The corresponding resonant reflection originates from a rather deep infiltration, up to several wavelengths or layers of spheres. Finally, we discuss the relationship between the present predictions and our previous observations on an opal infiltrated with a resonant vapor.

  16. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  17. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  18. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  19. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  20. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  1. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  2. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  3. Temperature Dependence of Electrical Resistance of Woven Melt-Infiltrated SiCf/SiC Ceramic Matrix Composites

    Science.gov (United States)

    Appleby, Matthew P.; Morscher, Gregory N.; Zhu, Dongming

    2016-01-01

    Recent studies have successfully shown the use of electrical resistance (ER)measurements to monitor room temperature damage accumulation in SiC fiber reinforced SiC matrix composites (SiCf/SiC) Ceramic Matrix Composites (CMCs). In order to determine the feasibility of resistance monitoring at elevated temperatures, the present work investigates the temperature dependent electrical response of various MI (Melt Infiltrated)-CVI (Chemical Vapor Infiltrated) SiC/SiC composites containing Hi-Nicalon Type S, Tyranno ZMI and SA reinforcing fibers. Test were conducted using a commercially available isothermal testing apparatus as well as a novel, laser-based heating approach developed to more accurately simulate thermomechanical testing of CMCs. Secondly, a post-test inspection technique is demonstrated to show the effect of high-temperature exposure on electrical properties. Analysis was performed to determine the respective contribution of the fiber and matrix to the overall composite conductivity at elevated temperatures. It was concluded that because the silicon-rich matrix material dominates the electrical response at high temperature, ER monitoring would continue to be a feasible method for monitoring stress dependent matrix cracking of melt-infiltrated SiC/SiC composites under high temperature mechanical testing conditions. Finally, the effect of thermal gradients generated during localized heating of tensile coupons on overall electrical response of the composite is determined.

  4. A Citizen's Guide to Vapor Intrusion Mitigation

    Science.gov (United States)

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  5. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  6. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  7. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  8. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  9. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  10. [Soil infiltration characteristics under main vegetation types in Anji County of Zhejiang Province].

    Science.gov (United States)

    Liu, Dao-Ping; Chen, San-Xiong; Zhang, Jin-Chi; Xie, Li; Jiang, Jiang

    2007-03-01

    The study on the soil infiltration under different main vegetation types in Anji County of Zhejiang Province showed that the characteristics of soil infiltration differed significantly with land use type, and the test eight vegetation types could be classified into four groups, based on soil infiltration capability. The first group, deciduous broadleaved forest, had the strongest soil infiltration capability, and the second group with a stronger soil infiltration capability was composed of grass, pine forest, shrub community and tea bush. Bamboo and evergreen broadleaved forest were classified into the third group with a relatively strong soil infiltration capability, while bare land belonged to the fourth group because of the bad soil structure and poorest soil infiltration capability. The comprehensive parameters of soil infiltration (alpha) and root (beta) were obtained by principal component analysis, and the regression model of alpha and beta could be described as alpha = 0. 1708ebeta -0. 3122. Soil infiltration capability was greatly affected by soil physical and chemical characteristics and root system. Fine roots (soil physical and chemical properties, and the increase of soil infiltration capability was closely related to the amount of the fine roots.

  11. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  12. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  13. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  14. Electrical and structural properties of ZnO synthesized via infiltration of lithographically defined polymer templates

    International Nuclear Information System (INIS)

    Nam, Chang-Yong; Stein, Aaron; Kisslinger, Kim; Black, Charles T.

    2015-01-01

    We investigate the electrical and structural properties of infiltration-synthesized ZnO. In-plane ZnO nanowire arrays with prescribed positional registrations are generated by infiltrating diethlyzinc and water vapor into lithographically defined SU-8 polymer templates and removing organic matrix by oxygen plasma ashing. Transmission electron microscopy reveals that homogeneously amorphous as-infiltrated polymer templates transform into highly nanocrystalline ZnO upon removal of organic matrix. Field-effect transistor device measurements show that the synthesized ZnO after thermal annealing displays a typical n-type behavior, ∼10 19  cm −3 carrier density, and ∼0.1 cm 2 V −1 s −1 electron mobility, reflecting highly nanocrystalline internal structure. The results demonstrate the potential application of infiltration synthesis in fabricating metal oxide electronic devices

  15. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  16. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  17. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  18. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  19. THE INFLUENCE OF INFILTRATION OF LEACHATE FROM LANDFILLS ON THE CHANGES OF CHEMICAL PARAMETERS OF THE SOIL

    Directory of Open Access Journals (Sweden)

    Grzegorz Gałko

    2015-09-01

    Full Text Available The article presented the results of geochemical research of clean soil and soil contaminated with the leachate from the landfill. Two types of soil were studied – brown with a stony foundation and loamy. The aim of the research was to compare the impact of leachate infiltration on the changes of chemical parameters of soils and to determine their buffer properties and susceptibility to contamination on this basis. The obtained results showed that the total acidity, mineral alkalinity and chloride content in the studied soils reduced after the contamination with the landfill leachate. While the chloride content, general hardness and general alkalinity increased. It has been found that the effect of infiltration of the landfill leachate was the significant reduction of mineral substances due to their washout of the soil profile. It has also been shown that the studied landfill leachate contained substantial quantities of substances favourable to the plant growth.

  20. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  1. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  2. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  3. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  4. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  5. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  6. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  7. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  8. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  9. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    Science.gov (United States)

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  10. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  11. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  12. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  13. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  14. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    Science.gov (United States)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  15. A quantitative infrared spectral library of vapor phase chemicals: applications to environmental monitoring and homeland defense

    Science.gov (United States)

    Sharpe, Steven W.; Johnson, Timothy J.; Sams, Robert L.

    2004-12-01

    The utility of infrared spectroscopy for monitoring and early warning of accidental or deliberate chemical releases to the atmosphere is well documented. Regardless of the monitoring technique (open-path or extractive) or weather the spectrometer is passive or active (Fourier transform or lidar) a high quality, quantitative reference library is essential for meaningful interpretation of the data. Pacific Northwest National Laboratory through the support of the Department of Energy has been building a library of pure, vapor phase chemical species for the last 4 years. This infrared spectral library currently contains over 300 chemicals and is expected to grow to over 400 chemicals before completion. The library spectra are based on a statistical fit to many spectra at different concentrations, allowing for rigorous error analysis. The contents of the library are focused on atmospheric pollutants, naturally occurring chemicals, toxic industrial chemicals and chemicals specifically designed to do damage. Applications, limitations and technical details of the spectral library will be discussed.

  16. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  17. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  18. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  19. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  20. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  1. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  2. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  3. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    Energy Technology Data Exchange (ETDEWEB)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization which have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.

  4. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  5. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  6. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    Science.gov (United States)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  7. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  8. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  9. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  11. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  12. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  13. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  14. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  15. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  16. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  17. Combustion synthesis of advanced materials. [using in-situ infiltration technique

    Science.gov (United States)

    Moore, J. J.; Feng, H. J.; Perkins, N.; Readey, D. W.

    1992-01-01

    The combustion synthesis of ceramic-metal composites using an in-situ liquid infiltration technique is described. The effect of varying the reactants and their stoichiometry to provide a range of reactant and product species i.e. solids, liquids and gases, with varying physical properties e.g. thermal conductivity, on the microstructure and morphology of synthesized products is also described. Alternatively, conducting the combustion synthesis reaction in a reactive gas environment is also discussed, in which advantages can be gained from the synergistic effects of combustion synthesis and vapor phase transport. In each case, the effect of the presence or absence of gravity (density) driven fluid flow and vapor transport is discussed as is the potential for producing new and perhaps unique materials by conducting these SHS reactions under microgravity conditions.

  18. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  19. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  20. Nonradioactive Environmental Emissions Chemical Source Term for the Double-Shell Tank (DST) Vapor Space During Waste Retrieval Operations

    International Nuclear Information System (INIS)

    MAY, T.H.

    2000-01-01

    A nonradioactive chemical vapor space source term for tanks on the Phase 1 and the extended Phase 1 delivery, storage, and disposal mission was determined. Operations modeled included mixer pump operation and DST waste transfers. Concentrations of ammonia, specific volatile organic compounds, and quantitative volumes of aerosols were estimated

  1. Laterally Stitched Heterostructures of Transition Metal Dichalcogenide: Chemical Vapor Deposition Growth on Lithographically Patterned Area

    KAUST Repository

    Li, Henan

    2016-10-31

    Two-dimensional transition metal dichalcogenides (TMDCs) have shown great promise in electronics and optoelectronics due to their unique electrical and optical properties. Heterostructured TMDC layers such as the laterally stitched TMDCs offer the advantages of better electronic contact and easier band offset tuning. Here, we demonstrate a photoresist-free focused ion beam (FIB) method to pattern as-grown TMDC monolayers by chemical vapor deposition, where the exposed edges from FIB etching serve as the seeds for growing a second TMDC material to form desired lateral heterostructures with arbitrary layouts. The proposed lithographic and growth processes offer better controllability for fabrication of the TMDC heterostrucuture, which enables the construction of devices based on heterostructural monolayers. © 2016 American Chemical Society.

  2. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  3. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Karamat, S., E-mail: shumailakaramat@gmail.com [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); COMSATS Institute of Information Technology, Islamabad 54000 (Pakistan); Sonuşen, S. [Sabancı Üniversitesi (SUNUM), İstanbul 34956 (Turkey); Çelik, Ü. [Nanomagnetics Instruments, Ankara (Turkey); Uysallı, Y. [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey); Oral, A., E-mail: orahmet@metu.edu.tr [Department of Physics, Middle East Technical University, Ankara 06800 (Turkey)

    2016-04-15

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH){sub 2}. Ba(OH){sub 2} is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO{sub 2}/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH){sub 2}. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO{sub 2}/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH){sub 2} for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and Li

  4. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    International Nuclear Information System (INIS)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-01-01

    Graphical abstract: - Highlights: • Graphene layers were grown on Pt and Cu foil via ambient pressure chemical vapor deposition method and for the delicate removal of graphene from metal catalysts, electrolysis method was used by using different alkaline (sodium hydroxide, potassium hydroxide, lithium hydroxide and barium hydroxide). • The delamination speed of PMMA/graphene stack was higher during the KOH and LiOH electrolysis as compare to NaOH and Ba(OH)_2. Ba(OH)_2 is not advisable because of the residues left on the graphene surface which would further trapped in between graphene and SiO_2/Si surface after transfer. The average peeling time in case of Pt electrode is ∼6 min for KOH and LiOH and ∼15 min for NaOH and Ba(OH)_2. • Electrolysis method also works for the Cu catalyst. The peeling of graphene was faster in the case of Cu foil due to small size of bubbles which moves faster between the stack and the electrode surface. The average peeling time was ∼3–5 min. • XPS analysis clearly showed that the Pt substrates can be re-used again. Graphene layer was transferred to SiO_2/Si substrates and to the flexible substrate by using the same peeling method. - Abstract: In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)_2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and

  5. Vaporization of chemical species and the production of aerosols during a core debris/concrete interaction

    International Nuclear Information System (INIS)

    Butland, A.T.D.; Mignanelli, M.A.; Potter, P.E.; Smith, P.N.

    1987-01-01

    The equilibrium chemical composition within gas bubbles sparging through isothermal molten corium-concrete mixtures has been evaluated theoretically. A series of sensitivity calculations gives some insight into a number of factors which are of importance in determining the radionuclide and non-radioactive releases during core-concrete interaction. The degree of mixing or layering of the pool has turned out to be of paramount importance in determining the magnitudes of the releases. The presence of unoxidized zirconium in the melt tends to enhance the release of a number of species and the type of concrete used for the base mat can have a significant effect. The predictions can be sensitive to the thermodynamic data used in the calculations. The vaporization of various species into the gas bubbles can require large amounts of heat; the loss of this heat from the melt can have an effect on the extent of the vaporization

  6. Spin-Polarized Tunneling through Chemical Vapor Deposited Multilayer Molybdenum Disulfide.

    Science.gov (United States)

    Dankert, André; Pashaei, Parham; Kamalakar, M Venkata; Gaur, Anand P S; Sahoo, Satyaprakash; Rungger, Ivan; Narayan, Awadhesh; Dolui, Kapildeb; Hoque, Md Anamul; Patel, Ram Shanker; de Jong, Michel P; Katiyar, Ram S; Sanvito, Stefano; Dash, Saroj P

    2017-06-27

    The two-dimensional (2D) semiconductor molybdenum disulfide (MoS 2 ) has attracted widespread attention for its extraordinary electrical-, optical-, spin-, and valley-related properties. Here, we report on spin-polarized tunneling through chemical vapor deposited multilayer MoS 2 (∼7 nm) at room temperature in a vertically fabricated spin-valve device. A tunnel magnetoresistance (TMR) of 0.5-2% has been observed, corresponding to spin polarization of 5-10% in the measured temperature range of 300-75 K. First-principles calculations for ideal junctions result in a TMR up to 8% and a spin polarization of 26%. The detailed measurements at different temperature, bias voltages, and density functional theory calculations provide information about spin transport mechanisms in vertical multilayer MoS 2 spin-valve devices. These findings form a platform for exploring spin functionalities in 2D semiconductors and understanding the basic phenomena that control their performance.

  7. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  8. Observation of Zn vacancies in ZnO grown by chemical vapor transport

    Energy Technology Data Exchange (ETDEWEB)

    Tuomisto, F.; Saarinen, K. [Laboratory of Physics, Helsinki University of Technology, P.O. Box 1100, 02015 TKK (Finland); Grasza, K.; Mycielski, A. [Institute of Physics, Polish Academy of Sciences, Lotnikow 32/46, 02-668 Warsaw (Poland)

    2006-03-15

    We have used positron annihilation spectroscopy to study the vacancy defects in ZnO crystals grown by both the conventional and contactless chemical vapor transport (CVT and CCVT). Our results show that Zn vacancies or Zn vacancy related defects are present in as-grown ZnO, irrespective of the growth method. Zn vacancies are observed in CVT-grown undoped ZnO and (Zn,Mn)O. The Zn vacancies present in undoped CCVT-ZnO are the dominant negatively charged point defect in the material. Doping the material with As introduces also Zn vacancy-related defect complexes with larger open volume. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  10. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  11. Macrokinetics of carbon nanotubes synthesis by the chemical vapor deposition method

    Science.gov (United States)

    Rukhov, Artem; Dyachkova, Tatyana; Tugolukov, Evgeny; Besperstova, Galina

    2017-11-01

    A new approach to studying and developing basic processes which take place on the surface of a metal catalyst during the thermal decomposition of carbonaceous substances in the carbon nanotubes synthesis by the chemical vapor deposition method was proposed. In addition, an analysis was made of the interrelationships between these thermal, diffusion, hydrodynamic and other synthesis processes. A strong effect of the catalyst regeneration stage on the stage of nanotube formation has been shown. Based on the developed approach, a mathematical model was elaborated. Comparison of the calculation and the experiment carried out with the NiO-MgO catalyst at propane flow rate of 50 mL/min (standard conditions) and ethanol flow rate 0.3 mL/min (liq.) has revealed a discrepancy of less than 10%.

  12. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  13. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  14. Vaporizing Flow in Hot Fractures: Observations from Laboratory Experiments

    International Nuclear Information System (INIS)

    Kneafsey, T.; Pruess, K.

    1998-01-01

    Understanding water seepage in hot fractured rock is important in a number of fields including geothermal energy recovery and nuclear waste disposal. Heat-generating high-level nuclear waste packages which will be emplaced in the partially saturated fractured tuffs at the potential high-level nuclear waste repository at Yucca Mountain, Nevada, if it becomes a high-level nuclear waste repository, will cause significant impacts on moisture distribution and migration. Liquid water, which occupies anywhere from 30 to 100% of the porespace, will be vaporized as the temperature reaches the boiling temperature. Flowing primarily in fractures, the vapor will condense where it encounters cooler rock, generating mobile water. This water will flow under gravitational and capillary forces and may flow back to the vicinity of the emplaced waste where it may partially escape vaporization. Water flowing down (sub-) vertical fractures may migrate considerable distances through fractured rock that is at above-boiling temperatures; thus, flowing condensate may contact waste packages, and provide a pathway for the transport of water-soluble radionuclides downward to the saturated zone. Thermally-driven flow processes induced by repository heat may be as important or even more important for repository performance than natural infiltration. For a nominal thermal loading of 57 kW/acre, vaporization may generate an average equivalent percolation flux from condensate of 23.1 mm/yr over 1,000 years, and 5.2 mm/yr over 10,000 years. These numbers are comparable to or larger than current estimates of net infiltration at Yucca Mountain. This condensate, which is generated in the immediate vicinity (meters) of the waste packages, will likely have a larger impact on waste package and repository performance than a similar amount of water introduced at the land surface

  15. Vertically aligned carbon nanotube field emitter arrays with Ohmic base contact to silicon by Fe-catalyzed chemical vapor deposition

    NARCIS (Netherlands)

    Morassutto, M.; Tiggelaar, Roald M.; Smithers, M.A.; Smithers, M.A.; Gardeniers, Johannes G.E.

    2016-01-01

    Abstract In this study, dense arrays of aligned carbon nanotubes are obtained by thermal catalytic chemical vapor deposition, using Fe catalyst dispersed on a thin Ta layer. Alignment of the carbon nanotubes depends on the original Fe layer thickness from which the catalyst dispersion is obtained by

  16. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  17. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  18. Performance Improvement of an Inhomogeneous Cathode by Infiltration

    DEFF Research Database (Denmark)

    Seyed-Vakili, S. V.; Graves, Christopher R.; Babaei, A.

    2017-01-01

    The performance of solid oxide fuel cells (SOFCs) is considerably influenced by the microstructure and chemical composition of cathode materials. Porous La0.85Sr0.15FeO3– Ce0.9Gd0.1O2 composite electrodes were infiltrated by La0.6Sr0.4CoO3 and La0.6Sr0.4FeO3. The effects of infiltration loading...... performance of the electrodes. The electrochemical results revealed that the polarization resistance of the cathodes significantly was decreased by infiltration from 2.59 to 0.034 Ω cm2 measured at 670 °C. The best electrode performance was achieved at a calcination temperature of 770 °C. It was also found...

  19. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  20. Sensing performance of plasma-enhanced chemical vapor deposition SiC-SiO2-SiC horizontal slot waveguides

    NARCIS (Netherlands)

    Pandraud, G.; Margallo-Balbas, E.; Sarro, P.M.

    2012-01-01

    We have studied, for the first time, the sensing capabilities of plasma-enhanced chemical vapor deposition (PECVD) SiC-SiO2-SiC horizontal slot waveguides. Optical propagation losses were measured to be 23.9 dB?cm for the quasi-transverse magnetic mode. To assess the potential of this device as a

  1. Effects of Preform Density on Structure and Property of C/C-SiC Composites Fabricated by Gaseous Silicon Infiltration

    Directory of Open Access Journals (Sweden)

    CAO Yu

    2016-07-01

    Full Text Available The 3-D needled C/C preforms with different densities deposited by chemical vapor infiltration (CVI method were used to fabricate C/C-SiC composites by gaseous silicon infiltration (GSI. The porosity and CVI C thickness of the preforms were studied, and the effects of preform density on the mechanical and thermal properties of C/C-SiC composites were analyzed. The results show that with the increase of preform density, the preform porosity decreases and the CVI C thickness increases from several hundred nanometers to several microns. For the C/C-SiC composites, as the preform density increases, the residual C content increases while the density and residual Si content decreases. The SiC content first keeps at a high level of about 40% (volume fraction, which then quickly reduces. Meanwhile, the mechanical properties increase to the highest values when the preform density is 1.085g/cm3, with the flexure strength up to 308.31MP and fracture toughness up to 11.36MPa·m1/2, which then decrease as the preform density further increases. The thermal conductivity and CTE of the composites, however, decrease with the increase of preform density. It is found that when the preform porosity is too high, sufficient infiltration channels lead to more residual Si, and thinner CVI C thickness results in the severe corrosion of the reinforcing fibers by Si and lower mechanical properties. When the preform porosity is relatively low, the contents of Si and SiC quickly reduce since the infiltration channels are rapidly blocked, resulting in the formation of large closed pores and not high mechanical properties.

  2. The structure and growth mechanism of Si nanoneedles prepared by plasma-enhanced chemical vapor deposition

    Czech Academy of Sciences Publication Activity Database

    Červenka, Jiří; Ledinský, Martin; Stuchlík, Jiří; Stuchlíková, The-Ha; Bakardjieva, Snejana; Hruška, Karel; Fejfar, Antonín; Kočka, Jan

    2010-01-01

    Roč. 21, č. 41 (2010), 415604/1-415604/7 ISSN 0957-4484 R&D Projects: GA MŠk(CZ) LC06040; GA AV ČR KAN400100701; GA MŠk LC510 EU Projects: European Commission(XE) 240826 - PolySiMode Institutional research plan: CEZ:AV0Z10100521; CEZ:AV0Z40320502 Keywords : nanoneedles * nanowires * silicon * plasma * chemical vapor deposition * crystal structure * growth * phonon * SEM * Raman Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.644, year: 2010

  3. The role of surface chemical analysis in a study to select replacement processes for TCA vapor degreasing

    Science.gov (United States)

    Lesley, Michael W.; Davis, Lawrence E.; Moulder, John F.; Carlson, Brad A.

    1995-01-01

    The role of surface-sensitive chemical analysis (ESCA, AES, and SIMS) in a study to select a process to replace 1, 1, 1-trichloroethane (TCA) vapor degreasing as a steel and aluminum bonding surface preparation method is described. The effort was primarily concerned with spray-in-air cleaning processes involving aqueous alkaline and semi-aqueous cleaners and a contamination sensitive epoxy-to-metal bondline. While all five cleaners tested produced bonding strength results equal to or better than those produced by vapor degreasing, the aqueous alkaline cleaners yielded results which were superior to those produced by the semi-aqueous cleaners. The main reason for the enhanced performance appears to be a silicate layer left behind by the aqueous alkaline cleaners. The silicate layer increases the polarity of the surface and enhances epoxy-to-metal bonding. On the other hand, one of the semi-aqueous cleaners left a nonpolar carbonaceous residue which appeared to have a negative effect on epoxy-to-metal bonding. Differences in cleaning efficiency between cleaners/processes were also identified. These differences in surface chemistry, which were sufficient to affect bonding, were not detected by conventional chemical analysis techniques.

  4. Anisotropic Friction of Wrinkled Graphene Grown by Chemical Vapor Deposition.

    Science.gov (United States)

    Long, Fei; Yasaei, Poya; Yao, Wentao; Salehi-Khojin, Amin; Shahbazian-Yassar, Reza

    2017-06-21

    Wrinkle structures are commonly seen on graphene grown by the chemical vapor deposition (CVD) method due to the different thermal expansion coefficient between graphene and its substrate. Despite the intensive investigations focusing on the electrical properties, the nanotribological properties of wrinkles and the influence of wrinkle structures on the wrinkle-free graphene remain less understood. Here, we report the observation of anisotropic nanoscale frictional characteristics depending on the orientation of wrinkles in CVD-grown graphene. Using friction force microscopy, we found that the coefficient of friction perpendicular to the wrinkle direction was ∼194% compare to that of the parallel direction. Our systematic investigation shows that the ripples and "puckering" mechanism, which dominates the friction of exfoliated graphene, plays even a more significant role in the friction of wrinkled graphene grown by CVD. The anisotropic friction of wrinkled graphene suggests a new way to tune the graphene friction property by nano/microstructure engineering such as introducing wrinkles.

  5. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  6. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L

    2003-01-15

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/{mu}m and a field enhancement factor {beta}=5230 on randomly oriented 10-nm diameter CNTs.

  7. Diameter control and emission properties of carbon nanotubes grown using chemical vapor deposition

    International Nuclear Information System (INIS)

    Kaatz, F.H.; Siegal, M.P.; Overmyer, D.L.; Provencio, P.P.; Jackson, J.L.

    2003-01-01

    We grow multiwalled carbon nanotubes (CNTs) via thermal chemical vapor deposition from a sputtered 4-nm-thick nickel catalyst film on a tungsten-coated silicon substrate. CNTs grow from a mixture of nitrogen and acetylene gases at temperatures ranging from 630 to 790 deg. C, resulting in CNT outer diameters of 5-350 nm. CNT diameters increase exponentially with temperature. These results define regimes for template growth fabricated in catalytically active anodized aluminum oxide (AAO) with controlled pinhole sizes ranging from 10 to 50 nm. We measure a threshold electron emission field of 3 V/μm and a field enhancement factor β=5230 on randomly oriented 10-nm diameter CNTs

  8. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  9. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Silver chemical vapor generation for atomic absorption spectrometry: Minimization of transport losses, interferences and application to water analysis

    Czech Academy of Sciences Publication Activity Database

    Musil, Stanislav; Kratzer, Jan; Vobecký, Miloslav; Benada, Oldřich; Matoušek, Tomáš

    2010-01-01

    Roč. 25, č. 10 (2010), s. 1618-1626 ISSN 0267-9477 R&D Projects: GA ČR GA203/09/1783 Institutional research plan: CEZ:AV0Z40310501; CEZ:AV0Z50200510 Keywords : chemical vapor generation * 111Ag radioindicator * transport losses Subject RIV: CB - Analytical Chemistry, Separation Impact factor: 4.372, year: 2010

  11. Linking denitrification and infiltration rates during managed groundwater recharge.

    Science.gov (United States)

    Schmidt, Calla M; Fisher, Andrew T; Racz, Andrew J; Lockwood, Brian S; Huertos, Marc Los

    2011-11-15

    We quantify relations between rates of in situ denitrification and saturated infiltration through shallow, sandy soils during managed groundwater recharge. We used thermal methods to determine time series of point-specific flow rates, and chemical and isotopic methods to assess denitrification progress. Zero order denitrification rates between 3 and 300 μmol L(-1) d(-1) were measured during infiltration. Denitrification was not detected at times and locations where the infiltration rate exceeded a threshold of 0.7 ± 0.2 m d(-1). Pore water profiles of oxygen and nitrate concentration indicated a deepening of the redoxocline at high flow rates, which reduced the thickness of the zone favorable for denitrification. Denitrification rates were positively correlated with infiltration rates below the infiltration threshold, suggesting that for a given set of sediment characteristics, there is an optimal infiltration rate for achieving maximum nitrate load reduction and improvements to water supply during managed groundwater recharge. The extent to which results from this study may be extended to other managed and natural hydrologic settings remains to be determined, but the approach taken in this study should be broadly applicable, and provides a quantitative link between shallow hydrologic and biogeochemical processes.

  12. Growth of GaN micro/nanolaser arrays by chemical vapor deposition.

    Science.gov (United States)

    Liu, Haitao; Zhang, Hanlu; Dong, Lin; Zhang, Yingjiu; Pan, Caofeng

    2016-09-02

    Optically pumped ultraviolet lasing at room temperature based on GaN microwire arrays with Fabry-Perot cavities is demonstrated. GaN microwires have been grown perpendicularly on c-GaN/sapphire substrates through simple catalyst-free chemical vapor deposition. The GaN microwires are [0001] oriented single-crystal structures with hexagonal cross sections, each with a diameter of ∼1 μm and a length of ∼15 μm. A possible growth mechanism of the vertical GaN microwire arrays is proposed. Furthermore, we report room-temperature lasing in optically pumped GaN microwire arrays based on the Fabry-Perot cavity. Photoluminescence spectra exhibit lasing typically at 372 nm with an excitation threshold of 410 kW cm(-2). The result indicates that these aligned GaN microwire arrays may offer promising prospects for ultraviolet-emitting micro/nanodevices.

  13. Response of the ionosphere to the injection of chemically reactive vapors

    International Nuclear Information System (INIS)

    Bernhardt, P.A.

    1976-05-01

    As a gas released in the ionosphere expands, it is rapidly cooled. When the vapor becomes sufficiently tenuous, it is reheated by collisions with the ambient atmosphere, and its flow is then governed by diffusive expansion. As the injected gas becomes well mixed with the plasma, a hole is created by chemical processes. In the case of diatomic hydrogen release, depression of the electron concentrations is governed by the charge exchange reaction between oxygen ions and hydrogen, producing positive hydroxyl ions. Hydroxyl ions rapidly react with the electron gas to produce excited oxygen and hydrogen atoms. Enhanced airglow emissions result from the transition of the excited atoms to lower energy states. The electron temperature in the depleted region rises sharply and this rise causes a thermal expansion of the plasma and a further reduction in the local plasma concentration

  14. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  15. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  16. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  17. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  18. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  19. Room temperature synthesis of porous SiO2 thin films by plasma enhanced chemical vapor deposition

    OpenAIRE

    Barranco Quero, Ángel; Cotrino Bautista, José; Yubero Valencia, Francisco; Espinós, J. P.; Rodríguez González-Elipe, Agustín

    2004-01-01

    Synthesis of porous SiO2 thin films in room temperature was carried out using plasma enhanced chemical vapor deposition (CVD) in an electron cyclotron resonance microwave reactor with a downstream configuration.The gas adsorption properties and the type of porosity of the SiO2 thin films were assessed by adsorption isotherms of toluene at room temperature.The method could also permit the tailoring synthesis of thin films when both composition and porosity can be simultaneously and independent...

  20. Estimating enthalpy of vaporization from vapor pressure using Trouton's rule.

    Science.gov (United States)

    MacLeod, Matthew; Scheringer, Martin; Hungerbühler, Konrad

    2007-04-15

    The enthalpy of vaporization of liquids and subcooled liquids at 298 K (delta H(VAP)) is an important parameter in environmental fate assessments that consider spatial and temporal variability in environmental conditions. It has been shown that delta H(VAP)P for non-hydrogen-bonding substances can be estimated from vapor pressure at 298 K (P(L)) using an empirically derived linear relationship. Here, we demonstrate that the relationship between delta H(VAP)and PL is consistent with Trouton's rule and the ClausiusClapeyron equation under the assumption that delta H(VAP) is linearly dependent on temperature between 298 K and the boiling point temperature. Our interpretation based on Trouton's rule substantiates the empirical relationship between delta H(VAP) degree and P(L) degrees for non-hydrogen-bonding chemicals with subcooled liquid vapor pressures ranging over 15 orders of magnitude. We apply the relationship between delta H(VAP) degrees and P(L) degrees to evaluate data reported in literature reviews for several important classes of semivolatile environmental contaminants, including polycyclic aromatic hydrocarbons, chlorobenzenes, polychlorinated biphenyls and polychlorinated dibenzo-dioxins and -furans and illustrate the temperature dependence of results from a multimedia model presented as a partitioning map. The uncertainty associated with estimating delta H(VAP)degrees from P(L) degrees using this relationship is acceptable for most environmental fate modeling of non-hydrogen-bonding semivolatile organic chemicals.

  1. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  2. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing.

    Science.gov (United States)

    Piszter, Gábor; Kertész, Krisztián; Bálint, Zsolt; Biró, László Péter

    2016-09-07

    Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  3. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  4. Prediction of the vapor pressure and vaporization enthalpy of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids.

    Science.gov (United States)

    Diedenhofen, Michael; Klamt, Andreas; Marsh, Kenneth; Schäfer, Ansgar

    2007-09-07

    The vapor pressures and vaporization enthalpies of a series of 1-n-alkyl-3-methylimidazolium-bis-(trifluoromethanesulfonyl) amide ionic liquids have been predicted with two different approaches using the COSMO-RS method and quantum chemical gas phase calculations. While the calculated enthalpies are in good agreement with the experimental data, COSMO-RS seems to underestimate the vapor pressures by roughly 0.5-4 log units dependent on the IL and approach used.

  5. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  6. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  7. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  8. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  9. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  10. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  11. Catalyst effects of fabrication of carbon nanotubes synthesized by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; Li, H.P.; Zhao, N.Q.; He, C.N.

    2009-01-01

    Catalytic effects of the fabrication of carbon nanotubes (CNTs) by chemical vapor deposition of methane were investigated by thermogravimetric analysis. More specifically, the total yield and thermal stability characteristics of the product were examined with respect to physicochemical characteristics of the catalyst. Three kinds of Ni/Al catalysts with 5 wt%, 10 wt% and 15 wt% Ni, respectively were employed to synthesize CNTs. It was determined that an optimal Ni content of the catalyst resulted in maximum yield and most stable product. With increasing the Ni content, the CNT yield increased but they became less stable during heat treatment in air. According to transmission electron microscopy observations, the defect sites along the walls and at the ends of the raw CNTs facilitated the thermal oxidative destruction of the CNTs.

  12. Mathematical Modeling of Fate and Transport of Aqueous Species in Stormflow Entering Infiltration Basin.

    Science.gov (United States)

    Massoudieh, A.; Sengor, S. S.; Meyer, S.; Ginn, T. R.

    2004-12-01

    The State of California is evaluating the role of passive stormwater detention facilities for the purpose of attenuating potential dissolved and suspended chemical species that may originate in roadway runoff of rainfall. The engineering design of such infiltration basins requires tools to quantify their performance as recipients of stormwater runoff from roadways, and as filters of aqueous chemical species. For this purpose a one-dimensional unsaturated flow and transport model is developed to estimate the efficiency of storm-water infiltration basins in treating roadway generated metallic and organic pollutants. Kinematic wave approximation is used along with van Genuchten water retention model to simulate water percolation thorough the infiltration basin. For metals a Langmuir type nonlinear competitive sorption isotherm is used for transport of chemicals and a kinetic reversible linear sorption model is considered for organics. The model is applied to known roadway born metallic contaminations such as copper, zinc, lead, chromium, nickel and cadmium, as well as organic species such as diazinon, diuron, ghlyphosate and pyrene, for several representative soil and precipitation condition for California within a period of five years. Representative soil parameters and precipitation patterns are extracted from frequency distributions extracted from a recent study. In addition sensitivity analysis has been done to evaluate the effect of soil property values on the performance of infiltration basins. The results can be used to evaluate the performance of infiltration basins in improving the water quality as well as being used in providing guidelines in design and maintenance of infiltration basins.

  13. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  14. Properties of zinc selenide grown by chemical vapor transport and its application to room-temperature radiation detection

    International Nuclear Information System (INIS)

    Brunett, B.A.; Toney, J.E.; Schlesinger, T.E.; Yoon, H.; Goorsky, M.S.; Rudolph, P.

    1998-01-01

    The authors have characterized ZnSe material grown by chemical vapor transport in iodine using triple-axis X-ray diffraction (TAD), photo-induced current transient spectroscopy (PICTS), photoluminescence (PL), current-voltage measurements and gamma-ray spectroscopy. The material was found to have inadequate carrier transport for nuclear spectrometer use, but there was a discernible difference in performance between crystals which could be correlated with crystallinity as determined by the TAD rocking curves

  15. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  16. Pretreated Butterfly Wings for Tuning the Selective Vapor Sensing

    Directory of Open Access Journals (Sweden)

    Gábor Piszter

    2016-09-01

    Full Text Available Photonic nanoarchitectures occurring in the scales of Blue butterflies are responsible for their vivid blue wing coloration. These nanoarchitectures are quasi-ordered nanocomposites which are constituted from a chitin matrix with embedded air holes. Therefore, they can act as chemically selective sensors due to their color changes when mixing volatile vapors in the surrounding atmosphere which condensate into the nanoarchitecture through capillary condensation. Using a home-built vapor-mixing setup, the spectral changes caused by the different air + vapor mixtures were efficiently characterized. It was found that the spectral shift is vapor-specific and proportional with the vapor concentration. We showed that the conformal modification of the scale surface by atomic layer deposition and by ethanol pretreatment can significantly alter the optical response and chemical selectivity, which points the way to the efficient production of sensor arrays based on the knowledge obtained through the investigation of modified butterfly wings.

  17. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  18. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Gorham-Bergeron, E.; Benson, D.A.

    1978-01-01

    A series of experiments is described in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressures measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical nonequilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented

  19. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  20. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  1. Thermally Stable and Electrically Conductive, Vertically Aligned Carbon Nanotube/Silicon Infiltrated Composite Structures for High-Temperature Electrodes.

    Science.gov (United States)

    Zou, Qi Ming; Deng, Lei Min; Li, Da Wei; Zhou, Yun Shen; Golgir, Hossein Rabiee; Keramatnejad, Kamran; Fan, Li Sha; Jiang, Lan; Silvain, Jean-Francois; Lu, Yong Feng

    2017-10-25

    Traditional ceramic-based, high-temperature electrode materials (e.g., lanthanum chromate) are severely limited due to their conditional electrical conductivity and poor stability under harsh circumstances. Advanced composite structures based on vertically aligned carbon nanotubes (VACNTs) and high-temperature ceramics are expected to address this grand challenge, in which ceramic serves as a shielding layer protecting the VACNTs from the oxidation and erosive environment, while the VACNTs work as a conductor. However, it is still a great challenge to fabricate VACNT/ceramic composite structures due to the limited diffusion of ceramics inside the VACNT arrays. In this work, we report on the controllable fabrication of infiltrated (and noninfiltrated) VACNT/silicon composite structures via thermal chemical vapor deposition (CVD) [and laser-assisted CVD]. In laser-assisted CVD, low-crystalline silicon (Si) was quickly deposited at the VACNT subsurfaces/surfaces followed by the formation of high-crystalline Si layers, thus resulting in noninfiltrated composite structures. Unlike laser-assisted CVD, thermal CVD activated the precursors inside and outside the VACNTs simultaneously, which realized uniform infiltrated VACNT/Si composite structures. The growth mechanisms for infiltrated and noninfiltrated VACNT/ceramic composites, which we attributed to the different temperature distributions and gas diffusion mechanism in VACNTs, were investigated. More importantly, the as-farbicated composite structures exhibited excellent multifunctional properties, such as excellent antioxidative ability (up to 1100 °C), high thermal stability (up to 1400 °C), good high velocity hot gas erosion resistance, and good electrical conductivity (∼8.95 Sm -1 at 823 K). The work presented here brings a simple, new approach to the fabrication of advanced composite structures for hot electrode applications.

  2. Infiltration SuDS Map

    OpenAIRE

    Dearden, Rachel

    2012-01-01

    Infiltration SuDS are sustainable drainage systems (SuDS) that allow surface water to infiltrate to the ground. Examples include soakaways, infiltration basins, infiltration trenches and permeable pavements. Before planning to install Infiltration SuDS, the suitability of the ground should be assessed. The British Geological Survey has developed a bespoke Infiltration SuDS Map that enables a preliminary assessment of the suitability of the ground for infiltration SuDS. Th...

  3. Hard X-ray photoelectron spectroscopy study for transport behavior of CsI in heating test simulating a BWR severe accident condition: Chemical effects of boron vapors

    Energy Technology Data Exchange (ETDEWEB)

    Okane, T., E-mail: okanet@spring8.or.jp [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Kobata, M. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Sato, I. [Oarai Research and Development Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Kobayashi, K. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Osaka, M. [Nuclear Science and Engineering Center, Japan Atomic Energy Agency, 4002 Narita-cho, Oarai-machi, Ibaraki, 311-1393 (Japan); Yamagami, H. [Quantum Beam Science Center, Japan Atomic Energy Agency, 1-1-1 Kouto, Sayo-cho, Hyogo, 679-5148 (Japan); Faculty of Science, Kyoto Sangyo University, Motoyama, Kamigamo, Kita-ku, Kyoto, 603-8555 (Japan)

    2016-02-15

    Highlights: • We have clarified the temperature-dependent chemical forms of Cs/I products. • We have examined the CsI-decomposing effects of B{sub 2}O{sub 3} vapor. • The possibility of Cs re-evaporation from CsI-deposited surface is suggested. • We have demonstrated the usefulness of HAXPES on FP chemistry. - Abstract: Transport behavior of CsI in the heating test, which simulated a BWR severe accident, was investigated by hard X-ray photoelectron spectroscopy (HAXPES) with an emphasis on the chemical effect of boron vapors. CsI deposited on metal tube at temperatures ranging from 150 °C to 750 °C was reacted with vapor/aerosol B{sub 2}O{sub 3}, and the chemical form of reaction products on the sample surface was examined from the HAXPES spectra of core levels, e.g., Ni 2p, Cs 3d and I 3d levels, and valence band. For the samples at ∼300 °C, while the chemical form of major product on the sample surface without an exposure to B{sub 2}O{sub 3} was suggested to be CsI from the HAXPES spectra, an intensity ratio of Cs/I was dramatically reduced at the sample surface after the reaction with B{sub 2}O{sub 3}. The results suggest the possibility of significant decomposition of deposited CsI induced by the chemical reaction with B{sub 2}O{sub 3} at specific temperatures.

  4. Modeling UTLS water vapor: Transport/Chemistry interactions

    International Nuclear Information System (INIS)

    Gulstad, Line

    2005-01-01

    This thesis was initially meant to be a study on the impact on chemistry and climate from UTLS water vapor. However, the complexity of the UTLS water vapor and its recent changes turned out to be a challenge by it self. In the light of this, the overall motivation for the thesis became to study the processes controlling UTLS water vapor and its changes. Water vapor is the most important greenhouse gas, involved in important climate feedback loops. Thus, a good understanding of the chemical and dynamical behavior of water vapor in the atmosphere is crucial for understanding the climate changes in the last century. Additionally, parts of the work was motivated by the development of a coupled climate chemistry model based on the CAM3 model coupled with the Chemical Transport Model Oslo CTM2. The future work will be concentrated on the UTLS water vapor impact on chemistry and climate. We are currently studying long term trends in UTLS water vapor, focusing on identification of the different processes involved in the determination of such trends. The study is based on natural as well as anthropogenic climate forcings. The ongoing work on the development of a coupled climate chemistry model will continue within our group, in collaboration with Prof. Wei-Chyung Wang at the State University of New York, Albany. Valuable contacts with observational groups are established during the work on this thesis. These collaborations will be continued focusing on continuous model validation, as well as identification of trends and new features in UTLS water vapor, and other tracers in this region. (Author)

  5. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  6. Mechanical properties of chemical vapor deposited coatings for fusion reactor application

    International Nuclear Information System (INIS)

    Mullendore, A.W.; Whitley, J.B.; Pierson, H.O.; Mattox, D.M.

    1980-01-01

    Chemical vapor deposited coatings of TiB 2 , TiC and boron on graphite substrates are being developed for application as limiter materials in magnetic confinement fusion reactors. In this application severe thermal shock conditions exist and to do effective thermo-mechanical modelling of the material response it is necessary to acquire elastic moduli, fracture strength and strain to fracture data for the coatings. Four point flexure tests have been conducted from room temperature to 2000 0 C on TiB 2 and boron coated graphite with coatings in tension and compression and the mechanical properties extracted from the load-deflection data. In addition, stress relaxation tests from 500 to 1150 0 C were performed on TiB 2 and TiC coated graphite beams to assess the low levels of plastic deformation which occur in these coatings. Significant differences have been observed between the effective mechanical properties of the coatings and literature values of the bulk properties

  7. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  8. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  9. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  10. Spiral growth of few-layer MoS{sub 2} by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dong, X.; Yan, C.; Tomer, D.; Li, L., E-mail: lianli@uwm.edu [Department of Physics, University of Wisconsin, Milwaukee, Wisconsin 53211 (United States); Li, C. H. [Naval Research Laboratory, Washington, DC 20375 (United States)

    2016-08-01

    Growth spirals exhibit appealing properties due to a preferred layer stacking and lack of inversion symmetry. Here, we report spiral growth of MoS{sub 2} during chemical vapor deposition on SiO{sub 2}/Si and epitaxial graphene/SiC substrates, and their physical and electronic properties. We determine the layer-dependence of the MoS{sub 2} bandgap, ranging from 2.4 eV for the monolayer to a constant of 1.3 eV beyond the fifth layer. We further observe that spirals predominantly initiate at the step edges of the SiC substrate, based on which we propose a growth mechanism driven by screw dislocation created by the coalescence of two growth fronts at steps.

  11. Sensitivity of long-term bare soil infiltration simulations to hydraulic properties in an arid environment

    International Nuclear Information System (INIS)

    Stothoff, S.A.

    1997-01-01

    The suitability of Yucca Mountain, Nevada, for emplacement of a high-level nuclear waste geologic repository is currently being evaluated. Assessments of the repository performance suggest that the uncertainty in infiltration rates strongly affects predicted repository performance. Most of the ground surface over the potential repository footprint is characterized by shallow to deep colluvium/alluvium overlying densely fractured, welded tuffs. In order to identify characteristic behavior of infiltration that might be expected at the site, two idealizations of this situation are examined: an effectively semi-infinite column of alluvium and a two-layer column of alluvium over a fractured impermeable matrix. For each idealization the impact of hydraulic properties is assessed. Examining the sensitivity of bare soil simulator predictions for an effectively semi-infinite column, it is found that decreasing the air entry pressure while holding all other parameters at a fixed level tends to increase both the long-term average moisture content and the long-term average net infiltration flux for homogeneous media. In contrast, increasing the van Genuchten scale parameter (m = 1 - 1/n) or decreasing the porosity tends to decrease the average soil moisture but increase the infiltration. Most interestingly, three regimes are found for permeability. For relatively high permeabilities, there is a trend toward increasing average infiltration and increasing average moisture content with decreasing permeability. For relatively low permeabilities, vapor transport dominates over liquid transport, runoff and evaporation overwhelm infiltration, and the soil becomes very dry with essentially no infiltration flux Between the extreme cases of high and low permeability, there is a zone where decreasing permeability results in decreased infiltration but increased moisture content

  12. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  13. Piezoelectric trace vapor calibrator

    International Nuclear Information System (INIS)

    Verkouteren, R. Michael; Gillen, Greg; Taylor, David W.

    2006-01-01

    The design and performance of a vapor generator for calibration and testing of trace chemical sensors are described. The device utilizes piezoelectric ink-jet nozzles to dispense and vaporize precisely known amounts of analyte solutions as monodisperse droplets onto a hot ceramic surface, where the generated vapors are mixed with air before exiting the device. Injected droplets are monitored by microscope with strobed illumination, and the reproducibility of droplet volumes is optimized by adjustment of piezoelectric wave form parameters. Complete vaporization of the droplets occurs only across a 10 deg. C window within the transition boiling regime of the solvent, and the minimum and maximum rates of trace analyte that may be injected and evaporated are determined by thermodynamic principles and empirical observations of droplet formation and stability. By varying solution concentrations, droplet injection rates, air flow, and the number of active nozzles, the system is designed to deliver--on demand--continuous vapor concentrations across more than six orders of magnitude (nominally 290 fg/l to 1.05 μg/l). Vapor pulses containing femtogram to microgram quantities of analyte may also be generated. Calibrated ranges of three explosive vapors at ng/l levels were generated by the device and directly measured by ion mobility spectrometry (IMS). These data demonstrate expected linear trends within the limited working range of the IMS detector and also exhibit subtle nonlinear behavior from the IMS measurement process

  14. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Benson, D.A.; Bergeron, E.G.

    1979-01-01

    This paper describes a series of experiments in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressure measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical non-equilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented. (orig.) [de

  15. Vapor pressures and vaporization enthalpy of (−) α-bisabolol and (dl) menthol by correlation gas chromatography

    International Nuclear Information System (INIS)

    Keating, Leasa; Harris, Harold H.; Chickos, James S.

    2017-01-01

    Highlights: • The vaporization enthalpy and vapor pressure of (−) α-bisabolol and (dl)-menthol have been measured as a function of temperature. • Vapor pressures, vaporization enthalpies and boiling temperatures have been compared to available literature data. • Vapor pressures of (l)-menthol are compared to (dl)-menthol. - Abstract: The vapor pressures and vaporization enthalpies of (−) α-bisabolol and (dl)-menthol, two GRAS chemicals (generally recognized as safe) are evaluated by correlation gas chromatography using a series of saturated primary alcohols as standards. Vaporization enthalpies of (96.6 ± 2.4) and (74.2 ± 2.8) kJ mol −1 and vapor pressures of p/Pa = (0.020 ± 0.003) and (4.5 ± 0.44) were evaluated at T = 298.15 K for (−) α-bisabolol and (dl)-menthol, respectively, and compared to literature values. The vapor pressures of both compounds from T = (298.15 to 500) K have been derived from correlations using vapor pressures of a series of 1-alkanols and corresponding gas chromatographic retention times at 10 K intervals. The results were fit to a second order polynomial. Calculated normal boiling temperatures of T B = (574.8 and 492.7) K are calculated for (−) α-bisabolol and (dl)-menthol, respectively. A normal boiling temperature of T B = (485.2, and 489.7) K has previously been reported for (dl)-menthol. Vapor pressures for both (l)-menthol and (dl)-menthol from a previous study and (dl)-menthol from this study are compared with literature values.

  16. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  17. Controlling the quality of nanocrystalline silicon made by hot-wire chemical vapor deposition by using a reverse H2 profiling technique

    NARCIS (Netherlands)

    Li, H. B. T.; Franken, R.H.; Stolk, R.L.; van der Werf, C.H.M.; Rath, J.K.; Schropp, R.E.I.

    2008-01-01

    Hydrogen profiling, i.e., decreasing the H2 dilution during deposition, is a well-known technique to maintain a proper crystalline ratio of the nanocrystalline (nc-Si:H) absorber layers of plasma-enhanced chemical vapor-deposited (PECVD) thin film solar cells. With this technique a large increase in

  18. Chemical vapor deposition growth of two-dimensional heterojunctions

    Science.gov (United States)

    Cui, Yu; Li, Bo; Li, JingBo; Wei, ZhongMing

    2018-01-01

    The properties of two-dimensional (2D) layered materials with atom-smooth surface and special interlayer van der Waals coupling are different from those of traditional materials. Due to the absence of dangling bonds from the clean surface of 2D layered materials, the lattice mismatch influences slightly on the growth of 2D heterojunctions, thus providing a flexible design strategy. 2D heterojunctions have attracted extensive attention because of their excellent performance in optoelectronics, spintronics, and valleytronics. The transfer method was utilized for the fabrication of 2D heterojunctions during the early stage of fundamental research on these materials. This method, however, has limited practical applications. Therefore, chemical vapor deposition (CVD) method was recently developed and applied for the preparation of 2D heterojunctions. The CVD method is a naturally down-top growth strategy that yields 2D heterojunctions with sharp interfaces. Moreover, this method effectively reduces the introduction of contaminants to the fabricated heterojunctions. Nevertheless, the CVD-growth method is sensitive to variations in growth conditions. In this review article, we attempt to provide a comprehensive overview of the influence of growth conditions on the fabrication of 2D heterojunctions through the direct CVD method. We believe that elucidating the effects of growth conditions on the CVD method is necessary to help control and improve the efficiency of the large-scale fabrication of 2D heterojunctions for future applications in integrated circuits.

  19. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  20. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  1. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  2. Innovative Process to Enrich Carbon Content of EFB-Derived Biochar as an Alternative Energy Source in Ironmaking

    Directory of Open Access Journals (Sweden)

    Hadi Purwanto

    2018-01-01

    Full Text Available This paper describes the mechanism of a developed process—an integrated pyrolysis-tar decomposition process—to produce oil palm empty fruit bunch- (EFB- derived biochar with additional solid carbon within the biochar bodies, produced by decomposition of tar vapor on its pore surface, using the chemical vapor infiltration (CVI method. The product, carbon-infiltrated biochar, was characterized to investigate the possibility to be used as partial coke breeze replacement in ironmaking. Carbon-infiltrated biochar is proposed to be utilized for a sintering process that could reduce the consumption of coke and CO2 emission in iron-steel industry.

  3. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  4. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  5. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  6. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  7. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  8. On the Origin of Light Emission in Silicon Rich Oxide Obtained by Low-Pressure Chemical Vapor Deposition

    OpenAIRE

    Aceves-Mijares, M.; González-Fernández, A. A.; López-Estopier, R.; Luna-López, A.; Berman-Mendoza, D.; Morales, A.; Falcony, C.; Domínguez, C.; Murphy-Arteaga, R.

    2012-01-01

    Silicon Rich Oxide (SRO) has been considered as a material to overcome the drawbacks of silicon to achieve optical functions. Various techniques can be used to produce it, including Low-Pressure Chemical Vapor Deposition (LPCVD). In this paper, a brief description of the studies carried out and discussions of the results obtained on electro-, cathode-, and photoluminescence properties of SRO prepared by LPCVD and annealed at 1,100°C are presented. The experimental results lead us to accept th...

  9. Initiated chemical vapor deposition of thermoresponsive poly(N-vinylcaprolactam) thin films for cell sheet engineering.

    Science.gov (United States)

    Lee, Bora; Jiao, Alex; Yu, Seungjung; You, Jae Bem; Kim, Deok-Ho; Im, Sung Gap

    2013-08-01

    Poly(N-vinylcaprolactam) (PNVCL) is a thermoresponsive polymer known to be nontoxic, water soluble and biocompatible. Here, PNVCL homopolymer was successfully synthesized for the first time by use of a one-step vapor-phase process, termed initiated chemical vapor deposition (iCVD). Fourier transform infrared spectroscopy results showed that radical polymerization took place from N-vinylcaprolactam monomers without damaging the functional caprolactam ring. A sharp lower critical solution temperature transition was observed at 31°C from the iCVD poly(N-vinylcaprolactam) (PNVCL) film. The thermoresponsive PNVCL surface exhibited a hydrophilic/hydrophobic alteration with external temperature change, which enabled the thermally modulated attachment and detachment of cells. The conformal coverage of PNVCL film on various substrates with complex topography, including fabrics and nanopatterns, was successfully demonstrated, which can further be utilized to fabricate cell sheets with aligned cell morphology. The advantage of this system is that cells cultured on such thermoresponsive surfaces could be recovered as an intact cell sheet by simply lowering the temperature, eliminating the need for conventional enzymatic treatments. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  10. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  11. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  12. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  13. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  14. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  15. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  16. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  17. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  18. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  19. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  20. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  1. Mass spectrometric study of Nd2S3 vaporization

    International Nuclear Information System (INIS)

    Fenochka, B.V.

    1987-01-01

    The authors conduct a mass-spectrometric study of neodymium(III) sulfide vaporization. The chemical composition of the samples was stoichiometric and the samples were vaporized from tantalum effusion cells. When the vapor over Nd 2 S 3 is ionized by electrons the mass spectra shows monovalent cations of Nd, S, NdS, and NdO. The enthalpy of vaporization if Nd atoms from Nd 2 S 3 at average experimental temperatures and the standard enthalpy of reaction is shown. Also presented is the enthalpy of vaporization of NdS molecules from Nd 2 S 3 at average experimental temperatures and the standard enthalpy of reaction

  2. Optical nose based on porous silicon photonic crystal infiltrated with ionic liquids

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Haijuan [Institute of Microanalytical System, Department of Chemistry, Zhejiang University, Hangzhou, 3100058 (China); Zhejiang Academy of Medical Sciences, Hangzhou, 310013 (China); Lin, Leimiao; Liu, Dong; Chen, Qiaofen [Institute of Microanalytical System, Department of Chemistry, Zhejiang University, Hangzhou, 3100058 (China); Wu, Jianmin, E-mail: wjm-st1@zju.edu.cn [Institute of Microanalytical System, Department of Chemistry, Zhejiang University, Hangzhou, 3100058 (China)

    2017-02-08

    A photonic-nose for the detection and discrimination of volatile organic compounds (VOCs) was constructed. Each sensing element on the photonic sensor array was formed by infiltrating a specific type of ionic liquid (IL) into the pore channel of a patterned porous silicon (PSi) chip. Upon exposure to VOC, the density of IL dramatically decreased due to the nano-confinement effect. As a result, the IL located in pore channel expanded its volume and protrude out of the pore channel, leading to the formation of microdroplets on the PSi surface. These VOC-stimulated microdroplets could scatter the light reflected from the PSi rugate filter, thereby producing an optical response to VOC. The intensity of the optical response produced by IL/PSi sensor mainly depends on the size and shape of microdroplets, which is related to the concentration of VOC and the physi-chemical propertied of ILs. For ethanol vapor, the optical response has linear relationship with its relative vapor pressure within 0–60%. The LOD of the IL/PSi sensor for ethanol detection is calculated to be 1.3 ppm. It takes around 30 s to reach a full optical response, while the time for recovery is less than 1 min. In addition, the sensor displayed good stability and reproducibility. Owing to the different molecular interaction between IL and VOC, the ILs/PSi sensor array can generate a unique cross-reactive “fingerprint” in response to a specific type of VOC analyte. With the assistance of image technologies and principle components analysis (PCA), rapid discrimination of VOC analyte could be achieved based on the pattern recognition of photonic sensor array. The technology established in this work allows monitoring in-door air pollution in a visualized way. - Highlights: • Ionic liquids confined in the pore channel of porous silicon (PSi) can form microdroplets on the PSi surface upon exposure to VOCs. • These VOC-stimulated microdroplets could scattered the light reflected from the PSi rugate

  3. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rongzheng; Liu, Malin, E-mail: liumalin@tsinghua.edu.cn; Chang, Jiaxing [Tsinghua University, Institute of Nuclear and New Energy Technology, Collaborative Innovation Center of Advanced Nuclear Energy Technology (China)

    2017-02-15

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H{sub 2} system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  4. Large-scale synthesis of monodisperse SiC nanoparticles with adjustable size, stoichiometric ratio and properties by fluidized bed chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Malin; Chang, Jiaxing

    2017-01-01

    A facile fluidized bed chemical vapor deposition method was proposed for the synthesis of monodisperse SiC nanoparticles by using the single precursor of hexamethyldisilane (HMDS). SiC nanoparticles with average particle size from 10 to 200 nm were obtained by controlling the temperature and the gas ratio. An experimental chemical vapor deposition phase diagram of SiC in the HMDS-Ar-H_2 system was obtained and three regions of SiC-Si, SiC and SiC-C can be distinguished. The BET surface area and the photoluminescence properties of the SiC nanoparticles can be adjusted by changing the nanoparticle size. For the SiC nanospheres with free carbon, a novel hierarchical structure with 5 ~ 8 nm SiC nanoparticles embedded into the graphite matrix was obtained. The advantages of fluidized bed technology for the preparation of SiC nanoparticles were proposed based on the features of homogenous reaction zone, narrow temperature distribution, ultra-short reactant residence time and mass production.

  5. Toxicity of vapor phase petroleum contaminants to microbial degrader communities

    International Nuclear Information System (INIS)

    Long, S.C.; Davey, C.A.

    1994-01-01

    Petroleum products constitute the largest quantity of synthetic organic chemical products produced in the US. They are comprised of mostly hydrocarbon constituents from many different chemical classes including alkenes, cycloalkanes, aromatic compounds, and polyaromatic hydrocarbons. Many petroleum constituents are classified as volatile organic compounds or VOCs. Petroleum products also constitute a major portion of environmental pollution. One emerging technology, with promise for applications to VOCs in subsurface soil environments, is bioventing coupled with soil vapor extraction. These technologies involve volatilization of contaminants into the soil gas phase by injection and withdrawal of air. This air movement causes enhancement of the aerobic microbial degradation of the mobilized vapors by the indigenous populations. This study investigated the effects of exposure of mixed, subsurface microbial communities to vapor phase petroleum constituents or vapors of petroleum mixtures. Soil slurries were prepared and plated onto mineral salts agar plates and exposed to vapor phase contaminants at equilibrium with pure product. Representative n-alkane, branched alkane, cycloalkane, and aromatic compounds were tested as well as petroleum product mixtures. Vapor exposure altered the numbers and morphologies of the colonies enumerated when compared to controls. However, even at high, equilibrium vapor concentrations, microbial degrader populations were not completely inhibited

  6. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  7. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    International Nuclear Information System (INIS)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-01-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  8. Identification of vapor-phase chemical warfare agent simulants and rocket fuels using laser-induced breakdown spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Stearns, Jaime A.; McElman, Sarah E.; Dodd, James A.

    2010-05-01

    Application of laser-induced breakdown spectroscopy (LIBS) to the identification of security threats is a growing area of research. This work presents LIBS spectra of vapor-phase chemical warfare agent simulants and typical rocket fuels. A large dataset of spectra was acquired using a variety of gas mixtures and background pressures and processed using partial least squares analysis. The five compounds studied were identified with a 99% success rate by the best method. The temporal behavior of the emission lines as a function of chamber pressure and gas mixture was also investigated, revealing some interesting trends that merit further study.

  9. Method for Hot Real-Time Analysis of Pyrolysis Vapors at Pilot Scale

    Energy Technology Data Exchange (ETDEWEB)

    Pomeroy, Marc D [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-09-29

    Pyrolysis oils contain more than 400 compounds, up to 60% of which do not re-volatilize for subsequent chemical analysis. Vapor chemical composition is also complicated as additional condensation reactions occur during quenching and collection of the product. Due to the complexity of the pyrolysis oil, and a desire to catalytically upgrade the vapor composition before condensation, online real-time analytical techniques such as Molecular Beam Mass Spectrometry (MBMS) are of great use. However, in order to properly sample hot pyrolysis vapors at the pilot scale, many challenges must be overcome.

  10. Multiple evaluations of the removal of pollutants in road runoff by soil infiltration.

    Science.gov (United States)

    Murakami, Michio; Sato, Nobuyuki; Anegawa, Aya; Nakada, Norihide; Harada, Arata; Komatsu, Toshiya; Takada, Hideshige; Tanaka, Hiroaki; Ono, Yoshiro; Furumai, Hiroaki

    2008-05-01

    Groundwater replenishment by infiltration of road runoff is expected to be a promising option for ensuring a sustainable urban water cycle. In this study, we performed a soil infiltration column test using artificial road runoff equivalent to approximately 11-12 years of rainfall to evaluate the removal of pollutants by using various chemical analyses and bioassay tests. These results indicated that soil infiltration treatment works effectively to remove most of the pollutants such as organic matter (chemical oxygen demand (CODMn) and dissolved organic carbon (DOC)), P species, polycyclic aromatic hydrocarbons (PAHs), numerous heavy metals and oestrogenic activities. Bioassay tests, including algal growth inhibition test, Microtox and mutagen formation potential (MFP) test, also revealed effective removal of toxicities by the soils. However, limited amounts of NO3, Mn, Ni, alkaline earth metals, perfluorooctane sulphonate (PFOS) and perfluorooctane sulphonamide (FOSA) were removed by the soils and they possibly reach the groundwater and cause contamination.

  11. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  12. Diameter Tuning of β-Ga2O3 Nanowires Using Chemical Vapor Deposition Technique.

    Science.gov (United States)

    Kumar, Mukesh; Kumar, Vikram; Singh, R

    2017-12-01

    Diameter tuning of [Formula: see text]-Ga 2 O 3 nanowires using chemical vapor deposition technique have been investigated under various experimental conditions. Diameter of root grown [Formula: see text]-Ga 2 O 3 nanowires having monoclinic crystal structure is tuned by varying separation distance between metal source and substrate. Effect of gas flow rate and mixer ratio on the morphology and diameter of nanowires has been studied. Nanowire diameter depends on growth temperature, and it is independent of catalyst nanoparticle size at higher growth temperature (850-900 °C) as compared to lower growth temperature (800 °C). These nanowires show changes in structural strain value with change in diameter. Band-gap of nanowires increases with decrease in the diameter.

  13. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  14. Advances in silicon carbide Chemical Vapor Deposition (CVD) for semiconductor device fabrication

    Science.gov (United States)

    Powell, J. Anthony; Petit, Jeremy B.; Matus, Lawrence G.

    1991-01-01

    Improved SiC chemical vapor deposition films of both 3C and 6H polytypes were grown on vicinal (0001) 6H-SiC wafers cut from single-crystal boules. These films were produced from silane and propane in hydrogen at one atmosphere at a temperature of 1725 K. Among the more important factors which affected the structure and morphology of the grown films were the tilt angle of the substrate, the polarity of the growth surface, and the pregrowth surface treatment of the substrate. With proper pregrowth surface treatment, 6H films were grown on 6H substrates with tilt angles as small as 0.1 degrees. In addition, 3C could be induced to grow within selected regions on a 6H substrate. The polarity of the substrate was a large factor in the incorporation of dopants during epitaxial growth. A new growth model is discussed which explains the control of SiC polytype in epitaxial growth on vicinal (0001) SiC substrates.

  15. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  16. Chemically vapor-deposited ZrB/sub 2/ as a selective solar absorber

    Energy Technology Data Exchange (ETDEWEB)

    Randich, E.; Allred, D.D.

    1981-09-25

    Coatings of ZrB/sub 2/ and TiB/sub 2/ for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB/sub 2/ and 800 K for ZrB/sub 2/. Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB/sub 2/ ranging from 0.67 to 0.77 and a solar absorptance for TiB/sub 2/ ranging from 0.46 to 0.59. ZrB/sub 2/ has better solar selectivity and more desirable oxidation behavior than TiB/sub 2/. A 0.071 ..mu..m antireflection coating of Si/sub 3/N/sub 4/ deposited onto the ZrB/sub 2/ coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged.

  17. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  18. ZnO/SnO{sub 2} nanoflower based ZnO template synthesized by thermal chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sin, N. D. Md., E-mail: diyana0366@johor.uitm.edu.my; Amalina, M. N., E-mail: amalina0942@johor.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Fakulti Kejuruteraan Elektrik, Universiti Teknologi MARA Cawangan Johor, Kampus Pasir Gudang, 81750 Masai, Johor (Malaysia); Ismail, Ahmad Syakirin, E-mail: kyrin-samaxi@yahoo.com; Shafura, A. K., E-mail: shafura@ymail.com; Ahmad, Samsiah, E-mail: samsiah.ahmad@johor.uitm.edu.my; Mamat, M. H., E-mail: mhmamat@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); Rusop, M., E-mail: rusop@salam.uitm.edu.my [NANO-ElecTronic Centre, Faculty of Electrical Engineering, Universiti Teknologi MARA (UiTM), 40450 Shah Alam, Selangor (Malaysia); NANO-SciTech Centre (NST), Institute of Science (IOS), Universiti Teknologi MARA - UiTM, 40450 Shah Alam, Selangor (Malaysia)

    2016-07-06

    The ZnO/SnO{sub 2} nanoflower like structures was grown on a glass substrate deposited with seed layer using thermal chemical vapor deposition (CVD) with combining two source materials. The ZnO/SnO{sub 2} nanoflower like structures had diameter in the range 70 to 100 nm. The atomic percentage of ZnO nanoparticle , SnO{sub 2} nanorods and ZnO/SnO{sub 2} nanoflower was taken using EDS. Based on the FESEM observations, the growth mechanism is applied to describe the growth for the synthesized nanostructures.

  19. Characterization of Cr2O3 thin films obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Pillis, M.F.; Galego, E.; Serna, M.M.; Correa, O.V.; Ramanathan, L.V.; Franco, A.C.

    2010-01-01

    The goal of this work was the synthesis and characterization of Cr 2 O 3 thin films, obtained by chemical vapor deposition, using chromium acetylacetonate as chromium precursor. The growth of the films was carried out in a conventional horizontal MOCVD equipment, under pressures varying from 2 to 10 mbar, and temperature of 600 deg C. It was observed that the growth of the films only occurs when oxygen is present in the atmosphere. Under growth pressures of 2 and 5 mbar the growth takes place but under 10 mbar of pressure the precursor is dragged and the growth does not occur. The characterization of the films was performed by using scanning electron microscopy and X-ray diffraction. The films presented a columnar structure, and thickness varying from 40 to 250 nm. The influence of some process parameters is discussed. (author)

  20. Polycrystalline AlN films with preferential orientation by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Sanchez, G.; Wu, A.; Tristant, P.; Tixier, C.; Soulestin, B.; Desmaison, J.; Bologna Alles, A.

    2008-01-01

    AlN thin films for acoustic wave devices were prepared by Microwave Plasma Enhanced Chemical Vapor Deposition under different process conditions, employing Si (100) and Pt (111)/SiO 2 /Si (100) substrates. The films were characterized by X-ray diffraction, Fourier transform infrared transmission spectroscopy, atomic force microscopy, scanning electron microscopy, and transmission electron microscopy. The values of the distance between the plasma and the tri-methyl-aluminum precursor injector, the radiofrequency bias potential, and the substrate temperature were central in the development of polycrystalline films. The choice of the chamber total pressure during deposition allowed for the development of two different crystallographic orientations, i.e., or . The film microstructures exhibited in general a column-like growth with rounded tops, an average grain size of about 40 nm, and a surface roughness lower than 20 nm under the best conditions

  1. Performance of horizontal versus vertical vapor extraction wells

    International Nuclear Information System (INIS)

    Birdsell, K.H.; Roseberg, N.D.; Edlund, K.M.

    1994-06-01

    Vapor extraction wells used for site remediation of volatile organic chemicals in the vadose zone are typically vertical wells. Over the past few years, there has been an increased interest in horizontal wells for environmental remediation. Despite the interest and potential benefits of horizontal wells, there has been little study of the relative performance of horizontal and vertical vapor extraction wells. This study uses numerical simulations to investigate the relative performance of horizontal versus vertical vapor extraction wells under a variety of conditions. The most significant conclusion that can be drawn from this study is that in a homogeneous medium, a single, horizontal vapor extraction well outperforms a single, vertical vapor extraction well (with surface capping) only for long, linear plumes. Guidelines are presented regarding the use of horizontal wells

  2. Effect of the settlement of sediments on water infiltration in two urban infiltration basins

    OpenAIRE

    LASSABATERE, Laurent; ANGULO JARAMILLO, R; GOUTALAND, David; LETELLIER, Laetitia; GAUDET, JP; WINIARSKI, Thierry; DELOLME, C

    2010-01-01

    The sealing of surfaces in urban areas makes storm water management compulsory. The suspended solids from surface runoff water accumulate in infiltration basins and may impact on water infiltration. This paper describes a study of the effect of the settlement of sedimentary layers on the water infiltration capacity of two urban infiltrations basins. In situ water infiltration experiments were performed (1) to quantify the effect of sediment on water infiltration at local scale and (2) to deri...

  3. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Jamin M.; Catledge, Shane A., E-mail: catledge@uab.edu

    2016-02-28

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W{sub 2}CoB{sub 2}. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W{sub 2}CoB{sub 2} with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  4. Metal-boride phase formation on tungsten carbide (WC-Co) during microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Johnston, Jamin M.; Catledge, Shane A.

    2016-01-01

    Graphical abstract: - Highlights: • A detailed phase analysis after PECVD boriding shows WCoB, CoB and/or W_2CoB_2. • EDS of PECVD borides shows boron diffusion into the carbide grain structure. • Nanoindentation hardness and modulus of borides is 23–27 GPa and 600–780 GPa. • Scratch testing shows hard coating with cracking at 40N and spallation at 70N. - Abstract: Strengthening of cemented tungsten carbide by boriding is used to improve the wear resistance and lifetime of carbide tools; however, many conventional boriding techniques render the bulk carbide too brittle for extreme conditions, such as hard rock drilling. This research explored the variation in metal-boride phase formation during the microwave plasma enhanced chemical vapor deposition process at surface temperatures from 700 to 1100 °C. We showed several well-adhered metal-boride surface layers consisting of WCoB, CoB and/or W_2CoB_2 with average hardness from 23 to 27 GPa and average elastic modulus of 600–730 GPa. The metal-boride interlayer was shown to be an effective diffusion barrier against elemental cobalt; migration of elemental cobalt to the surface of the interlayer was significantly reduced. A combination of glancing angle X-ray diffraction, electron dispersive spectroscopy, nanoindentation and scratch testing was used to evaluate the surface composition and material properties. An evaluation of the material properties shows that plasma enhanced chemical vapor deposited borides formed at substrate temperatures of 800 °C, 850 °C, 900 °C and 1000 °C strengthen the material by increasing the hardness and elastic modulus of cemented tungsten carbide. Additionally, these boride surface layers may offer potential for adhesion of ultra-hard carbon coatings.

  5. Molecular restrictions for human eye irritation by chemical vapors

    International Nuclear Information System (INIS)

    Cometto-Muniz, J. Enrique; Cain, William S.; Abraham, Michael H.

    2005-01-01

    Previous research showed a cut-off along homologous volatile organic compounds (VOCs) in their ability to produce acute human mucosal irritation. The present study sought to specify the particular cut-off homolog for sensory eye irritation in an acetate and n-alcohol series. A 1900-ml glass vessel system and a three-alternative forced-choice procedure served to test nonyl, decyl, and dodecyl acetate, and 1-nonanol, 1-decanol, and 1-undecanol. Flowrate to the eye ranged from 2 to 8 L/min and time of exposure from 3 to 24 s. Decyl acetate and 1-undecanol were the shortest homologs that failed to produce eye irritation under all conditions, producing a cut-off effect. Increasing the vapor concentration of decyl acetate and 1-undecanol by 3 and 8 times, respectively, via heating them to 37 deg C made either or both VOCs detectable to only half of the 12 subjects tested, even though the higher vapor concentration was well above a predicted eye irritation threshold. When eye irritation thresholds for homologous acetates and n-alcohols were plotted as a function of the longest unfolded length of the molecule, the values for decyl acetate and 1-undecanol fell within a restricted range of 18 to 19 A. The outcome suggests that the basis for the cut-off is biological, that is, the molecule lacks a key size or structure to trigger transduction, rather than physical, that is, the vapor concentration is too low to precipitate detection

  6. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  7. Mass spectrometric study of vaporization of (U,Pu)O2 fuel simulating high burnup

    International Nuclear Information System (INIS)

    Maeda, Atsushi; Ohmichi, Toshihiko; Fukushima, Susumu; Handa, Muneo

    1985-08-01

    The vaporization behavior of (U,Pu)O 2 fuel simulatig high burnup was studied in the temperature range of 1,573 -- 2,173 K by high temperature mass spectrometry. The phases in the simulated fuel were examined by X-ray microprobe analysis. The relationship between chemical form and vaporization behavior of simulated fission product elements was discussed. Pd, Sr, Ba, Ce and actinide-bearing vapor species were observed, and it was clarified that Pd vapor originated from metallic inclusion and Sr and Ce vapors, from mixed oxide fuel matrix. The vaporization behavior of the actinide elements was somewhat similar to that of hypostoichiometric mixed oxide fuel. The behavior of Ba-bearing vapor species changed markedly over about 2,000 K. From the determination of BaO vapor pressures over simulated fuel and BaZrO 3 , it was revealed thermodynamically that the transformation of the chemical form of Ba about 2,000 K, i.e., dissolution of BaZrO 3 phase into fuel matrix, might be the reason of the observed vapor pressure change. (author)

  8. A MODFLOW Infiltration Device Package for Simulating Storm Water Infiltration.

    Science.gov (United States)

    Jeppesen, Jan; Christensen, Steen

    2015-01-01

    This article describes a MODFLOW Infiltration Device (INFD) Package that can simulate infiltration devices and their two-way interaction with groundwater. The INFD Package relies on a water balance including inflow of storm water, leakage-like seepage through the device faces, overflow, and change in storage. The water balance for the device can be simulated in multiple INFD time steps within a single MODFLOW time step, and infiltration from the device can be routed through the unsaturated zone to the groundwater table. A benchmark test shows that the INFD Package's analytical solution for stage computes exact results for transient behavior. To achieve similar accuracy by the numerical solution of the MODFLOW Surface-Water Routing (SWR1) Process requires many small time steps. Furthermore, the INFD Package includes an improved representation of flow through the INFD sides that results in lower infiltration rates than simulated by SWR1. The INFD Package is also demonstrated in a transient simulation of a hypothetical catchment where two devices interact differently with groundwater. This simulation demonstrates that device and groundwater interaction depends on the thickness of the unsaturated zone because a shallow groundwater table (a likely result from storm water infiltration itself) may occupy retention volume, whereas a thick unsaturated zone may cause a phase shift and a change of amplitude in groundwater table response to a change of infiltration. We thus find that the INFD Package accommodates the simulation of infiltration devices and groundwater in an integrated manner on small as well as large spatial and temporal scales. © 2014, National Ground Water Association.

  9. Covering sources of toxic vapors with foam

    International Nuclear Information System (INIS)

    Aue, W. P.; Guidetti, F.

    2009-01-01

    In a case of chemical terrorism, first responders might well be confronted with a liquid source of toxic vapor which keeps spreading out its hazardous contents. With foam as an efficient and simple means, such a source could be covered up in seconds and the spread of vapors mitigated drastically. Once covered, the source could then wait for a longer time to be removed carefully and professionally by a decontamination team. In order to find foams useful for covering up toxic vapor sources, a large set of measurements has been performed in order to answer the following questions: - Which foams could be used for this purpose? - How thick should the foam cover be? - For how long would such a foam cover be effective? - Could the practical application of foam cause a spread of the toxic chemical? The toxic vapors sources included GB, GD and HD. Among the foams were 10 fire fighter foams (e.g. AFFF, protein) and the aqueous decontamination foam CASCAD. Small scale experiments showed that CASCAD is best suited for covering a toxic source; a 10 cm layer of it covers and decontaminates GB. The large scale experiments confirmed that any fire fighter foam is a suitable cover for a longer or shorter period.(author)

  10. Controlled density of vertically aligned carbon nanotubes in a triode plasma chemical vapor deposition system

    International Nuclear Information System (INIS)

    Lim, Sung Hoon; Park, Kyu Chang; Moon, Jong Hyun; Yoon, Hyun Sik; Pribat, Didier; Bonnassieux, Yvan; Jang, Jin

    2006-01-01

    We report on the growth mechanism and density control of vertically aligned carbon nanotubes using a triode plasma enhanced chemical vapor deposition system. The deposition reactor was designed in order to allow the intermediate mesh electrode to be biased independently from the ground and power electrodes. The CNTs grown with a mesh bias of + 300 V show a density of ∼ 1.5 μm -2 and a height of ∼ 5 μm. However, CNTs do not grow when the mesh electrode is biased to - 300 V. The growth of CNTs can be controlled by the mesh electrode bias which in turn controls the plasma density and ion flux on the sample

  11. Organo-Functionalization of Silicon Nanocrystals Synthesized by Inductively Coupled Plasma Chemical Vapor Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Don-Sung; Choe, Dong-Hoe; Jeong, Hyun-Dam [Chonnam National University, Gwangju (Korea, Republic of); Yoo, Seung-Wan; Kim, Jung-Hyung [Korea Research Institute of Standards and Science, Daejeon (Korea, Republic of)

    2016-05-15

    Octadecyl-terminated silicon nanocrystals (ODE-Si NCs) are obtained via a surface-initiated thermal hydrosilylation reaction on hydride-terminated Si NCs (H-Si NCs). Pristine Si NCs were synthesized at the gram scale by using inductively coupled plasma chemical vapor deposition (ICP-CVD) . The H-Si NCs were produced through a chemical etching process with hydrofluoric acid (HF), ethanol (EtOH), and distilled water (d-H{sub 2}O). The results obtained from X-ray diffraction (XRD) and field emission scanning electron microscopy (FE-SEM) indicate that the synthesized Si NCs obtained via ICP-CVD have diamond cubic-structured silicon with a grain size of 10 nm and a densely packed Si NC array consisting of individual NCs. Organo-functionalized Si NCs, i.e., ODE-Si NCs, are well soluble in organic solvent whereas pristine Si NCs synthesized through ICP-CVD are not. The surface chemistry of the ODE-Si NCs was confirmed via Fourier transform infrared spectroscopy (FT-IR), proton nuclear magnetic resonance spectroscopy ({sup 1}H-NMR), and field emission transmission electron microscopy (FE-TEM). Thereby, these newly synthesized and scalable organo-functionalized Si NCs are applicable as raw materials for practical use in devices by tuning the surface chemistry with various capping molecules.

  12. Optical nose based on porous silicon photonic crystal infiltrated with ionic liquids.

    Science.gov (United States)

    Zhang, Haijuan; Lin, Leimiao; Liu, Dong; Chen, Qiaofen; Wu, Jianmin

    2017-02-08

    A photonic-nose for the detection and discrimination of volatile organic compounds (VOCs) was constructed. Each sensing element on the photonic sensor array was formed by infiltrating a specific type of ionic liquid (IL) into the pore channel of a patterned porous silicon (PSi) chip. Upon exposure to VOC, the density of IL dramatically decreased due to the nano-confinement effect. As a result, the IL located in pore channel expanded its volume and protrude out of the pore channel, leading to the formation of microdroplets on the PSi surface. These VOC-stimulated microdroplets could scatter the light reflected from the PSi rugate filter, thereby producing an optical response to VOC. The intensity of the optical response produced by IL/PSi sensor mainly depends on the size and shape of microdroplets, which is related to the concentration of VOC and the physi-chemical propertied of ILs. For ethanol vapor, the optical response has linear relationship with its relative vapor pressure within 0-60%. The LOD of the IL/PSi sensor for ethanol detection is calculated to be 1.3 ppm. It takes around 30 s to reach a full optical response, while the time for recovery is less than 1 min. In addition, the sensor displayed good stability and reproducibility. Owing to the different molecular interaction between IL and VOC, the ILs/PSi sensor array can generate a unique cross-reactive "fingerprint" in response to a specific type of VOC analyte. With the assistance of image technologies and principle components analysis (PCA), rapid discrimination of VOC analyte could be achieved based on the pattern recognition of photonic sensor array. The technology established in this work allows monitoring in-door air pollution in a visualized way. Copyright © 2016 Elsevier B.V. All rights reserved.

  13. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  14. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  15. Chemical vapor deposition growth of boron-carbon-nitrogen layers from methylamine borane thermolysis products

    Science.gov (United States)

    Leardini, Fabrice; Flores, Eduardo; Galvis E, Andrés R.; Ferrer, Isabel J.; Ramón Ares, José; Sánchez, Carlos; Molina, Pablo; van der Meulen, Herko P.; Gómez Navarro, Cristina; López Polin, Guillermo; Urbanos, Fernando J.; Granados, Daniel; García-García, F. Javier; Demirci, Umit B.; Yot, Pascal G.; Mastrangelo, Filippo; Grazia Betti, Maria; Mariani, Carlo

    2018-01-01

    This work investigates the growth of B-C-N layers by chemical vapor deposition using methylamine borane (MeAB) as the single-source precursor. MeAB has been synthesized and characterized, paying particular attention to the analysis of its thermolysis products, which are the gaseous precursors for B-C-N growth. Samples have been grown on Cu foils and transferred onto different substrates for their morphological, structural, chemical, electronic and optical characterizations. The results of these characterizations indicate a segregation of h-BN and graphene-like (Gr) domains. However, there is an important presence of B and N interactions with C at the Gr borders, and of C interacting at the h-BN-edges, respectively, in the obtained nano-layers. In particular, there is a significant presence of C-N bonds, at Gr/h-BN borders and in the form of N doping of Gr domains. The overall B:C:N contents in the layers is close to 1:3:1.5. A careful analysis of the optical bandgap determination of the obtained B-C-N layers is presented, discussed and compared with previous seminal works with samples of similar composition.

  16. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  17. Oxygen source-oriented control of atmospheric pressure chemical vapor deposition of VO2 for capacitive applications

    Directory of Open Access Journals (Sweden)

    Dimitra Vernardou

    2016-06-01

    Full Text Available Vanadium dioxides of different crystalline orientation planes have successfully been fabricated by chemical vapor deposition at atmospheric pressure using propanol, ethanol and O2 gas as oxygen sources. The thick a-axis textured monoclinic vanadium dioxide obtained through propanol presented the best electrochemical response in terms of the highest specific discharge capacity of 459 mAh g-1 with a capacitance retention of 97 % after 1000 scans under constant specific current of 2 A g-1. Finally, the electrochemical impedance spectroscopy indicated that the charge transfer of Li+ through the vanadium dioxide / electrolyte interface was easier for this sample enhancing significantly its capacitance performance.

  18. Mobile vapor recovery and vapor scavenging unit

    International Nuclear Information System (INIS)

    Stokes, C.A.; Steppe, D.E.

    1991-01-01

    This patent describes a mobile anti- pollution apparatus, for the recovery of hydrocarbon emissions. It comprises a mobile platform upon which is mounted a vapor recovery unit for recovering vapors including light hydrocarbons, the vapor recovery unit having an inlet and an outlet end, the inlet end adapted for coupling to an external source of hydrocarbon vapor emissions to recover a portion of the vapors including light hydrocarbons emitted therefrom, and the outlet end adapted for connection to a means for conveying unrecovered vapors to a vapor scavenging unit, the vapor scavenging unit comprising an internal combustion engine adapted for utilizing light hydrocarbon in the unrecovered vapors exiting from the vapor recovery unit as supplemental fuel

  19. Single crystal diamond detectors grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tuve, C.; Angelone, M.; Bellini, V.; Balducci, A.; Donato, M.G.; Faggio, G.; Marinelli, M.; Messina, G.; Milani, E.; Morgada, M.E.; Pillon, M.; Potenza, R.; Pucella, G.; Russo, G.; Santangelo, S.; Scoccia, M.; Sutera, C.; Tucciarone, A.; Verona-Rinati, G.

    2007-01-01

    The detection properties of heteropitaxial (polycrystalline, pCVD) and homoepitaxial (single crystal, scCVD) diamond films grown by microwave chemical vapor deposition (CVD) in the Laboratories of Roma 'Tor Vergata' University are reported. The pCVD diamond detectors were tested with α-particles from different sources and 12 C ions produced by 15MV Tandem accelerator at Southern National Laboratories (LNS) in Catania (Italy). pCVDs were also used to monitor 14MeV neutrons produced by the D-T plasma at Joint European Torus (JET), Culham, U.K. The limit of pCVDs is the poor energy resolution. To overcome this problem, we developed scCVD diamonds using the same reactor parameters that optimized pCVD diamonds. scCVD were grown on a low cost (100) HPHT single crystal substrate. A detector 110μm thick was tested under α-particles and under 14MeV neutron irradiation. The charge collection efficiency spectrum measured under irradiation with a triple α-particle source shows three clearly resolved peaks, with an energy resolution of about 1.1%. The measured spectra under neutron irradiation show a well separated C(n,α 0 ) 9 Be12 reaction peak with an energy spread of 0.5MeV for 14.8MeV neutrons and 0.3MeV for 14.1MeV neutrons, which are fully compatible with the energy spread of the incident neutron beams

  20. Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.; Kamarajugadda, Mallika; Bozeman, Steven P.; Stearns, Laura C.

    2004-02-01

    A comprehensive survey is described of the responses of three plasma-enhanced chemical vapor deposited dielectric film systems to thermal cycling and indentation contact. All three films—silicon oxide, silicon nitride, and silicon oxy-nitride—exhibited significant nonequilibrium permanent changes in film stress on thermal cycling or annealing. The linear relationship between stress and temperature changed after the films were annealed at 300 °C, representing a structural alteration in the film reflecting a change in coefficient of thermal expansion or biaxial modulus. A double-substrate method was used to deduce both thermoelastic properties before and after the anneal of selected films and the results were compared with the modulus deconvoluted from small-scale depth-sensing indentation experiments (nanoindentation). Rutherford backscattering spectrometry and hydrogen forward scattering were used to deduce the composition of the films and it was found that all the films contained significant amounts of hydrogen.

  1. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  2. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  3. Infiltrated carbon foam composites

    Science.gov (United States)

    Lucas, Rick D. (Inventor); Danford, Harry E. (Inventor); Plucinski, Janusz W. (Inventor); Merriman, Douglas J. (Inventor); Blacker, Jesse M. (Inventor)

    2012-01-01

    An infiltrated carbon foam composite and method for making the composite is described. The infiltrated carbon foam composite may include a carbonized carbon aerogel in cells of a carbon foam body and a resin is infiltrated into the carbon foam body filling the cells of the carbon foam body and spaces around the carbonized carbon aerogel. The infiltrated carbon foam composites may be useful for mid-density ablative thermal protection systems.

  4. Trench infiltration for managed aquifer recharge to permeable bedrock

    Science.gov (United States)

    Heilweil, V.M.; Watt, D.E.

    2011-01-01

    Managed aquifer recharge to permeable bedrock is increasingly being utilized to enhance resources and maintain sustainable groundwater development practices. One such target is the Navajo Sandstone, an extensive regional aquifer located throughout the Colorado Plateau of the western United States. Spreading-basin and bank-filtration projects along the sandstone outcrop's western edge in southwestern Utah have recently been implemented to meet growth-related water demands. This paper reports on a new cost-effective surface-infiltration technique utilizing trenches for enhancing managed aquifer recharge to permeable bedrock. A 48-day infiltration trench experiment on outcropping Navajo Sandstone was conducted to evaluate this alternative surface-spreading artificial recharge method. Final infiltration rates through the bottom of the trench were about 0.5 m/day. These infiltration rates were an order of magnitude higher than rates from a previous surface-spreading experiment at the same site. The higher rates were likely caused by a combination of factors including the removal of lower permeability soil and surficial caliche deposits, access to open vertical sandstone fractures, a reduction in physical clogging associated with silt and biofilm layers, minimizing viscosity effects by maintaining isothermal conditions, minimizing chemical clogging caused by carbonate mineral precipitation associated with algal photosynthesis, and diminished gas clogging associated with trapped air and biogenic gases. This pilot study illustrates the viability of trench infiltration for enhancing surface spreading of managed aquifer recharge to permeable bedrock. ?? 2010.

  5. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  6. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  7. Defects in silicon carbide grown by fluorinated chemical vapor deposition chemistry

    Science.gov (United States)

    Stenberg, Pontus; Booker, Ian D.; Karhu, Robin; Pedersen, Henrik; Janzén, Erik; Ivanov, Ivan G.

    2018-04-01

    Point defects in n- and p-type 4H-SiC grown by fluorinated chemical vapor deposition (CVD) have been characterized optically by photoluminescence (PL) and electrically by deep-level transient spectroscopy (DLTS) and minority carrier transient spectroscopy (MCTS). The results are considered in comparison with defects observed in non-fluorinated CVD growth (e.g., using SiH4 instead of SiF4 as silicon precursor), in order to investigate whether specific fluorine-related defects form during the fluorinated CVD growth, which might prohibit the use of fluorinated chemistry for device-manufacturing purposes. Several new peaks identifying new defects appear in the PL of fluorinated-grown samples, which are not commonly observed neither in other halogenated chemistries, nor in the standard CVD chemistry using silane (SiH4). However, further investigation is needed in order to determine their origin and whether they are related to incorporation of F in the SiC lattice, or not. The electric characterization does not find any new electrically-active defects that can be related to F incorporation. Thus, we find no point defects prohibiting the use of fluorinated chemistry for device-making purposes.

  8. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  9. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  10. Concise and Efficient Fluorescent Probe via an Intromolecular Charge Transfer for the Chemical Warfare Agent Mimic Diethylchlorophosphate Vapor Detection.

    Science.gov (United States)

    Yao, Junjun; Fu, Yanyan; Xu, Wei; Fan, Tianchi; Gao, Yixun; He, Qingguo; Zhu, Defeng; Cao, Huimin; Cheng, Jiangong

    2016-02-16

    Sarin, used as chemical warfare agents (CWAs) for terrorist attacks, can induce a number of virulent effects. Therefore, countermeasures which could realize robust and convenient detection of sarin are in exigent need. A concise charge-transfer colorimetric and fluorescent probe (4-(6-(tert-butyl)pyridine-2-yl)-N,N-diphenylaniline, TBPY-TPA) that could be capable of real-time and on-site monitoring of DCP vapor was reported in this contribution. Upon contact with DCP, the emission band red-shifted from 410 to 522 nm upon exposure to DCP vapor. And the quenching rate of TBPY-TPA reached up to 98% within 25 s. Chemical substances such as acetic acid (HAc), dimethyl methylphosphonate (DMMP), pinacolyl methylphosphonate (PAMP), and triethyl phosphate (TEP) do not interfere with the detection. A detection limit for DCP down to 2.6 ppb level is remarkably achieved which is below the Immediately Dangerous to Life or Health concentration. NMR data suggested that a transformation of the pyridine group into pyridinium salt via a cascade reaction is responsible for the sensing process which induced the dramatic fluorescent red shift. All of these data suggest TBPY-TPA is a promising fluorescent sensor for a rapid, simple, and low-cost method for DCP detection, which could be easy to prepare as a portable chemosensor kit for its practical application in real-time and on-site monitoring.

  11. Chemical Vapor Detection with a Multispectral Thermal Imager

    National Research Council Canada - National Science Library

    Althouse, Mark L. G; Chang, Chein-I

    1991-01-01

    .... Real-time autonomous detection and alarm is also required. A detection system model by Warren, based on a Gaussian vapor concentration distribution is the basis for detection algorithms. Algorithms recursive in both time and spectral frequency have been derived using Kalman filter theory. Adaptive filtering is used for preprocessing clutter rejection. Various components of the detection system have been tested individually and an integrated system is now being fabricated.

  12. Role of chlorine in the nanocrystalline silicon film formation by rf plasma-enhanced chemical vapor deposition of chlorinated materials

    International Nuclear Information System (INIS)

    Shirai, Hajime

    2004-01-01

    We demonstrate the disorder-induced low-temperature crystallization in the nanocrystalline silicon film growth by rf plasma-enhanced chemical vapor deposition of H 2 -diluted SiH 2 Cl 2 and SiCl 4 . The combination of the chemical reactivity of SiCld (d: dangling bond) and SiHCl complexes and the release of the disorder-induced stress near the growing surface tightly correlate with the phase transitionity of SiCld and SiHCl complexes near the growing surface with the aid of atomic hydrogen, which induce higher degree of disorder in the a-Si network. These features are most prominent in the SiCl 4 compared with those of SiH 2 Cl 2 and SiH 4 , which preferentially enhance the nanocrystalline Si formation

  13. Vapor pressures and sublimation enthalpies of novel bicyclic heterocycle derivatives

    International Nuclear Information System (INIS)

    Blokhina, Svetlana V.; Ol’khovich, Marina V.; Sharapova, Angelica V.; Perlovich, German L.; Proshin, Alexey N.

    2014-01-01

    Highlights: • The vapor pressures of novel bicyclo-derivatives of amine were measured. • Thermodynamic functions of sublimation were calculated. • The influence of substituent structure and chemical nature on the vapor pressure was studied. -- Abstract: The vapor pressures of five novel bicyclic heterocycle derivatives were measured over the temperature 341.15 to 396.15 K using the transpiration method by means of an inert gas carrier. From these results the standard enthalpies and Gibbs free energies of sublimation at the temperature 298.15 K were calculated. The effects of alkyl- and chloro-substitutions on changes in the thermodynamic functions have been investigated. Quantitative structure–property relationship on the basis HYBOT physico-chemical descriptors for biologically active compounds have been developed to predict the sublimation enthalpies and Gibbs free energies of the compounds studied

  14. The soil apparent infiltrability observed with ponded infiltration experiment in a permanent grid of infiltration rings

    Czech Academy of Sciences Publication Activity Database

    Votrubová, J.; Jelínková, V.; Němcová, R.; Tesař, Miroslav; Vogel, T.; Císlerová, M.

    2010-01-01

    Roč. 12, - (2010), s. 11898 ISSN 1607-7962. [European Geosciences Union General Assembly 2010. 02.05.2010-07.05.2010, Wienna] R&D Projects: GA ČR GA205/08/1174 Institutional research plan: CEZ:AV0Z20600510 Keywords : soil hydraulic conductivity * infiltration * infiltration ring Subject RIV: DA - Hydrology ; Limnology

  15. Comparison of clinical semi-quantitative assessment of muscle fat infiltration with quantitative assessment using chemical shift-based water/fat separation in MR studies of the calf of post-menopausal women.

    Science.gov (United States)

    Alizai, Hamza; Nardo, Lorenzo; Karampinos, Dimitrios C; Joseph, Gabby B; Yap, Samuel P; Baum, Thomas; Krug, Roland; Majumdar, Sharmila; Link, Thomas M

    2012-07-01

    The goal of this study was to compare the semi-quantitative Goutallier classification for fat infiltration with quantitative fat-fraction derived from a magnetic resonance imaging (MRI) chemical shift-based water/fat separation technique. Sixty-two women (age 61 ± 6 years), 27 of whom had diabetes, underwent MRI of the calf using a T1-weighted fast spin-echo sequence and a six-echo spoiled gradient-echo sequence at 3 T. Water/fat images and fat fraction maps were reconstructed using the IDEAL algorithm with T2* correction and a multi-peak model for the fat spectrum. Two radiologists scored fat infiltration on the T1-weighted images using the Goutallier classification in six muscle compartments. Spearman correlations between the Goutallier grades and the fat fraction were calculated; in addition, intra-observer and inter-observer agreement were calculated. A significant correlation between the clinical grading and the fat fraction values was found for all muscle compartments (P infiltration of muscle commonly occurs in many metabolic and neuromuscular diseases. • Image-based semi-quantitative classifications for assessing fat infiltration are not well validated. • Quantitative MRI techniques provide an accurate assessment of muscle fat.

  16. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong; Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee; Boo, Jin-Hyo

    2011-01-01

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  17. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong [School of Mechanical Engineering, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee [SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Boo, Jin-Hyo, E-mail: byunghee@skku.edu, E-mail: boong33@skku.edu [Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of)

    2011-03-04

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  18. Toxic vapor concentrations in the control room following a postulated accidental release

    International Nuclear Information System (INIS)

    Wing, J.

    1979-05-01

    An acceptable method is presented for calculating the vapor concentrations in a control room as a function of time after a postulated accidental release. Included are the mathematical formulas for computing the rates of vaporization and evaporation of liquid spills, the vapor dispersion in air, and the control room air exchange. A list of toxic chemicals and their physical properties is also given

  19. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.W., E-mail: lynnww@sohu.com [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China); Li, J.X. [Tianjin Polytechnic University, Tianjin 300160 (China); Gao, C.Y. [Chinese Peoples Armed Police Forces Academy, Langfang 065000 (China); Chang, M. [School of Electronic and Information Engieering, Tianjin university, Tianjin, 300072 (China); School of Electronics Information Engieering, Tianjin University of Technology, Tianjin, 300384 (China)

    2011-10-15

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  20. Surface modification of titanium membrane by chemical vapor deposition and its electrochemical self-cleaning

    International Nuclear Information System (INIS)

    Li, X.W.; Li, J.X.; Gao, C.Y.; Chang, M.

    2011-01-01

    Membrane separation is applied widely in many fields, while concentration polarization and membrane fouling, limiting its promotion and application greatly, are the bottlenecks in membrane application. Among which, membrane fouling is irreversible, membrane must be periodically cleaned or even replaced to restore permeability. Membrane cleaning has become one of Key issues in membrane separation areas. Considering incomparable electrochemical advantages of boron-doped diamond (BDD) film electrode over conventional electrode, a new composite membrane Ti/BDD, made by depositing CVD (chemical vapor deposition) boron-doped diamond film on titanium(Ti) membrane to modify porous titanium surface, that can be cleaned electrochemically is proposed. Feasibility of its preparation and application is discussed in this paper. Results shows that based on the unique electrochemical properties of diamond, cleaning level of this composite Ti/BDD membrane is significantly increased, making membrane life and efficiency improved prominently.

  1. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  2. X-ray photoelectron spectroscopy study on Fe and Co catalysts during the first stages of ethanol chemical vapor deposition for single-walled carbon nanotube growth

    NARCIS (Netherlands)

    Oida, S.; McFeely, F.R.; Bol, A.A.

    2011-01-01

    Optimized chemical vapor deposition processes for single-walled carbon nanotube (SWCNT) can lead to the growth of dense, vertically aligned, mm-long forests of SWCNTs. Precise control of the growth process is however still difficult, mainly because of poor understanding of the interplay between

  3. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  4. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shukrullah, S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Mohamed, N. M., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my; Shaharun, M. S., E-mail: zshukrullah@gmail.com, E-mail: noranimuti-mohamed@petronas.com.my, E-mail: maizats@petronas.com.my [Department of Fundamental and Applied Sciences, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia); Yasar, M., E-mail: Muhammad.yasar@ieee.org [Department of Electrical and Electronic Engineering, Universiti Teknologi PETRONAS, 31750 Tronoh, Perak (Malaysia)

    2014-10-24

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure.

  5. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  6. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  7. Study of surface morphology and alignment of MWCNTs grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Shukrullah, S.; Mohamed, N. M.; Shaharun, M. S.; Yasar, M.

    2014-01-01

    In this research work, Multiwalled Carbon Nanotubes (MWCNTs) have been synthesized successfully by using floating catalytic chemical vapor deposition (FCCVD) method. Different ferrocene amounts (0.1, 0.125 and 0.15 g) were used as catalyst and ethylene was used as a carbon precursor at reaction temperature of 800°C. Characterization of the grown MWCNTs was carried out by using scanning electron microscopy (SEM) and transmission electron microscopy (TEM). The obtained data showed that the catalyst weight affects the nanotubes diameter, alignment, crystallinity and growth significantly, whereas negligible influence was noticed on CNTs forest length. The dense, uniform and meadow like patterns of grown CNTs were observed for 0.15 g ferrocene. The average diameter of the grown CNTs was found in the range of 32 to 75 nm. Close inspection of the TEM images also confirmed the defects in some of the grown CNTs, where few black spots were evident in CNTs structure

  8. Fabrication of thin-wall, freestanding inertial confinement fusion targets by chemical vapor deposition

    International Nuclear Information System (INIS)

    Carroll, D.W.; McCreary, W.J.

    1982-01-01

    To meet the requirements for plasma physics experiments in the inertial confinement fusion (ICF) program, chemical vapor deposition (CVD) in fluid beds was used to fabricate freestanding tungsten spheres and cylinders with wall thicknesses less than 5.0 μm. Molybdenum and molybdenum alloy (TZM) mandrels of the desired geometry were suspended in a carrier bed of dense microspheres contained in an induction-heated fluid-bed reactor. The mandrels were free to float randomly through the bed, and using the reaction WF 6 +3H 2 →/sub /KW +6HF, very fine-grained tungsten was deposited onto the surface at a rate and in a grain size determined by temperature, gas flow rate, system pressure, and duration of the reaction. After coating, a portion of each mandrel was exposed by hole drilling or grinding. The mandrel was then removed by acid leaching, leaving a freestanding tungsten shape. Experimental procedures, mandrel preparation, and results obtained are discussed

  9. Layer-selective synthesis of bilayer graphene via chemical vapor deposition

    Science.gov (United States)

    Yang, Ning; Choi, Kyoungjun; Robertson, John; Park, Hyung Gyu

    2017-09-01

    A controlled synthesis of high-quality AB-stacked bilayer graphene by chemical vapor deposition demands a detailed understanding of the mechanism and kinetics. By decoupling the growth of the two layers via a growth-and-regrowth scheme, we report the kinetics and termination mechanisms of the bilayer graphene growth on copper. We observe, for the first time, that the secondary layer growth follows Gompertzian kinetics. Our observations affirm the postulate of a time-variant transition from a mass-transport-limited to a reaction-limited regimes and identify the mechanistic disparity between the monolayer growth and the secondary-layer expansion underneath the monolayer cover. It is the continuous carbon supply that drives the expansion of the graphene secondary layer, rather than the initially captured carbon amount, suggesting an essential role of the surface diffusion of reactant adsorbates in the interspace between the top graphene layer and the underneath copper surface. We anticipate that the layer selectivity of the growth relies on the entrance energetics of the adsorbed reactants to the graphene-copper interspace across the primary-layer edge, which could be engineered by tailoring the edge termination state. The temperature-reliant saturation area of the secondary-layer expansion is understood as a result of competitive attachment of carbon and hydrogen adatoms to the secondary-layer graphene edge.

  10. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  11. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David

    2017-12-13

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  12. Micro-light-emitting diodes with III–nitride tunnel junction contacts grown by metalorganic chemical vapor deposition

    KAUST Repository

    Hwang, David; Mughal, Asad J.; Wong, Matthew S.; Alhassan, Abdullah I.; Nakamura, Shuji; DenBaars, Steven P.

    2017-01-01

    Micro-light-emitting diodes (µLEDs) with tunnel junction (TJ) contacts were grown entirely by metalorganic chemical vapor deposition. A LED structure was grown, treated with UV ozone and hydrofluoric acid, and reloaded into the reactor for TJ regrowth. The silicon doping level of the n++-GaN TJ was varied to examine its effect on voltage. µLEDs from 2.5 × 10−5 to 0.01 mm2 in area were processed, and the voltage penalty of the TJ for the smallest µLED at 20 A/cm2 was 0.60 V relative to that for a standard LED with indium tin oxide. The peak external quantum efficiency of the TJ LED was 34%.

  13. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  14. Vapor-Driven Propulsion of Catalytic Micromotors

    Science.gov (United States)

    Dong, Renfeng; Li, Jinxing; Rozen, Isaac; Ezhilan, Barath; Xu, Tailin; Christianson, Caleb; Gao, Wei; Saintillan, David; Ren, Biye; Wang, Joseph

    2015-08-01

    Chemically-powered micromotors offer exciting opportunities in diverse fields, including therapeutic delivery, environmental remediation, and nanoscale manufacturing. However, these nanovehicles require direct addition of high concentration of chemical fuel to the motor solution for their propulsion. We report the efficient vapor-powered propulsion of catalytic micromotors without direct addition of fuel to the micromotor solution. Diffusion of hydrazine vapor from the surrounding atmosphere into the sample solution is instead used to trigger rapid movement of iridium-gold Janus microsphere motors. Such operation creates a new type of remotely-triggered and powered catalytic micro/nanomotors that are responsive to their surrounding environment. This new propulsion mechanism is accompanied by unique phenomena, such as the distinct off-on response to the presence of fuel in the surrounding atmosphere, and spatio-temporal dependence of the motor speed borne out of the concentration gradient evolution within the motor solution. The relationship between the motor speed and the variables affecting the fuel concentration distribution is examined using a theoretical model for hydrazine transport, which is in turn used to explain the observed phenomena. The vapor-powered catalytic micro/nanomotors offer new opportunities in gas sensing, threat detection, and environmental monitoring, and open the door for a new class of environmentally-triggered micromotors.

  15. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  16. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  17. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  18. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  19. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  20. Effectiveness of air vapor barriers combined with ventilated crawlspaces in decreasing residential exposure to radon daughters to radon daughters: preliminary report

    International Nuclear Information System (INIS)

    Sterling, T.D.; Arundel, A.; McIntyre, D.; Sterling, E.; Sterling, T.D.

    1986-01-01

    Radon gas is present in many homes. Concentrations may be increased in airtight, energy-efficient structures. This is especially true in cold climates where energy conservation is an important factor leading to the widespread application of sealing and tightening techniques both in older renovated homes and new construction. To reduce radon concentrations, it may be effective to ventilate crawlspaces and prevent infiltration of radon gas into the house by means of an air/vapor barrier. The authors report first results of comparing radon levels in homes with and without ventilated crawlspaces and air/vapor barriers. Radon emissions were measured in a tightly sealed home with ventilated crawlspaces and an air/vapor barrier and in two homes without such vapor barriers and ventilated crawlspaces, but differing in ventilation. Preliminary results suggest that use of ventilated crawlspaces and bottomside vapor barriers may reduce indoor radon levels by approximately 60%. 15 references, 1 table

  1. Development of Y-BA-CU-O Coated Conductor Using Metal Organic Chemical Vapor Deposition

    National Research Council Canada - National Science Library

    Selvamanickam, V

    2003-01-01

    .... The program includes a study of the a) influence of MOCVD processing conditions such as the flow rate of precursor vapors, precursor vaporization temperatures, oxygen partial pressure, reactor pressure, and the deposition temperature...

  2. Facial infiltrative lipomatosis

    International Nuclear Information System (INIS)

    Haloi, A.K.; Ditchfield, M.; Pennington, A.; Philips, R.

    2006-01-01

    Although there are multiple case reports and small series concerning facial infiltrative lipomatosis, there is no composite radiological description of the condition. Radiological evaluation of facial infiltrative lipomatosis using plain film, sonography, CT and MRI. We radiologically evaluated four patients with facial infiltrative lipomatosis. Initial plain radiographs of the face were acquired in all patients. Three children had an initial sonographic examination to evaluate the condition, followed by MRI. One child had a CT and then MRI. One child had abnormalities on plain radiographs. Sonographically, the lesions were seen as ill-defined heterogeneously hypoechoic areas with indistinct margins. On CT images, the lesions did not have a homogeneous fat density but showed some relatively more dense areas in deeper parts of the lesions. MRI provided better delineation of the exact extent of the process and characterization of facial infiltrative lipomatosis. Facial infiltrative lipomatosis should be considered as a differential diagnosis of vascular or lymphatic malformation when a child presents with unilateral facial swelling. MRI is the most useful single imaging modality to evaluate the condition, as it provides the best delineation of the exact extent of the process. (orig.)

  3. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  4. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  5. Superconducting magnesium diboride coatings for radio frequency cavities fabricated by hybrid physical-chemical vapor deposition

    Science.gov (United States)

    Wolak, M. A.; Tan, T.; Krick, A.; Johnson, E.; Hambe, M.; Chen, Ke; Xi, X. X.

    2014-01-01

    We have investigated the coating of an inner surface of superconducting radio frequency cavities with a magnesium diboride thin film by hybrid physical-chemical vapor deposition (HPCVD). To simulate a 6 GHz rf cavity, a straight stainless steel tube of 1.5-inch inner diameter and a dummy stainless steel cavity were employed, on which small sapphire and metal substrates were mounted at different locations. The MgB2 films on these substrates showed uniformly good superconducting properties including Tc of 37-40 K, residual resistivity ratio of up to 14, and root-mean-square roughness Rq of 20-30 nm. This work demonstrates the feasibility of coating the interior of cylindrical and curved objects with MgB2 by the HPCVD technique, an important step towards superconducting rf cavities with MgB2 coating.

  6. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  7. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  8. Synthesis and growth mechanism of Fe-catalyzed carbon nanotubes by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Jiang Jun; Feng Tao; Cheng Xinhong; Dai Lijuan; Cao Gongbai; Jiang Bingyao; Wang Xi; Liu Xianghuai; Zou Shichang

    2006-01-01

    Plasma-enhanced chemical vapor deposition (PECVD) was used to grow Fe-catalyzed carbon nanotubes (CNTs). The nanotubes had a uniform diameter in the range of about 10-20 nm. A base growth mode was responsible for the CNTs growth using a mixture of H 2 (60 sccm) and C 2 H 2 (15 sccm). For a mixture of H 2 (100 sccm) and C 2 H 2 (25 sccm), a complicated growth mechanism took place involving both the base growth and the tip growth. X-ray photoelectron spectroscopy measurements revealed that the grown CNTs contained C-H covalent bonds and Fe-C bonds located at the interface between them and the substrates. The factors determining the growth mechanism of CNTs are discussed and their growth mechanisms with the different gas ratios are suggested

  9. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  10. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  11. A Temperature Window for the Synthesis of Single-Walled Carbon Nanotubes by Catalytic Chemical Vapor Deposition of CH4over Mo2-Fe10/MgO Catalyst

    Directory of Open Access Journals (Sweden)

    Yu Ouyang

    2009-01-01

    Full Text Available Abstract A temperature window for the synthesis of single-walled carbon nanotubes by catalytic chemical vapor deposition of CH4over Mo2-Fe10/MgO catalyst has been studied by Raman spectroscopy. The results showed that when the temperature is lower than 750 °C, there were few SWCNTs formed, and when the temperature is higher than 950 °C, mass amorphous carbons were formed in the SWCNTs bundles due to the self-decomposition of CH4. The temperature window of SWCNTs efficient growth is between 800 and 950 °C, and the optimum growth temperature is about 900 °C. These results were supported by transmission electron microscope images of samples formed under different temperatures. The temperature window is important for large-scale production of SWCNTs by catalytic chemical vapor deposition method.

  12. Development of polishing methods for Chemical Vapor Deposited Silicon Carbide mirrors for synchrotron radiation

    International Nuclear Information System (INIS)

    Fuchs, B.A.; Brown, N.J.

    1987-01-01

    Material properties of Chemical Vapor Deposited Silicon Carbide (CVD SiC) make it ideal for use in mirrors for synchrotron radiation experiments. We developed methods to grind and polish flat samples of CVD SiC down to measured surface roughness values as low as 1.1 Angstroms rms. We describe the processing details, including observations we made during trial runs with alternative processing recipes. We conclude that pitch polishing using progressively finer diamond abrasive, augmented with specific water based lubricants and additives, produces superior results. Using methods based on these results, a cylindrical and a toroidal mirror, each about 100 x 300mm, were respectively finished by Continental Optical and Frank Cooke, Incorporated. WYCO Interferometry shows these mirrors have surface roughness less than 5.7 Angstroms rms. These mirrors have been installed on the LLNL/UC X-ray Calibration and Standards Facility at the Stanford Synthrotron Radiation Laboratory

  13. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  14. Perfluorinated compounds in infiltrated river rhine water and infiltrated rainwater in coastal dunes.

    Science.gov (United States)

    Eschauzier, Christian; Haftka, Joris; Stuyfzand, Pieter J; de Voogt, Pim

    2010-10-01

    Different studies have shown that surface waters contain perfluorinated compounds (PFCs) in the low ng/L range. Surface waters are used to produce drinking water and PFCs have been shown to travel through the purification system and form a potential threat to human health. The specific physicochemical properties of PFCs cause them to be persistent and some of them to be bioaccumulative and toxic in the environment. This study investigates the evolvement of PFC concentrations in Rhine water and rainwater during dune water infiltration processes over a transect in the dune area of the western part of The Netherlands. The difference between infiltrated river water and rainwater in terms of PFC composition was investigated. Furthermore, isomer profiles were investigated. The compound perfluorobutanesulfonate (PFBS) was found at the highest concentrations of all PFCs investigated, up to 37 ng/L in infiltrated river water (71 ± 13% of ΣPFCs). This is in contrast with the predominant occurrence of perfluorooctanoic acid (PFOA) and perfluorooctanesulfonate (PFOS) reported in literature. The concentrations of PFBS found in infiltrated river Rhine water were significantly higher than those in infiltrated rainwater. For perfluorohexanesulfonate (PFHxS) the opposite was found: infiltrated rainwater contained more than infiltrated river water. The concentrations of PFOA, perfluorohexanoic acid (PFHxA), perfluoroheptanoic acid (PFHpA), PFBS, PFOS, and PFHxS in infiltrated river water showed an increasing trend with decreasing age of the water. The relative contribution of the branched PFOA and PFOS isomers to total concentrations of PFOA and PFOS showed a decreasing trend with decreasing age of the water.

  15. [Effects of mulching management of Phyllostachys heterocycla forests on the characteristics of soil infiltration and biometrics in southwest Zhejiang Province, China].

    Science.gov (United States)

    Wang, Yi Kun; Jin, Ai Wu; Fang, Sheng Zuo

    2017-05-18

    Soil infiltration, soil physical and chemical properties, root length density and soil fauna diversity were studied in Phyllostachys heterocycla forests with different mulching times in southwest Zhejiang Province, China. Significant differences of soil infiltration capability were found among the forests with different mulching times and among soil layers. Soil infiltration capability generally declined in the deeper soil layers. With mulching management, soil infiltration capability increased under the first mulching, and then declined with the increase of mulching times. The Kostiakov model was suitable for simulating soil infiltration process. With the extending of mulching times (4 to 6 years), soil pH and total/non-capillary porosity decreased, while soil bulk density, soil orga-nic matter and total nitrogen contents increased significantly. Soil initial, steady, and average infiltration rates as well as the cumulative infiltration amount correlated closely with the length density of roots with diameter from 0.5 mm to 5.0 mm, showing a decreasing tendency with the decrease in root length density. Soil fauna density was highest in the forest under the first mulching, and was lowest after third mulching. The decreased numbers of large and meso-arthropods, including Symphyla, Chilopoda, Diplopoda, Hymenoptera and pseudoscorpions, and the micro-arthropods, including Oribatida, Mesostigmata, Onychiuridae, Neanuridae, Cyphoderidae, and Entomobryidae, showed negative effects on soil infiltration. In conclusion, long-term mulching changed soil physical and chemical properties, decreased soil infiltration capability, and suppressed the development of soil fauna, which might cause the decline ofP. heterocycla forests.

  16. Carbon diffusion in uncoated and titanium nitride coated iron substrates during microwave plasma assisted chemical vapor deposition of diamond

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Manory, R.R.; Paterson, P.J.K.; Stuart, Sue-Anne

    1992-01-01

    Auger Electron Spectroscopy has been employed to investigate the effectiveness of thin films of TiN as barriers to carbon diffusion during Chemical Vapor Deposition (CVD) of diamond onto Fe substrates. Auger Depth Profiling was used to monitor the C concentration in the TiN layer, through the interface and into the substrate both before and after CVD diamond deposition. The results show that a layer of TiN only 250 Angstroems thick is sufficient to inhibit soot formation on the Fe surface and C diffusion into the Fe bulk. 14 refs., 4 figs

  17. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  18. Method of plasma enhanced chemical vapor deposition of diamond using methanol-based solutions

    Science.gov (United States)

    Tzeng, Yonhua (Inventor)

    2009-01-01

    Briefly described, methods of forming diamond are described. A representative method, among others, includes: providing a substrate in a reaction chamber in a non-magnetic-field microwave plasma system; introducing, in the absence of a gas stream, a liquid precursor substantially free of water and containing methanol and at least one carbon and oxygen containing compound having a carbon to oxygen ratio greater than one, into an inlet of the reaction chamber; vaporizing the liquid precursor; and subjecting the vaporized precursor, in the absence of a carrier gas and in the absence in a reactive gas, to a plasma under conditions effective to disassociate the vaporized precursor and promote diamond growth on the substrate in a pressure range from about 70 to 130 Torr.

  19. Atomic-vapor-laser isotope separation

    International Nuclear Information System (INIS)

    Davis, J.I.

    1982-10-01

    This paper gives a brief history of the scientific considerations leading to the development of laser isotope separation (LIS) processes. The close relationship of LIS to the broader field of laser-induced chemical processes is evaluated in terms of physical criteria to achieve an efficient production process. Atomic-vapor LIS processes under development at Livermore are reviwed. 8 figures

  20. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  1. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  2. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  3. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  4. One-step synthesis of chlorinated graphene by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Liwei; Zhang, Hui; Zhang, Pingping; Sun, Xuhui, E-mail: xhsun@suda.edu.cn

    2015-08-30

    Highlights: • We developed a simple approach to synthesize the single layer chlorinated graphene. • CuCl{sub 2} on Cu surface is used as Cl source under the plasma treatment. • The formation of covalent C−Cl bond has been investigated by Raman and XPS. • Raman results indicate the p-type doping effect of chlorination. - Abstract: We developed an approach to synthesize the chlorinated single layer graphene (Cl-G) by one-step plasma enhanced chemical vapor deposition. Copper foil was simply treated with hydrochloric acid and then CuCl{sub 2} formed on the surface was used as Cl source under the assistance of plasma treatment. Compared with other two-step methods by post plasma/photochemical treatment of CVD-grown single layer graphene (SLG), one-step Cl-G synthesis approach is quite straightforward and effective. X-ray photoelectron spectroscopy (XPS) revealed that ∼2.45 atom% Cl remained in SLG. Compared with the pristine SLG, the obvious blue shifts of G band and 2D band along with the appearance of D’ band and D + G band in the Raman spectra indicate p-type doping of Cl-G.

  5. Structure of metal β-diketonates and their enthalpies of vaporization

    International Nuclear Information System (INIS)

    Domrachev, G.A.; Sevast'yanov, V.G.; Zakharov, L.N.; Krasnodubskaya, S.V.; AN SSSR, Moscow. Inst. Obshchej i Neorganicheskoj Khimii)

    1987-01-01

    Using the method of additive schemes in combinaion with the structural estimation of the degree of screening of the central atom and other elements of β-diketonate molecule while analyzing the experimental enthalpies of vaporization, the contributions of separate fragments of complexes into the enthalpy of vaporization are found. It is shown that energies of intermolecular interaction in a condensed phase of monomeric metal β-diketonates with identical substituents do not depend on the central atom type. The enthalpies of dimer dissociation in a series of rare earth dipivaloylmethanates calculated. The proposed approach is advisable fo selecting forms of metal β-diketonates, the most suitable for the purposes of deep purificaion, which are characterized by maximum chemical and physico-chemical selectivity with respect to impurities, chemical inertness to equipment material, container, etc

  6. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor deposition ► Deposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  7. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  8. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  9. Effect of irrigation with treated wastewater on soil chemical properties and infiltration rate.

    Science.gov (United States)

    Bedbabis, Saida; Ben Rouina, Béchir; Boukhris, Makki; Ferrara, Giuseppe

    2014-01-15

    In Tunisia, water scarcity is one of the major constraints for agricultural activities. The reuse of treated wastewater (TWW) in agriculture can be a sustainable solution to face water scarcity. The research was conducted for a period of four years in an olive orchard planted on a sandy soil and subjected to irrigation treatments: a) rain-fed conditions (RF), as control b) well water (WW) and c) treated wastewater (TWW). In WW and TWW treatments, an annual amount of 5000 m(3) ha(-1) of water was supplied to the orchard. Soil samples were collected at the beginning of the study and after four years for each treatment. The main soil properties such as electrical conductivity (EC), pH, soluble cations, chloride (Cl(-)), sodium adsorption ratio (SAR), organic matter (OM) as well as the infiltration rate were investigated. After four years, either a significant decrease of pH and infiltration rate or a significant increase of OM, SAR and EC were observed in the soil subjected to treated wastewater treatment. Copyright © 2013. Published by Elsevier Ltd.

  10. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  11. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  12. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Saeed, Saba; Buters, Frank; Dohnalova, Katerina; Wosinski, Lech; Gregorkiewicz, Tom

    2014-10-10

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO(2).Optical characterization reveals two prominent emission bands centered around 2.6 eV and 3.4 eV, and tunable by excitation energy. In addition, the lower energy band shows an excitation power-dependent blue shift of up to 0.3 eV. Decay dynamics of the observed emission contains fast (nanosecond) and slow (microseconds) components, indicating contributions of several relaxation channels. Based on these material characteristics, a possible microscopic origin of the individual emission bands is discussed.

  13. Properties of Erbium Doped Hydrogenated Amorphous Carbon Layers Fabricated by Sputtering and Plasma Assisted Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    V. Prajzler

    2008-01-01

    Full Text Available We report about properties of carbon layers doped with Er3+ ions fabricated by Plasma Assisted Chemical Vapor Deposition (PACVD and by sputtering on silicon or glass substrates. The structure of the samples was characterized by X-ray diffraction and their composition was determined by Rutherford Backscattering Spectroscopy and Elastic Recoil Detection Analysis. The Absorbance spectrum was taken in the spectral range from 400 nm to 600 nm. Photoluminescence spectra were obtained using two types of Ar laser (λex=514.5 nm, lex=488 nm and also using a semiconductor laser (λex=980 nm. Samples fabricated by magnetron sputtering exhibited typical emission at 1530 nm when pumped at 514.5 nm. 

  14. A solid-state nuclear magnetic resonance study of post-plasma reactions in organosilicone microwave plasma-enhanced chemical vapor deposition (PECVD) coatings.

    Science.gov (United States)

    Hall, Colin J; Ponnusamy, Thirunavukkarasu; Murphy, Peter J; Lindberg, Mats; Antzutkin, Oleg N; Griesser, Hans J

    2014-06-11

    Plasma-polymerized organosilicone coatings can be used to impart abrasion resistance and barrier properties to plastic substrates such as polycarbonate. Coating rates suitable for industrial-scale deposition, up to 100 nm/s, can be achieved through the use of microwave plasma-enhanced chemical vapor deposition (PECVD), with optimal process vapors such as tetramethyldisiloxane (TMDSO) and oxygen. However, it has been found that under certain deposition conditions, such coatings are subject to post-plasma changes; crazing or cracking can occur anytime from days to months after deposition. To understand the cause of the crazing and its dependence on processing plasma parameters, the effects of post-plasma reactions on the chemical bonding structure of coatings deposited with varying TMDSO-to-O2 ratios was studied with (29)Si and (13)C solid-state magic angle spinning nuclear magnetic resonance (MAS NMR) using both single-pulse and cross-polarization techniques. The coatings showed complex chemical compositions significantly altered from the parent monomer. (29)Si MAS NMR spectra revealed four main groups of resonance lines, which correspond to four siloxane moieties (i.e., mono (M), di (D), tri (T), and quaternary (Q)) and how they are bound to oxygen. Quantitative measurements showed that the ratio of TMDSO to oxygen could shift the chemical structure of the coating from 39% to 55% in Q-type bonds and from 28% to 16% for D-type bonds. Post-plasma reactions were found to produce changes in relative intensities of (29)Si resonance lines. The NMR data were complemented by Fourier transform infrared (FTIR) spectroscopy. Together, these techniques have shown that the bonding environment of Si is drastically altered by varying the TMDSO-to-O2 ratio during PECVD, and that post-plasma reactions increase the cross-link density of the silicon-oxygen network. It appears that Si-H and Si-OH chemical groups are the most susceptible to post-plasma reactions. Coatings produced at a

  15. The preparation and cathodoluminescence of ZnS nanowires grown by chemical vapor deposition

    Science.gov (United States)

    Huang, Meng-Wen; Cheng, Yin-Wei; Pan, Ko-Ying; Chang, Chen-Chuan; Shieu, F. S.; Shih, Han C.

    2012-11-01

    Single crystal ZnS nanowires were successfully synthesized in large quantities on Si (1 0 0) substrates by simple thermal chemical vapor deposition without using any catalyst. The morphology, composition, and crystal structure were characterized by field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD), high-resolution transmission electron microscopy (HRTEM), energy-dispersive X-ray spectroscopy (EDX), X-ray photoelectron spectroscopy (XPS), and cathodoluminescence (CL) spectroscopy. SEM observations show that the nanowires have diameters about 20-50 nm and lengths up to several tens of micrometers. XRD and TEM results confirmed that the nanowires exhibited both wurtzite and zinc blende structures with growth directions aligned along [0 0 0 2] and [1 1 1], respectively. The CL spectrum revealed emission bands in the UV and blue regions. The blue emissions at 449 and ˜581 nm were attributed to surface states and impurity-related defects of the nanowires, respectively. The perfect crystal structure of the nanowires indicates their potential applications in nanotechnology and in the fabrication of nanodevices.

  16. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Li, Xiang, E-mail: xiangliwj@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Wang, Lin [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Yu, Xiaoming [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Feng, Yafei [Institute of Orthopaedics, Xijing Hospital, The Fourth Military Medical University, Xi' an, 710032 (China); Wang, Chengtao [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China); Yang, Ke [The Institute of Metal Research, Chinese Academy of Sciences, Shenyang, 110016 (China); Su, Daniel [School of Mechanical Engineering, Shanghai Jiao Tong University, State Key Laboratory of Mechanical System and Vibration, Shanghai, 200240 (China)

    2013-07-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold.

  17. Tantalum coating on porous Ti6Al4V scaffold using chemical vapor deposition and preliminary biological evaluation

    International Nuclear Information System (INIS)

    Li, Xiang; Wang, Lin; Yu, Xiaoming; Feng, Yafei; Wang, Chengtao; Yang, Ke; Su, Daniel

    2013-01-01

    Porous tantalum (Ta), produced via chemical vapor deposition (CVD) of commercially pure Ta onto a vitreous carbon, is currently available for use in orthopedic applications. However, the relatively high manufacturing cost and the incapability to produce customized implant using medical image data have limited its application to gain widespread acceptance. In this study, Ta film was deposited on porous Ti6Al4V scaffolds using CVD technique. Digital microscopy and scanning electron microscopy indicated that the Ta coating evenly covered the entire scaffold structure. X-ray diffraction analysis showed that the coating consisted of α and β phases of Ta. Goat mesenchymal stem cells were seeded and cultured on the Ti6Al4V scaffolds with and without coating. The tetrazolium-based colorimetric assay exhibited better cell adhesion and proliferation on Ta-coated scaffolds compared with uncoated scaffolds. The porous scaffolds were subsequently implanted in goats for 12 weeks. Histological analysis revealed similar bone formation around the periphery of the coated and uncoated implants, but bone ingrowth is better within the Ta-coated scaffolds. To demonstrate the ability of producing custom implant for clinical applications via this technology, we designed and fabricated a porous Ti6Al4V scaffold with segmental mandibular shape derived from patient computerized tomography data. - Highlights: • Ta film was coated on porous Ti6Al4V scaffold using chemical vapor deposition. • Tantalum coating allowed for higher levels of cell adhesion and proliferation. • Better new bone formation occurred inside the tantalum-coated scaffolds. • Clinical image data was integrated with EBM to fabricate customized scaffold

  18. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  19. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  20. Uniformly Distributed Graphene Domain Grows on Standing Copper via Low-Pressure Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shih-Hao Chan

    2013-01-01

    Full Text Available Uniformly distributed graphene domains were synthesized on standing copper foil by a low-pressure chemical vapor deposition system. This method improved the distribution of the graphene domains at different positions on the same piece of copper foil along the forward direction of the gas flow. Scanning electron microscopy (SEM showed the average size of the graphene domains to be about ~20 m. This results show that the sheet resistance of monolayer graphene on a polyethylene terephthalate (PET substrate is about ~359 /□ whereas that of the four-layer graphene films is about ~178 /□, with a transmittance value of 88.86% at the 550 nm wavelength. Furthermore, the sheet resistance can be reduced with the addition of HNO3 resulting in a value of 84 /□. These values meet the absolute standard for touch sensor applications, so we believe that this method can be a candidate for some transparent conductive electrode applications.

  1. Large-Scale Fabrication of Boron Nitride Nanotubes via a Facile Chemical Vapor Reaction Route and Their Cathodoluminescence Properties

    Directory of Open Access Journals (Sweden)

    Zhong Bo

    2011-01-01

    Full Text Available Abstract Cylinder- and bamboo-shaped boron nitride nanotubes (BNNTs have been synthesized in large scale via a facile chemical vapor reaction route using ammonia borane as a precursor. The structure and chemical composition of the as-synthesized BNNTs are extensively characterized by X-ray diffraction, scanning electron microscopy, high-resolution transmission electron microscopy, and selected-area electron diffraction. The cylinder-shaped BNNTs have an average diameter of about 100 nm and length of hundreds of microns, while the bamboo-shaped BNNTs are 100–500 nm in diameter with length up to tens of microns. The formation mechanism of the BNNTs has been explored on the basis of our experimental observations and a growth model has been proposed accordingly. Ultraviolet–visible and cathodoluminescence spectroscopic analyses are performed on the BNNTs. Strong ultraviolet emissions are detected on both morphologies of BNNTs. The band gap of the BNNTs are around 5.82 eV and nearly unaffected by tube morphology. There exist two intermediate bands in the band gap of BNNTs, which could be distinguishably assigned to structural defects and chemical impurities. Additional file 1 Click here for file

  2. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  3. Nanodiamond infiltration into porous silicon through etching of solid carbon produced at different graphitization temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, C. R. B., E-mail: claudia_rbm@yahoo.com.br [Instituto Nacional de Pesquisas Espaciais-INPE, Centro de Ciencias do Sistema Terrestre-CCST, Centro de Ciencias do Sistema Terrestre-CCST (Brazil); Baldan, M. R.; Beloto, A. F.; Ferreira, N. G. [CTE/INPE, Centro de Tecnologias Espaciais (Brazil)

    2011-09-15

    Nanocrystalline diamond (NCD) was grown on the porous silicon (PS) substrate using Reticulated Vitreous Carbon (RVC) as an additional solid carbon source. RVC was produced at different heat treatment temperatures of 1300, 1500, and 2000 Degree-Sign C, resulting in samples with different turbostratic carbon organizations. The PS substrate was produced by an electrochemical method. NCD film was obtained by the chemical vapor infiltration/deposition process where a RVC piece was positioned just below the PS substrate. The PS and NCD samples were characterized by Field Emission Gun-Scanning Electron Microscopy (FEG-SEM). NCD films presented faceted nanograins with uniform surface texture covering all the pores resulting in an apparent micro honeycomb structure. Raman's spectra showed the D and G bands, as well as, the typical two shoulders at 1,150 and 1,490 cm{sup -1} attributed to NCD. X-ray diffraction analyses showed the predominant (111) diamond orientation as well as the (220) and (311) peaks. The structural organization and the heteroatom presence on the RVC surface, analyzed from X-ray photoelectron spectroscopy, showed their significant influence on the NCD growth process. The hydrogen etching released, from RVC surface, associated to carbon and/or oxygen/nitrogen amounts led to different contributions for NCD growth.

  4. Microwave Plasma Chemical Vapor Deposition of Nano-Structured Sn/C Composite Thin-Film Anodes for Li-ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    Stevenson, Cynthia; Marcinek, M.; Hardwick, L.J.; Richardson, T.J.; Song, X.; Kostecki, R.

    2008-02-01

    In this paper we report results of a novel synthesis method of thin-film composite Sn/C anodes for lithium batteries. Thin layers of graphitic carbon decorated with uniformly distributed Sn nanoparticles were synthesized from a solid organic precursor Sn(IV) tert-butoxide by a one step microwave plasma chemical vapor deposition (MPCVD). The thin-film Sn/C electrodes were electrochemically tested in lithium half cells and produced a reversible capacity of 440 and 297 mAhg{sup -1} at C/25 and 5C discharge rates, respectively. A long term cycling of the Sn/C nanocomposite anodes showed 40% capacity loss after 500 cycles at 1C rate.

  5. Limiting Size of Monolayer Graphene Flakes Grown on Silicon Carbide or via Chemical Vapor Deposition on Different Substrates

    Science.gov (United States)

    Alekseev, N. I.

    2018-05-01

    The maximum size of homogeneous monolayer graphene flakes that form during the high-temperature evaporation of silicon from a surface of SiC or during graphene synthesis via chemical vapor deposition is estimated, based on the theoretical calculations developed in this work. Conditions conducive to the fragmentation of a monolayer graphene sheet to form discrete fragments or terrace-type structures in which excess energy due to dangling bonds at the edges is compensated for by the lack of internal stress are indentified and described. The results from calculations for the sizes of graphene structures are compared with experimental findings for the most successful graphene syntheses reported in the literature.

  6. Direct growth of large grain polycrystalline silicon films on aluminum-induced crystallization seed layer using hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Bing-Rui; Lo, Shih-Yung; Wuu, Dong-Sing; Ou, Sin-Liang; Mao, Hsin-Yuan; Wang, Jui-Hao; Horng, Ray-Hua

    2012-01-01

    Large grain polycrystalline silicon (poly-Si) films on glass substrates have been deposited on an aluminum-induced crystallization (AIC) seed layer using hot-wire chemical vapor deposition (HWCVD). A poly-Si seed layer was first formed by the AIC process and a thicker poly-Si film was subsequently deposited upon the seed layer using HWCVD. The effects of AIC annealing parameters on the structural and electrical properties of the poly-Si seed layers were characterized by Raman scattering spectroscopy, field-emission scanning electron microscopy, and Hall measurements. It was found that the crystallinity of seed layer was enhanced with increasing the annealing duration and temperature. The poly-Si seed layer formed at optimum annealing parameters can reach a grain size of 700 nm, hole concentration of 3.5 × 10 18 cm −3 , and Hall mobility of 22 cm 2 /Vs. After forming the seed layer, poly-Si films with good crystalline quality and high growth rate (> 1 nm/s) can be obtained using HWCVD. These results indicated that the HWCVD-deposited poly-Si film on an AIC seed layer could be a promising candidate for thin-film Si photovoltaic applications. - Highlights: ►Poly-Si seed layers are formed by aluminum-induced crystallization (AIC) process. ►Poly-Si on AIC seed layers are prepared by hot-wire chemical vapor deposition. ►AIC process parameters affect structural properties of poly-Si films. ►Increasing the annealing duration and temperature increases the film crystallinity.

  7. Seasonal Drivers of Dissolved Metal Transport During Infiltration of Road Runoff in an Urban Roadside Environment

    Science.gov (United States)

    Mullins, A.; Bain, D.

    2017-12-01

    Infiltration-based green infrastructure (GI) is being increasingly applied in urban areas, systems characterized by substantial legacy contamination and complicated hydrology. However, it is not clear how the application of green infrastructure changes the geochemistry of urban roadside environments. Most current research on GI focuses on small sets of chemical parameters (e.g. road salt, nitrogen and phosphorous species) over relatively short time periods, limiting comprehensive understanding of geochemical function. This work measures changes in groundwater infiltration rate and dissolved metal concentrations in two infiltration trenches in Pittsburgh, PA to evaluate function and measure dissolved metal transport from the system over time. Two distinct geochemical regimes seem to be driven by seasonality: road de-icer exchange and microbial driven summer reducing conditions. Interactions between these geochemical regimes and variability in infiltration rate control the flux of different metals, varying with metal chemistry. These findings suggest the adoption of infiltration based green infrastructure will likely create complicated patterns of legacy contamination transport to downstream receptors.

  8. Vapor pressures and enthalpies of vaporization of azides

    International Nuclear Information System (INIS)

    Verevkin, Sergey P.; Emel'yanenko, Vladimir N.; Algarra, Manuel; Manuel Lopez-Romero, J.; Aguiar, Fabio; Enrique Rodriguez-Borges, J.; Esteves da Silva, Joaquim C.G.

    2011-01-01

    Highlights: → We prepared and measured vapor pressures and vaporization enthalpies of 7 azides. → We examined consistency of new and available in the literature data. → Data for geminal azides and azido-alkanes selected for thermochemical calculations. - Abstract: Vapor pressures of some azides have been determined by the transpiration method. The molar enthalpies of vaporization Δ l g H m of these compounds were derived from the temperature dependencies of vapor pressures. The measured data sets were successfully checked for internal consistency by comparison with vaporization enthalpies of similarly structured compounds.

  9. On the vapor-liquid equilibrium in hydroprocessing reactors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, J.; Munteanu, M.; Farooqi, H. [National Centre for Upgrading Technology, Devon, AB (Canada)

    2009-07-01

    When petroleum distillates undergo hydrotreating and hydrocracking, the feedstock and hydrogen pass through trickle-bed catalytic reactors at high temperatures and pressures with large hydrogen flow. As such, the oil is partially vaporized and the hydrogen is partially dissolved in liquid to form a vapor-liquid equilibrium (VLE) system with both vapor and liquid phases containing oil and hydrogen. This may result in considerable changes in flow rates, physical properties and chemical compositions of both phases. Flow dynamics, mass transfer, heat transfer and reaction kinetics may also be modified. Experimental observations of VLE behaviours in distillates with different feedstocks under a range of operating conditions were presented. In addition, VLE was predicted along with its effects on distillates in pilot and commercial scale plants. tabs., figs.

  10. Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition

    Science.gov (United States)

    Perkins, Charles M.; Triplett, Baylor B.; McIntyre, Paul C.; Saraswat, Krishna C.; Haukka, Suvi; Tuominen, Marko

    2001-04-01

    Structural and electrical properties of gate stack structures containing ZrO2 dielectrics were investigated. The ZrO2 films were deposited by atomic layer chemical vapor deposition (ALCVD) after different substrate preparations. The structure, composition, and interfacial characteristics of these gate stacks were examined using cross-sectional transmission electron microscopy and x-ray photoelectron spectroscopy. The ZrO2 films were polycrystalline with either a cubic or tetragonal crystal structure. An amorphous interfacial layer with a moderate dielectric constant formed between the ZrO2 layer and the substrate during ALCVD growth on chemical oxide-terminated silicon. Gate stacks with a measured equivalent oxide thickness (EOT) of 1.3 nm showed leakage values of 10-5 A/cm2 at a bias of -1 V from flatband, which is significantly less than that seen with SiO2 dielectrics of similar EOT. A hysteresis of 8-10 mV was seen for ±2 V sweeps while a midgap interface state density (Dit) of ˜3×1011 states/cm eV was determined from comparisons of measured and ideal capacitance curves.

  11. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  12. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  13. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  14. Spray Chemical Vapor Deposition of CulnS2 Thin Films for Application in Solar Cell Devices

    Science.gov (United States)

    Hollingsworth, Jennifer A.; Buhro, William E.; Hepp, Aloysius F.; Jenkins. Philip P.; Stan, Mark A.

    1998-01-01

    Chalcopyrite CuInS2 is a direct band gap semiconductor (1.5 eV) that has potential applications in photovoltaic thin film and photoelectrochemical devices. We have successfully employed spray chemical vapor deposition using the previously known, single-source, metalorganic precursor, (Ph3P)2CuIn(SEt)4, to deposit CuInS2 thin films. Stoichiometric, polycrystalline films were deposited onto fused silica over a range of temperatures (300-400 C). Morphology was observed to vary with temperature: spheroidal features were obtained at lower temperatures and angular features at 400 C. At even higher temperatures (500 C), a Cu-deficient phase, CuIn5S8, was obtained as a single phase. The CuInS2 films were determined to have a direct band gap of ca. 1.4 eV.

  15. Poloxamer 407/188 binary thermosensitive hydrogels as delivery systems for infiltrative local anesthesia: Physico-chemical characterization and pharmacological evaluation

    International Nuclear Information System (INIS)

    Akkari, Alessandra C.S.; Papini, Juliana Z. Boava; Garcia, Gabriella K.; Franco, Margareth K.K. Dias; Cavalcanti, Leide P.; Gasperini, Antonio; Alkschbirs, Melissa Inger; Yokaichyia, Fabiano; Paula, Eneida de; Tófoli, Giovana R.; Araujo, Daniele R. de

    2016-01-01

    In this study, we reported the development and the physico-chemical characterization of poloxamer 407 (PL407) and poloxamer 188 (PL188) binary systems as hydrogels for delivering ropivacaine (RVC), as drug model, and investigate their use in infiltrative local anesthesia for applications on the treatment of post-operative pain. We studied drug-micelle interaction and micellization process by light scattering and differential scanning calorimetry (DSC), the sol-gel transition and hydrogel supramolecular structure by small-angle-X-ray scattering (SAXS) and morphological evaluation by Scanning Electron Microscopy (SEM). In addition, we have presented the investigation of drug release mechanisms, in vitro/in vivo toxic and analgesic effects. Micellar dimensions evaluation showed the formation of PL407-PL188 mixed micelles and the drug incorporation, as well as the DSC studies showed increased enthalpy values for micelles formation after addition of PL 188 and RVC, indicating changes on self-assembly and the mixed micelles formation evoked by drug incorporation. SAXS studies revealed that the phase organization in hexagonal structure was not affected by RVC insertion into the hydrogels, maintaining their supramolecular structure. SEM analysis showed similar patterns after RVC addition. The RVC release followed the Higuchi model, modulated by the PL final concentration and the insertion of PL 188 into the system. Furthermore, the association PL407-PL188 induced lower in vitro cytotoxic effects, increased the duration of analgesia, in a single-dose model study, without evoking in vivo inflammation signs after local injection. - Highlights: • We present the development and relationships between physico-chemical and biopharmaceutical/pharmacological parameters for the PL407-PL188 binary hydrogel, as well as its use for infiltrative local anesthesia • The addition of PL188 and RVC evoked changes on enthalpy values, self-assembly and the mixed micelles formation • The

  16. Poloxamer 407/188 binary thermosensitive hydrogels as delivery systems for infiltrative local anesthesia: Physico-chemical characterization and pharmacological evaluation

    Energy Technology Data Exchange (ETDEWEB)

    Akkari, Alessandra C.S. [Human and Natural Sciences Center, ABC Federal University, Santo André, SP (Brazil); Papini, Juliana Z. Boava [São Francisco University, Bragança Paulista, São Paulo (Brazil); Garcia, Gabriella K. [Human and Natural Sciences Center, ABC Federal University, Santo André, SP (Brazil); Franco, Margareth K.K. Dias [Nuclear and Energy Research Institute, São Paulo, SP (Brazil); Cavalcanti, Leide P. [School of Chemical Engineering, University of Campinas, SP (Brazil); Gasperini, Antonio; Alkschbirs, Melissa Inger [Brazilian Synchrotron Light Laboratory, Campinas, SP (Brazil); Yokaichyia, Fabiano [Department Quantum Phenomena in Novel Materials Helmholtz-Zentrum Berlin für Materialien und Energie GmbH, Berlin (Germany); Paula, Eneida de [Department of Biochemistry, Institute of Biology, State University of Campinas, Campinas, SP (Brazil); Tófoli, Giovana R. [Faculty of Dentistry São Leopoldo Mandic, Campinas, São Paulo (Brazil); Araujo, Daniele R. de, E-mail: daniele.araujo@ufabc.edu.br [Human and Natural Sciences Center, ABC Federal University, Santo André, SP (Brazil)

    2016-11-01

    In this study, we reported the development and the physico-chemical characterization of poloxamer 407 (PL407) and poloxamer 188 (PL188) binary systems as hydrogels for delivering ropivacaine (RVC), as drug model, and investigate their use in infiltrative local anesthesia for applications on the treatment of post-operative pain. We studied drug-micelle interaction and micellization process by light scattering and differential scanning calorimetry (DSC), the sol-gel transition and hydrogel supramolecular structure by small-angle-X-ray scattering (SAXS) and morphological evaluation by Scanning Electron Microscopy (SEM). In addition, we have presented the investigation of drug release mechanisms, in vitro/in vivo toxic and analgesic effects. Micellar dimensions evaluation showed the formation of PL407-PL188 mixed micelles and the drug incorporation, as well as the DSC studies showed increased enthalpy values for micelles formation after addition of PL 188 and RVC, indicating changes on self-assembly and the mixed micelles formation evoked by drug incorporation. SAXS studies revealed that the phase organization in hexagonal structure was not affected by RVC insertion into the hydrogels, maintaining their supramolecular structure. SEM analysis showed similar patterns after RVC addition. The RVC release followed the Higuchi model, modulated by the PL final concentration and the insertion of PL 188 into the system. Furthermore, the association PL407-PL188 induced lower in vitro cytotoxic effects, increased the duration of analgesia, in a single-dose model study, without evoking in vivo inflammation signs after local injection. - Highlights: • We present the development and relationships between physico-chemical and biopharmaceutical/pharmacological parameters for the PL407-PL188 binary hydrogel, as well as its use for infiltrative local anesthesia • The addition of PL188 and RVC evoked changes on enthalpy values, self-assembly and the mixed micelles formation • The

  17. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  18. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  19. Superconducting magnesium diboride coatings for radio frequency cavities fabricated by hybrid physical-chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    M. A. Wolak

    2014-01-01

    Full Text Available We have investigated the coating of an inner surface of superconducting radio frequency cavities with a magnesium diboride thin film by hybrid physical-chemical vapor deposition (HPCVD. To simulate a 6 GHz rf cavity, a straight stainless steel tube of 1.5-inch inner diameter and a dummy stainless steel cavity were employed, on which small sapphire and metal substrates were mounted at different locations. The MgB_{2} films on these substrates showed uniformly good superconducting properties including T_{c} of 37–40 K, residual resistivity ratio of up to 14, and root-mean-square roughness R_{q} of 20–30 nm. This work demonstrates the feasibility of coating the interior of cylindrical and curved objects with MgB_{2} by the HPCVD technique, an important step towards superconducting rf cavities with MgB_{2} coating.

  20. Integrated rotating-compensator polarimeter for real-time measurements and analysis of organometallic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Flock, K.; Kim, S.-J.; Asar, M.; Kim, I.K.; Aspnes, D.E

    2004-05-01

    We describe a single-beam rotating-compensator rotating-sample spectroscopic polarimeter (RCSSP) integrated with an organometallic chemical vapor deposition (OMCVD) reactor for in-situ diagnostics and control of epitaxial growth, and report representative results. The rotating compensator generates Fourier coefficients that provide information about layer thicknesses and compositions, while sample rotation provides information about optical anisotropy and therefore surface chemistry. We illustrate capabilities with various examples, including the simultaneous determination of <{epsilon}> and {alpha}{sub 10} during exposure of (001)GaAs to TMG, the heteroepitaxial growth of GaP on GaAs, and the growth of (001)GaSb with TMG and TMSb. Using a recently developed approach for quantitatively determining thickness and dielectric function of depositing layers, we find the presence of metallic Ga on TMG-exposed (001)GaAs. The (001)GaSb data show that Sb deposition is self-limiting, in contrast to expectations.

  1. Synthesis of Y-Tip Graphitic Nanoribbons from Alcohol Catalytic Chemical Vapor Deposition on Piezoelectric Substrate

    Directory of Open Access Journals (Sweden)

    Zainab Yunusa

    2015-01-01

    Full Text Available We report the synthesis of Graphitic Nanoribbons (GNRs using Alcohol Catalytic Chemical Vapor Deposition (ACCVD. Bulk GNR was synthesized directly on a piezoelectric substrate using one-step ACCVD. The synthesized GNRs were characterized by X-Ray Diffraction (XRD, Scanning Electron Microscope (SEM, Transmission Electron Microscope (TEM, Energy Dispersive X-Ray (EDX, Atomic Force Microscopy (AFM, and Raman spectroscopy. The characterization results showed Y-tip morphology of bulk and filamentous as-grown GNR having varying width that lies between tens and hundreds of nm and length of several microns. Based on the thickness obtained from the AFM and the analysis from the Raman spectroscopy, it was concluded that the synthesized GNRs are multiple-layered and graphitic in nature. With the direct synthesis of GNR on a piezoelectric substrate, it could have applications in the sensor industries, while the Y-tip GNR could have potentialities in semiconductor applications.

  2. Quantitative structure-property relationships for prediction of boiling point, vapor pressure, and melting point.

    Science.gov (United States)

    Dearden, John C

    2003-08-01

    Boiling point, vapor pressure, and melting point are important physicochemical properties in the modeling of the distribution and fate of chemicals in the environment. However, such data often are not available, and therefore must be estimated. Over the years, many attempts have been made to calculate boiling points, vapor pressures, and melting points by using quantitative structure-property relationships, and this review examines and discusses the work published in this area, and concentrates particularly on recent studies. A number of software programs are commercially available for the calculation of boiling point, vapor pressure, and melting point, and these have been tested for their predictive ability with a test set of 100 organic chemicals.

  3. Determination of Cd, Hg, Pb and Se in sediments slurries by isotopic dilution calibration ICP-MS after chemical vapor generation using an on-line system or retention in an electrothermal vaporizer treated with iridium

    International Nuclear Information System (INIS)

    Vieira, Mariana Antunes; Ribeiro, Anderson Schwingel; Dias, Lucia Felicidade; Curtius, Adilson Jose

    2005-01-01

    A method for the determination of Cd, Hg, Pb and Se in sediments reference materials by slurry sampling chemical vapor generation (CVG) using isotopic dilution (ID) calibration and detection by inductively coupled plasma mass spectrometry (ICP-MS) is proposed. Two different systems were used for the investigation: an on-line flow injection system (FI-CVG-ICP-MS) and an off-line system with in situ trapping electrothermal vaporization (CVG-ETV-ICP-MS). About 100 mg of the reference material, ground to a particle size ≤50 μm, was mixed with acid solutions (aqua regia, HF and HCl) in an ultrasonic bath. The enriched isotopes 111 Cd, 198 Hg, 206 Pb and 77 Se were then added to the slurry in an adequate amount in order to produce an altered isotopic ratio close to 1. For the on-line system, a standing time for the slurry of 12 h before measurement was required, while for the batch system, no standing time is needed to obtain accurate results. The conditions for the formation of the analyte vapor were optimized for the evaluated systems. The following altered isotope ratios were measured: 111 Cd/ 114 Cd, 198 Hg/ 199 Hg, 206 Pb/ 208 Pb e 77 Se/ 82 Se. The obtained detection limits in the on-line system, in μg g -1 , were: Cd: 0.15; Hg: 0.09; Pb: 6.0 and Se: 0.03. Similar detection limits were obtained with the system that uses the ETV: 0.21 for Hg, 6.0 for Pb and 0.06 μg g -1 for Se. No signal for Cd was obtained in this system. One estuarine, two marine and two river certified sediments were analyzed to check the accuracy. The obtained values by both systems were generally in agreement with the certified concentrations, according to the t-test for a confidence level of 95%, demonstrating that isotope equilibration was attained in the slurries submitted to a chemical vapor generation procedure and detection by ICP-MS. The relative standard deviations were lower than 10%, adequate for slurry analysis. The almost quantitative analytes extractions to the aqueous phase

  4. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  5. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  6. Facile fabrication of CNT-based chemical sensor operating at room temperature

    Science.gov (United States)

    Sheng, Jiadong; Zeng, Xian; Zhu, Qi; Yang, Zhaohui; Zhang, Xiaohua

    2017-12-01

    This paper describes a simple, low cost and effective route to fabricate CNT-based chemical sensors, which operate at room temperature. Firstly, the incorporation of silk fibroin in vertically aligned CNT arrays (CNTA) obtained through a thermal chemical vapor deposition (CVD) method makes the direct removal of CNT arrays from substrates without any rigorous acid or sonication treatment feasible. Through a simple one-step in situ polymerization of anilines, the functionalization of CNT arrays with polyaniline (PANI) significantly improves the sensing performance of CNT-based chemical sensors in detecting ammonia (NH3) and hydrogen chloride (HCl) vapors. Chemically modified CNT arrays also show responses to organic vapors like menthol, ethyl acetate and acetone. Although the detection limits of chemically modified CNT-based chemical sensors are of the same orders of magnitudes reported in previous studies, these CNT-based chemical sensors show advantages of simplicity, low cost and energy efficiency in preparation and fabrication of devices. Additionally, a linear relationship between the relative sensitivity and concentration of analyte makes precise estimations on the concentrations of trace chemical vapors possible.

  7. The influence of chemical composition of LNG on the supercritical heat transfer in an intermediate fluid vaporizer

    Science.gov (United States)

    Xu, Shuangqing; Chen, Xuedong; Fan, Zhichao; Chen, Yongdong; Nie, Defu; Wu, Qiaoguo

    2018-04-01

    A three-dimensional transient computational fluid dynamics (CFD) model has been established for the simulations of supercritical heat transfer of real liquefied natural gas (LNG) mixture in a single tube and a tube bundle of an intermediate fluid vaporizer (IFV). The influence of chemical composition of LNG on the thermal performance has been analyzed. The results have also been compared with those obtained from the one-dimensional steady-state calculations using the distributed parameter model (DPM). It is found that the current DPM approach can give reasonable prediction accuracy for the thermal performance in the tube bundle but unsatisfactory prediction accuracy for that in a single tube as compared with the corresponding CFD data. As benchmarked against pure methane, the vaporization of an LNG containing about 90% (mole fraction) of methane would lead to an absolute deviation of 5.5 K in the outlet NG temperature and a maximum relative deviation of 11.4% in the tube side HTC in a bundle of about 816 U tubes at the inlet pressure of 12 MPa and mass flux of 200 kg·m-2·s-1. It is concluded that the influence of LNG composition on the thermal performance should be taken into consideration in order to obtain an economic and reliable design of an IFV.

  8. Fabrication of single-phase ε-GaSe films on Si(100) substrate by metal organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Chia-Chen; Zeng, Jia-Xian; Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Liao, Sen-Mao [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Ma, Wei-Yang [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China); Chang, Kuo-Jen [Chung-Shan Institute of Science and Technology, No.15, Shi Qi Zi, Gaoping Village, Longtan Township, Taoyuan County, Taiwan (China)

    2013-09-02

    Single-phase ε-gallium selenide (GaSe) films were fabricated on Si(100) substrate by metal organic chemical vapor deposition using dual-source precursors: triethylgallium (TEG) and hydrogen selenide (H{sub 2}Se) with the flow ratio of [H{sub 2}Se]/[TEG] being maintained at 1.2. In particular, an arsine (AsH{sub 3}) flow was introduced to the Si substrate before the film deposition to induce an arsenic (As)-passivation effect on the substrate. The crystalline structure of GaSe films prepared was analyzed using X-ray diffraction and the surface morphology of them was characterized by scanning electron microscopy. It was found that the film quality could be improved by the As-passivation effect. The optical properties of the films were studied by temperature dependent photoluminescence (PL) measurements. PL spectra obtained with different distributions and intensities favored for resolving the superior material quality of the films produced on the substrate with As-passivation compared to those produced on the substrate without As-passivation. The former was dominated by the excitonic emissions for the whole temperature range of 20–300 K examined, while the latter was initially dominated by the defect-related emission at 1.907 eV for a low-temperature range ≦ 80 K and then became dominated by the weak excitonic emission band instead. The ε modification of GaSe films prepared was further recognized by the Raman scattering measurements conducted at room temperature. - Highlights: • Gallium selenide (GaSe) layered structures are fabricated on Si(100) substrate. • Metal–organic chemical vapor deposition is used for film fabrication. • Arsenic-passivation effects of Si substrate on the GaSe film quality are analyzed. • Photoluminescence measurements of GaSe polycrystals are reported.

  9. Morphological Evolution of Vertically Standing Molybdenum Disulfide Nanosheets by Chemical Vapor Deposition.

    Science.gov (United States)

    Zhang, Song; Liu, Jiajia; Ruiz, Karla Hernandez; Tu, Rong; Yang, Meijun; Li, Qizhong; Shi, Ji; Li, Haiwen; Zhang, Lianmeng; Goto, Takashi

    2018-04-20

    In this study, we demonstrated the chemical vapor deposition (CVD) of vertically standing molybdenum disulfide (MoS₂) nanosheets, with an unconventional combination of molybdenum hexacarbonyl (Mo(CO)₆) and 1,2-ethanedithiol (C₂H₆S₂) as the novel kind of Mo and S precursors respectively. The effect of the distance between the precursor’s outlet and substrates (denoted as d ) on the growth characteristics of MoS₂, including surface morphology and nanosheet structure, was investigated. Meanwhile, the relationship between the structure characteristics of MoS₂ nanosheets and their catalytic performance for hydrogen evolution reaction (HER) was elucidated. The formation of vertically standing nanosheets was analyzed and verified by means of an extrusion growth model. The crystallinity, average length, and average depth between peak and valley ( R z) of MoS₂ nanosheets differed depending on the spatial location of the substrate. Good crystalized MoS₂ nanosheets grown at d = 5.5 cm with the largest average length of 440 nm, and the highest R z of 162 nm contributed to a better HER performance, with a respective Tafel slope and exchange current density of 138.9 mV/decade, and 22.6 μA/cm² for raw data (127.8 mV/decade and 19.3 μA/cm² for iR-corrected data).

  10. Superhydrophobic multi-scale ZnO nanostructures fabricated by chemical vapor deposition method.

    Science.gov (United States)

    Zhou, Ming; Feng, Chengheng; Wu, Chunxia; Ma, Weiwei; Cai, Lan

    2009-07-01

    The ZnO nanostructures were synthesized on Si(100) substrates by chemical vapor deposition (CVD) method. Different Morphologies of ZnO nanostructures, such as nanoparticle film, micro-pillar and micro-nano multi-structure, were obtained with different conditions. The results of XRD and TEM showed the good quality of ZnO crystal growth. Selected area electron diffraction analysis indicates the individual nano-wire is single crystal. The wettability of ZnO was studied by contact angle admeasuring apparatus. We found that the wettability can be changed from hydrophobic to super-hydrophobic when the structure changed from smooth particle film to single micro-pillar, nano-wire and micro-nano multi-scale structure. Compared with the particle film with contact angle (CA) of 90.7 degrees, the CA of single scale microstructure and sparse micro-nano multi-scale structure is 130-140 degrees, 140-150 degrees respectively. But when the surface is dense micro-nano multi-scale structure such as nano-lawn, the CA can reach to 168.2 degrees . The results indicate that microstructure of surface is very important to the surface wettability. The wettability on the micro-nano multi-structure is better than single-scale structure, and that of dense micro-nano multi-structure is better than sparse multi-structure.

  11. Surface functionalization of 3D-printed plastics via initiated chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Christine Cheng

    2017-08-01

    Full Text Available 3D printing is a useful fabrication technique because it offers design flexibility and rapid prototyping. The ability to functionalize the surfaces of 3D-printed objects allows the bulk properties, such as material strength or printability, to be chosen separately from surface properties, which is critical to expanding the breadth of 3D printing applications. In this work, we studied the ability of the initiated chemical vapor deposition (iCVD process to coat 3D-printed shapes composed of poly(lactic acid and acrylonitrile butadiene styrene. The thermally insulating properties of 3D-printed plastics pose a challenge to the iCVD process due to large thermal gradients along the structures during processing. In this study, processing parameters such as the substrate temperature and the filament temperature were systematically varied to understand how these parameters affect the uniformity of the coatings along the 3D-printed objects. The 3D-printed objects were coated with both hydrophobic and hydrophilic polymers. Contact angle goniometry and X-ray photoelectron spectroscopy were used to characterize the functionalized surfaces. Our results can enable the use of iCVD to functionalize 3D-printed materials for a range of applications such as tissue scaffolds and microfluidics.

  12. Composition control of low-volatile solids through chemical vapor transport reactions. III. The example of gallium monoselenide: Control of the polytypic structure, non-stoichiometry and properties

    International Nuclear Information System (INIS)

    Zavrazhnov, A.; Naumov, A.; Sidey, V.; Pervov, V.

    2012-01-01

    Highlights: ► This work is devoted to the composition control of solids with selective CVT method. ► Phase identity and non-stoichiometry of solids (GaSe, etc.) depend on CVT-temperatures. ► The interrelation between the properties of GaSe and CVT conditions is also found. ► For iodide transporting system the diagram of phase stability of solids is adjusted. ► High temperatures and Se-rich non-stoichiometry are necessary for γ-GaSe stability. - Abstract: By means of particular examples, the present work demonstrates the possibility of directed delicate non-destructive control of structure, composition and properties of inorganic solids using the method of selective chemical vapor transport (SCVT). Gallium monoselenide GaSe is the main model object. Additional, though less detailed, explanation is given by the example of gallium monosulfide GaS. Experimental evidences on the possibility of the control of polytypic structure, non-stoichiometry and properties of gallium monoselenide were obtained in non-isothermal variant of selective chemical vapor transport which has non-destructive character. Diagnostics of the phase (polytypic) composition and non-stoichiometry of GaSe was performed with the use of X-ray diffractometry as well as with the use of cathode luminescence spectra. It was experimentally found that there exists a connection of non-stoichiometry and the properties of gallium selenides with the determining conditions of selective chemical vapor transport: temperature of controlled sample (T 2 ) and the difference of temperatures between the hot and cold zones (ΔT). It is shown that the phase diagram of Ga–Se system needs to be partially revised near the composition of Ga 1 Se 1 . The reason for such revision is the fact that two polytypes (ε-GaSe and γ-GaSe) exist on this phase diagram as independent phases.

  13. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  14. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  15. Waste storage in the vadose zone affected by water vapor condensation and leaching

    International Nuclear Information System (INIS)

    Cary, J.W.; Gee, G.W.; Whyatt, G.A.

    1990-08-01

    One of the major concerns associated with waste storage in the vadose zone is that toxic materials may somehow be leached and transported by advecting water down to the water table and reach the accessible environment through either a well or discharge to a river. Consequently, care is taken to provide barriers over and around the storage sites to reduce contact between infiltrating water and the buried waste form. In some cases, it is important to consider the intrusion of water vapor as well as water in the liquid phase. Water vapor diffuses through porous material along vapor pressure gradients. A slightly low temperature, or the presence of water-soluble components in the waste, favors water condensation resulting in leaching of the waste form and advection of water-soluble components to the water table. A simple analysis is presented that allows one to estimate the rate of vapor condensation as a function of waste composition and backfill materials. An example using a waste form surrounded by concrete and gravel layers is presented. The use of thermal gradients to offset condensation effects of water-soluble components in the waste form is discussed. Thermal gradients may be controlled by design factors that alter the atmospheric energy exchange across the soil surface or that interrupt the geothermal heat field. 7 refs., 2 figs., 1 tab

  16. Cellular structures with interconnected microchannels

    Science.gov (United States)

    Shaefer, Robert Shahram; Ghoniem, Nasr M.; Williams, Brian

    2018-01-30

    A method for fabricating a cellular tritium breeder component includes obtaining a reticulated carbon foam skeleton comprising a network of interconnected ligaments. The foam skeleton is then melt-infiltrated with a tritium breeder material, for example, lithium zirconate or lithium titanate. The foam skeleton is then removed to define a cellular breeder component having a network of interconnected tritium purge channels. In an embodiment the ligaments of the foam skeleton are enlarged by adding carbon using chemical vapor infiltration (CVI) prior to melt-infiltration. In an embodiment the foam skeleton is coated with a refractory material, for example, tungsten, prior to melt infiltration.

  17. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  18. Evaluating the Infiltration Performance of Eight Dutch Permeable Pavements Using a New Full-Scale Infiltration Testing Method

    Directory of Open Access Journals (Sweden)

    Floris Boogaard

    2014-07-01

    Full Text Available Permeable pavements are a type of sustainable urban drainage system (SUDS technique that are used around the world to infiltrate and treat urban stormwater runoff and to minimize runoff volumes. Urban stormwater runoff contains significant concentrations of suspended sediments that can cause clogging and reduce the infiltration capacity and effectiveness of permeable pavements. It is important for stormwater managers to be able to determine when the level of clogging has reached an unacceptable level, so that they can schedule maintenance or replacement activities as required. Newly-installed permeable pavements in the Netherlands must demonstrate a minimum infiltration capacity of 194 mm/h (540 l/s/ha. Other commonly used permeable pavement guidelines in the Netherlands recommend that maintenance is undertaken on permeable pavements when the infiltration falls below 0.50 m/d (20.8 mm/h. This study used a newly-developed, full-scale infiltration test procedure to evaluate the infiltration performance of eight permeable pavements in five municipalities that had been in service for over seven years in the Netherlands. The determined infiltration capacities vary between 29 and 342 mm/h. Two of the eight pavements show an infiltration capacity higher than 194 mm/h, and all infiltration capacities are higher than 20.8 mm/h. According to the guidelines, this suggests that none of the pavements tested in this study would require immediate maintenance.

  19. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  20. Chemical composition, water vapor permeability, and mechanical properties of yuba film influenced by soymilk depth and concentration.

    Science.gov (United States)

    Zhang, Siran; Lee, Jaesang; Kim, Yookyung

    2018-03-01

    Yuba is a soy protein-lipid film formed during heating of soymilk. This study described yuba as an edible film by analyzing its chemical composition, water vapor permeability (WVP), and mechanical properties. Three yuba films were prepared by using different concentrations and depths of soymilk: HS (86 g kg -1 and 2.3 cm), LS (70 g kg -1 and 2.3 cm), and LD (70 g kg -1 and 3.0 cm). As yuba was successively skimmed, the protein, lipid, and SH content decreased, but carbohydrate and SS content increased. Though both the initial concentration and the depth of soymilk affect the properties of the films, the depth of soymilk influences WVP and tensile strength (TS) more. The WVP of the HS and LS changed the least (13-17 g mm kPa -1 m -2 day 1 ), while that of the LD changed the most (13-35 g mm kPa -1 m -2 day -1 ). There were no differences (P > 0.05) in the TS between the HS and LS. LD had the greatest decrease of TS and the lowest TS among the groups. The earlier the yuba films were collected, the greater the elongation of the films was: 129% (HS), 113% (LS), and 155% (LD). The initial concentration and the depth of soymilk changed the chemical composition and structure of the yuba films. The LS yuba produced more uniform edible films with good mechanical properties. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  1. Real time monitoring of filament-assisted chemically vapor deposited diamond by spectroscopic ellipsometry

    International Nuclear Information System (INIS)

    Yue Cong; An, I.; Vedam, K.; Collins, R.W.; Nguyen, H.V.; Messier, R.

    1991-01-01

    Spectroscopic ellipsometry over the range 1.5-4.5 eV was applied as a real time probe of the processes occurring in the initial nucleation of thin film diamond by heated-filament assisted chemical vapor deposition. Using both untreated and diamond-polished c-Si substrates, as well as both carburized and uncarburized tungsten filaments, it was possible to separate and characterize competing phenomena, including the increase in surface temperature induced by filament ignition, the formation of carbide layers, contamination of the substrate by tungsten from the filament, annealing of diamond polishing damage, and, finally, diamond nucleation. An accurate measurement of the true temperature of the substrate surface averaged over the top 500 A can be obtained from the energy position of critical points in the c-Si band structure. For diamond deposition, we operated with an initial excess flow of CH 4 to stimulate nucleation. We applied real time feedback and manual control to reduce the CH 4 flow in the first monolayers of deposition. The thickness of diamond and an estimate of its nucleation density can be obtained from real time spectra, and the latter was in good agreement with that obtained from scanning electron microscopy. (orig.)

  2. Fabrication of Nanocarbon Composites Using In Situ Chemical Vapor Deposition and Their Applications.

    Science.gov (United States)

    He, Chunnian; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; Li, Jiajun

    2015-09-23

    Nanocarbon (carbon nanotubes (CNTs) and graphene (GN)) composites attract considerable research interest due to their fascinating applications in many fields. Here, recent developments in the field of in situ chemical vapor deposition (CVD) for the design and controlled preparation of advanced nanocarbon composites are highlighted, specifically, CNT-reinforced bulk structural composites, as well as CNT, GN, and CNT/GN functional composites, together with their practical and potential applications. In situ CVD is a very attractive approach for the fabrication of composites because of its engaging features, such as its simplicity, low-cost, versatility, and tunability. The morphologies, structures, dispersion, and interface of the resulting nanocarbon composites can be easily modulated by varying the experimental parameters (such as temperature, catalysts, carbon sources, templates or template catalysts, etc.), which enables a great potential for the in situ synthesis of high-quality nanocarbons with tailored size and dimension for constructing high-performance composites, which has not yet been achieved by conventional methods. In addition, new trends of the in situ CVD toward nanocarbon composites are discussed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Biocompatibility of Titania Nanotube Coatings Enriched with Silver Nanograins by Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Piotr Piszczek

    2017-09-01

    Full Text Available Bioactivity investigations of titania nanotube (TNT coatings enriched with silver nanograins (TNT/Ag have been carried out. TNT/Ag nanocomposite materials were produced by combining the electrochemical anodization and chemical vapor deposition methods. Fabricated coatings were characterized by scanning electron microscopy (SEM, X-ray photoelectron spectroscopy (XPS, and Raman spectroscopy. The release effect of silver ions from TNT/Ag composites immersed in bodily fluids, has been studied using inductively coupled plasma mass spectrometry (ICP-MS. The metabolic activity assay (MTT was applied to determine the L929 murine fibroblasts adhesion and proliferation on the surface of TNT/Ag coatings. Moreover, the results of immunoassays (using peripheral blood mononuclear cells—PBMCs isolated from rats allowed the estimation of the immunological activity of TNT/Ag surface materials. Antibacterial activity of TNT/Ag coatings with different morphological and structural features was estimated against two Staphylococcus aureus strains (ATCC 29213 and H9. The TNT/Ag nanocomposite layers produced revealed a good biocompatibility promoting the fibroblast adhesion and proliferation. A desirable anti-biofilm activity against the S. aureus reference strain was mainly noticed for these TiO2 nanotube coatings, which contain dispersed Ag nanograins deposited on their surface.

  4. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  5. Aerosol-assisted chemical vapor deposition of V2O5 cathodes with high rate capabilities for magnesium-ion batteries

    Science.gov (United States)

    Drosos, Charalampos; Jia, Chenglin; Mathew, Shiny; Palgrave, Robert G.; Moss, Benjamin; Kafizas, Andreas; Vernardou, Dimitra

    2018-04-01

    The growth of orthorhombic vanadium pentoxide nanostructures was accomplished using an aerosol-assisted chemical vapor deposition process. These materials showed excellent electrochemical performance for magnesium-ion storage in an aqueous electrolyte; showing specific discharge capacities of up to 427 mAh g-1 with a capacity retention of 82% after 2000 scans under a high specific current of 5.9 A g-1. The high rate capability suggested good structural stability and high reversibility. We believe the development of low-cost and large-area coating methods, such as the technique used herein, will be essential for the upscalable fabrication of next-generation rechargeable battery technologies.

  6. Structures and electrochemical properties of pyrolytic carbon films infiltrated from gas phase into electro-conductive substrates derived from wood

    International Nuclear Information System (INIS)

    Ohzawa, Yoshimi; Mitani, Masami; Li, Jianling; Nakajima, Tsuyoshi

    2004-01-01

    Using the pressure-pulsed chemical vapor infiltration technique, pyrolytic carbon (pyrocarbon) films were deposited into two sorts of conductive porous substrates, that is, the carbonized wood (A) and the TiN-coated wood (B). Structures and electrochemical properties were investigated as the negative electrodes of lithium-ion secondary battery. The electrodes had the three-dimensionally continuous current paths in the pyrocarbon-based anodes without the organic binders and the additional conductive fillers. The pyrocarbon films adhered tightly to the carbonized wood or TiN as current collector. These macro-structures of electrodes were effective in improving the high rate property. The sort of substrates affected the nano-structure of pyrocarbon. The pyrocarbon in sample (A) had the relatively high crystallinity, whereas the pyrocarbon in sample (B) was disordered. The capacity of pyrocarbon in sample (B) was higher than that of sample (A), reflecting the disordered microstructure of pyrocarbon film (B). However, sample (A) showed higher Coulombic efficiency at first cycle (i.e. 87%) than that of sample (B), which would result from the high crystallinity, laminar microstructure and low surface area of pyrocarbon in sample (A)

  7. Growth mechanism and elemental distribution of beta-Ga2O3 crystalline nanowires synthesized by cobalt-assisted chemical vapor deposition.

    Science.gov (United States)

    Wang, Hui; Lan, Yucheng; Zhang, Jiaming; Crimp, Martin A; Ren, Zhifeng

    2012-04-01

    Long beta-Ga2O3 crystalline nanowires are synthesized on patterned silicon substrates using chemical vapor deposition technique. Advanced electron microscopy indicates that the as-grown beta-Ga2O3 nanowires are consisted of poly-crystalline (Co, Ga)O tips and straight crystalline beta-Ga2O3 stems. The catalytic cobalt not only locates at the nanowire tips but diffuses into beta-Ga2O3 nanowire stems several ten nanometers. A solid diffusion growth mechanism is proposed based on the spatial elemental distribution along the beta-Ga2O3 nanowires at nanoscale.

  8. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  9. An efficient laser vaporization source for chemically modified metal clusters characterized by thermodynamics and kinetics

    Science.gov (United States)

    Masubuchi, Tsugunosuke; Eckhard, Jan F.; Lange, Kathrin; Visser, Bradley; Tschurl, Martin; Heiz, Ulrich

    2018-02-01

    A laser vaporization cluster source that has a room for cluster aggregation and a reactor volume, each equipped with a pulsed valve, is presented for the efficient gas-phase production of chemically modified metal clusters. The performance of the cluster source is evaluated through the production of Ta and Ta oxide cluster cations, TaxOy+ (y ≥ 0). It is demonstrated that the cluster source produces TaxOy+ over a wide mass range, the metal-to-oxygen ratio of which can easily be controlled by changing the pulse duration that influences the amount of reactant O2 introduced into the cluster source. Reaction kinetic modeling shows that the generation of the oxides takes place under thermalized conditions at less than 300 K, whereas metal cluster cores are presumably created with excess heat. These characteristics are also advantageous to yield "reaction intermediates" of interest via reactions between clusters and reactive molecules in the cluster source, which may subsequently be mass selected for their reactivity measurements.

  10. Atmospheric Pressure Spray Chemical Vapor Deposited CuInS2 Thin Films for Photovoltaic Applications

    Science.gov (United States)

    Harris, J. D.; Raffaelle, R. P.; Banger, K. K.; Smith, M. A.; Scheiman, D. A.; Hepp, A. F.

    2002-01-01

    Solar cells have been prepared using atmospheric pressure spray chemical vapor deposited CuInS2 absorbers. The CuInS2 films were deposited at 390 C using the single source precursor (PPh3)2CuIn(SEt)4 in an argon atmosphere. The absorber ranges in thickness from 0.75 - 1.0 micrometers, and exhibits a crystallographic gradient, with the leading edge having a (220) preferred orientation and the trailing edge having a (112) orientation. Schottky diodes prepared by thermal evaporation of aluminum contacts on to the CuInS2 yielded diodes for films that were annealed at 600 C. Solar cells were prepared using annealed films and had the (top down) composition of Al/ZnO/CdS/CuInS2/Mo/Glass. The Jsc, Voc, FF and (eta) were 6.46 mA per square centimeter, 307 mV, 24% and 0.35%, respectively for the best small area cells under simulated AM0 illumination.

  11. Unraveling the growth of vertically aligned multi-walled carbon nanotubes by chemical vapor deposition

    International Nuclear Information System (INIS)

    Ramirez, A; Royo, C; Latorre, N; Mallada, R; Monzón, A; Tiggelaar, R M

    2014-01-01

    The interaction between the main operational variables during the growth of vertically aligned multiwalled carbon nanotubes (VA-MWCNTs) by catalytic chemical vapor deposition is studied. In this contribution, we report the influence of the carbon source (i.e. acetylene, ethylene and propylene), the reaction/activation temperature, the rate of heating, the reaction time, the metal loading, and the metallic nanoparticle size and distribution on the growth and alignment of carbon nanotubes. Fe/Al thin films deposited onto silicon samples by electron-beam evaporation are used as catalyst. A phenomenological growth mechanism is proposed to explain the interaction between these multiple factors. Three different outcomes of the synthesis process are found: i) formation of forests of non-aligned, randomly oriented multi-walled carbon nanotubes, ii) growth of vertically aligned tubes with a thin and homogeneous carbonaceous layer on the top, and iii) formation of vertically aligned carbon nanotubes. This carbonaceous layer (ii) has not been reported before. The main requirements to promote vertically aligned carbon nanotube growth are determined. (paper)

  12. Hot-wire chemical vapor synthesis for a variety of nano-materials with novel applications

    International Nuclear Information System (INIS)

    Dillon, A.C.; Mahan, A.H.; Deshpande, R.; Alleman, J.L.; Blackburn, J.L.; Parillia, P.A.; Heben, M.J.; Engtrakul, C.; Gilbert, K.E.H.; Jones, K.M.; To, R.; Lee, S-H.; Lehman, J.H.

    2006-01-01

    Hot-wire chemical vapor deposition (HWCVD) has been demonstrated as a simple economically scalable technique for the synthesis of a variety of nano-materials in an environmentally friendly manner. For example we have employed HWCVD for the continuous production of both carbon single- and multi-wall nanotubes (SWNTs and MWNTs). Unanticipated hydrogen storage on HWCVD-generated MWNTs has led insight into the adsorption mechanism of hydrogen on metal/carbon composites at near ambient temperatures that could be useful for developing a vehicular hydrogen storage system. Recent efforts have been focused on growing MWNT arrays on thin nickel films with a simple HWCVD process. New data suggests that these MWNT arrays could replace the gold black coatings currently used in pyroelectric detectors to accurately measure laser power. Finally, we have very recently employed HWCVD for the production of crystalline molybdenum and tungsten oxide nanotubes and nanorods. These metal oxide nanorods and nanotubes could have applications in catalysis, batteries and electrochromic windows or as gas sensors. A summary of the techniques for growing these novel materials and their various potential applications is provided

  13. Water-assisted growth of graphene on carbon nanotubes by the chemical vapor deposition method.

    Science.gov (United States)

    Feng, Jian-Min; Dai, Ye-Jing

    2013-05-21

    Combining carbon nanotubes (CNTs) with graphene has been proved to be a feasible method for improving the performance of graphene for some practical applications. This paper reports a water-assisted route to grow graphene on CNTs from ferrocene and thiophene dissolved in ethanol by the chemical vapor deposition method in an argon flow. A double injection technique was used to separately inject ethanol solution and water for the preparation of graphene/CNTs. First, CNTs were prepared from ethanol solution and water. The injection of ethanol solution was suspended and water alone was injected into the reactor to etch the CNTs. Thereafter, ethanol solution was injected along with water, which is the key factor in obtaining graphene/CNTs. Transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and Raman scattering analyses confirmed that the products were the hybrid materials of graphene/CNTs. X-ray photo-electron spectroscopy analysis showed the presence of oxygen rich functional groups on the surface of the graphene/CNTs. Given the activity of the graphene/CNT surface, CdS quantum dots adhered onto it uniformly through simple mechanical mixing.

  14. Low temperature metalorganic chemical vapor deposition of gallium nitride using dimethylhydrazine as nitrogen source

    Energy Technology Data Exchange (ETDEWEB)

    Hsu, Y.J.; Hong, L.S.; Huang, K.F.; Tsay, J.E

    2002-11-01

    Gallium nitride (GaN) films have been homoepitaxially grown by low pressure metalorganic chemical vapor deposition technique using dimethylhydrazine (DMHy) and trimethylgallium (TMG) as the reactants at low temperatures ranging from 873 to 923 K and a constant pressure of 10 Torr. The potential of utilizing DMHy as a nitrogen source is evaluated through understanding the kinetics of GaN film growth. A growth rate dependency study with respect to DMHy and TMG concentrations indicates that Langmuir-Hinshelwood typed reaction dominates the film growth. From a model fitting to the experimental film growth rate, the adsorption equilibrium constant of DMHy is found to be approximately 1/20 that of TMG, indicating that V/III feed ratio can be reduced down to 20 to obtain a stoichiometric GaN film. Based on X-ray photoelectron spectroscope measurement, the films formed by DMHy, however, accompany significant carbon contamination due to the strong C-N bonding in DMHy. The contamination can be relieved effectively by introducing H{sub 2} into the reaction.

  15. Low temperature metalorganic chemical vapor deposition of gallium nitride using dimethylhydrazine as nitrogen source

    International Nuclear Information System (INIS)

    Hsu, Y.J.; Hong, L.S.; Huang, K.F.; Tsay, J.E.

    2002-01-01

    Gallium nitride (GaN) films have been homoepitaxially grown by low pressure metalorganic chemical vapor deposition technique using dimethylhydrazine (DMHy) and trimethylgallium (TMG) as the reactants at low temperatures ranging from 873 to 923 K and a constant pressure of 10 Torr. The potential of utilizing DMHy as a nitrogen source is evaluated through understanding the kinetics of GaN film growth. A growth rate dependency study with respect to DMHy and TMG concentrations indicates that Langmuir-Hinshelwood typed reaction dominates the film growth. From a model fitting to the experimental film growth rate, the adsorption equilibrium constant of DMHy is found to be approximately 1/20 that of TMG, indicating that V/III feed ratio can be reduced down to 20 to obtain a stoichiometric GaN film. Based on X-ray photoelectron spectroscope measurement, the films formed by DMHy, however, accompany significant carbon contamination due to the strong C-N bonding in DMHy. The contamination can be relieved effectively by introducing H 2 into the reaction

  16. In situ synchrotron X-ray studies during metal-organic chemical vapor deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, Carol [Northern Illinois Univ., DeKalb, IL (United States); Argonne National Lab., Argonne, IL (United States); Highland, Matthew J.; Perret, Edith; Fuoss, Paul H.; Streiffer, Stephen K.; Stephenson, G. Brian [Argonne National Lab., Argonne, IL (United States); Richard, Marie-Ingrid [Universite Paul Cezanne Aix-Marseille, Marseille (France)

    2012-07-01

    In-situ, time-resolved techniques provide valuable insight into the complex interplay of surface structural and chemical evolution occurring during materials synthesis and processing of semiconductors. Our approach is to observe the evolution of surface structure and morphology at the atomic scale in real-time during metal organic vapor phase deposition (MOCVD) by using grazing incidence x-ray scattering and X-ray fluorescence, coupled with visible light scattering. Our vertical-flow MOCVD chamber is mounted on a 'z-axis' surface diffractometer designed specifically for these studies of the film growth, surface evolution and the interactions within a controlled growth environment. These techniques combine the ability of X-rays to penetrate a complex environment for measurements during growth and processing, with the sensitivity of surface scattering techniques to atomic and nanoscale structure. In this talk, we outline our program and discuss examples from our in-situ and real-time X-ray diffraction and fluorescence studies of InN, GaN, and InGaN growth on GaN(0001).

  17. The ion mobility spectrometer for high explosive vapor detection

    International Nuclear Information System (INIS)

    Cohen, M.J.; Stimac, R.M.; Wernlund, R.F.

    1984-01-01

    The Phemto-Chem /SUP R/ Model 100 Ion Mobility Spectrometer (IMS) operates in air and measures a number of explosive vapors at levels as low as partsper-trillion in seconds. The theory and operation of this instrument is discussed. The IMS inhales the vapor sample in a current of air and generates characteristic ions which are separated by time-of -ion drift in the atmospheric pressure gas. Quantitative results, using a dilution tunnel and standard signal generator with TNT, nitroglycerine, ethylene glycol dinitrate, cyclohexanone, methylamine, octafluoronaphthalene and hexafluorobenzene, are given. Rapid sample treatment with sample concentrations, microprocessor signal readout and chemical identification, offer a realistic opportunity of rapid explosive vapor detection at levels down to 10 -14 parts by volume in air

  18. Novel ion-molecular surface reaction to result in CH3 adsorbates on (111) surface of chemical vapor deposition diamond from ethane and surface anionic sites

    International Nuclear Information System (INIS)

    Komatsu, Shojiro; Okada, Katsuyuki; Shimizu, Yoshiki; Moriyoshi, Yusuke

    2001-01-01

    The existence of CH 3 adsorbates on (111) surface of chemical vapor deposited diamond, which was observed by scanning tunneling microscopy, was explained by the following S N 2 (bimolecular, substitutional, and nucleophilic) type surface reaction; C(s) - +C 2 H 6 ->C(s)-CH 3 +CH 3 - , where C(s) denotes a surface carbon atom. The activation energy was estimated to be 36.78 kcal/mol and the reaction proved to be exothermic with the enthalpy change of -9.250 kcal/mol, according to ab initio molecular orbital calculations at MP2/3-21+G * //RHF/3-21G * level; this result is consistent with typical substrate temperatures, namely about 900 degree C, for chemical vapor deposition of diamond. Charge transfer from the highest occupied molecular orbital of the surface anionic site to the lowest unoccupied molecular orbital of ethane, that is antibonding at the CH 3 - CH 3 bond, has been clearly visualized. A characteristic configuration of an ethane molecule which is associated with an anionic vacant site C(s) - on hydrogenated (111) surface of diamond was also found. [copyright] 2001 American Institute of Physics

  19. Importance of moisture determination in studies of infiltration and surface runoff for long periods

    Directory of Open Access Journals (Sweden)

    Fabian Fulginiti

    2011-08-01

    Full Text Available The determination of the natural soil moisture is essential to solve problems related to irrigation water requirements, environmental considerations, and determination of surplus water. For the determination of runoff one can adopt models that consider exclusively the infiltration as a loss or one could use computational models of infiltration to model the infiltrated water. Models based on the infiltration calculation consider well the interaction between infiltration - runoff processes and provide additional information on the phenomenon of infiltration which establishes the existing conditions of moisture in the soil before the occurrence of a new event (simulation for long periods. These models require solving Richards’s equation and for this purpose it is necessary to determine the relation between the soil moisture - suction and hydraulic conductivity - suction which require the determination of the hydraulic properties that can be obtained by measuring the water content by moisture profiles. The aim of this study was the verification of these moisture curves in loessic soils in the south of the city of Cordoba, Argentina. To do this, measurements were done and compared with results of infiltration models based on the determined hydraulic functions. The measurements were done using three probes installed at different depths. The results showed that the values obtained with NETRAIN adequately represent the behavior of wetting and drying conditions of the studied soil.The determination of these curves provided a basis for future studies that include the advancement of agricultural chemicals in the soil and its potential capacity to pollute groundwater, fundamental issue to define environmental management policies.

  20. Synthesis of Three-dimensional Polymer Nanostructures via Chemical Vapor Deposition

    Science.gov (United States)

    Cheng, Kenneth

    Chemical vapor deposition (CVD) is a widely practiced methodology for preparing thin film polymer coatings, and the coatings can be applied to a broad range of materials, including three-dimensional solid structures and low-vapor pressure liquids. Reactive poly(p-xylylene) (PPX) coatings prepared by CVD can be used as a powerful tool for surface functionalization and bio-conjugation. The first portion of this dissertation serves to extend the use of CVD-based reactive PPX coatings as a surface functionalization strategy for the conjugation of biomolecules. Micro-structured PPX coatings having multiple surface reactive groups were fabricated. Multiple orthogonal click reactions were then employed to selectively immobilize galactose and mannobiose to the micro-structured polymer coatings. The presence of different types of carbohydrate enables lectins binding for examining ligands/cell receptor interactions. This dissertation also demonstrates the use of CVD-based reactive PPX coatings as intermediate layers to immobilize adenoviral vectors onto tissue scaffolds. The ability to tether adenoviral vectors on tissue scaffolds localizes the transduction near the scaffold surface and reduces acute toxicity and hepatic pathology cause by direct administration of the viral vector, providing a safe and efficient gene therapy delivery strategy. In the second portion of this dissertation, we explore the CVD of PPX onto surfaces coated with a thin layer of liquid crystal (LC). Instead of forming a conformal PPX coating encapsulating the LC layer, PPX assembled into an array of high-aspect ratio nanofibers inside the LC layer. The LC layer was demonstrated to act as a template where the anisotropic internal ordering of the LC facilitated the formation of nanofibers. The diameter of the nanofibers was in the range of 100 nm and could be tuned by type of LC template used, and the length of the nanofibers could be precisely controlled by varying the thickness of the LC film. The