WorldWideScience

Sample records for chemical solution deposition

  1. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  2. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  3. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  4. Chemical solution deposition: a path towards low cost coated conductors

    International Nuclear Information System (INIS)

    Obradors, X; Puig, T; Pomar, A; Sandiumenge, F; Pinol, S; Mestres, N; Castano, O; Coll, M; Cavallaro, A; Palau, A; Gazquez, J; Gonzalez, J C; Gutierrez, J; Roma, N; Ricart, S; Moreto, J M; Rossell, M D; Tendeloo, G van

    2004-01-01

    The achievement of low cost deposition techniques for high critical current YBa 2 Cu 3 O 7 coated conductors is one of the major objectives to achieve a widespread use of superconductivity in power applications. Chemical solution deposition techniques are appearing as a very promising methodology to achieve epitaxial oxide thin films at a low cost, so an intense effort is being carried out to develop routes for all chemical coated conductor tapes. In this work recent achievements will be presented towards the goal of combining the deposition of different type of buffer layers on metallic substrates based on metal-organic decomposition with the growth of YBa 2 Cu 3 O 7 layers using the trifluoroacetate route. The influence of processing parameters on the microstructure and superconducting properties will be stressed. High critical currents are demonstrated in 'all chemical' multilayers

  5. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  6. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  7. Deposition and characteristics of PbS thin films by an in-situ solution chemical reaction process

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Junna; Ji, Huiming; Wang, Jian; Zheng, Xuerong; Lai, Junyun; Liu, Weiyan; Li, Tongfei [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China); Ma, Yuanliang; Li, Haiqin; Zhao, Suqin [College of Physics and Electronic Information Engineering, Qinghai University for Nationalities, Xining 810007 (China); Jin, Zhengguo, E-mail: zhgjin@tju.edu.cn [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China)

    2015-09-01

    Preferential oriented and uniform PbS thin films were deposited by a room temperature in-situ solution chemical reaction process, in which the lead nitrate as precursor in a form of thin solid films from lead precursor solution was used to react with ammonium sulfide ethanol solution. Influence of 1-butanol addition in the lead precursor solution, Pb:S molar ratios in the separate cationic and anionic solutions, deposition cycle numbers and annealing treatment in Ar atmosphere on structure, morphology, chemical composition and optical absorption properties of the deposited PbS films were investigated based on X-ray diffraction, field emission scanning electron microscopy, energy dispersive spectrometer, atomic force microscopy, selected area electron diffraction, UV–vis, near infrared ray and fourier transform infrared spectroscopy measurements. The results showed that the deposited PbS thin films had a cubic structure and highly preferred orientation along with the plane (100). The deposition rate of single-layer was stable, about 30 nm in thickness per deposition cycle. - Highlights: • Time-efficiency synthetic method for the preparation of lead sulfide (PbS) films • Effect of 1-butanol addition into cationic precursor solution is discussed. • Growth rate of the PbS films is stable at about 30 nm per cycle.

  8. Chemical solution deposition of YBCO thin film by different polymer additives

    International Nuclear Information System (INIS)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y.; Zhang, H.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T c = 90 K as well as high J c (0 T, 77 K) over 3 MA/cm 2

  9. Chemical solution deposition of YBCO thin film by different polymer additives

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)], E-mail: yzhao@swjtu.edu.cn

    2008-09-15

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T{sub c} = 90 K as well as high J{sub c} (0 T, 77 K) over 3 MA/cm{sup 2}.

  10. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    International Nuclear Information System (INIS)

    Elen, Ken; Capon, Boris; De Dobbelaere, Christopher; Dewulf, Daan; Peys, Nick; Detavernier, Christophe; Hardy, An; Van Bael, Marlies K.

    2014-01-01

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum

  11. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Elen, Ken [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Strategisch Initiatief Materialen (SIM), SoPPoM Program (Belgium); Capon, Boris [Strategisch Initiatief Materialen (SIM), SoPPoM Programm (Belgium); Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); De Dobbelaere, Christopher [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Dewulf, Daan [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Peys, Nick [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw, Kapeldreef 75, B-3001 Heverlee (Belgium); Detavernier, Christophe [Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); Hardy, An [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Van Bael, Marlies K., E-mail: marlies.vanbael@uhasselt.be [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium)

    2014-03-31

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum.

  12. Orientation control of chemical solution deposited LaNiO3 thin films

    International Nuclear Information System (INIS)

    Ueno, Kengo; Yamaguchi, Toshiaki; Sakamoto, Wataru; Yogo, Toshinobu; Kikuta, Koichi; Hirano, Shin-ichi

    2005-01-01

    High quality LaNiO 3 (LNO) thin films with preferred orientation could be synthesized on Pt/Ti/SiO 2 /Si substrates at 700 deg. C using the chemical solution deposition method. The homogeneous and stable LNO precursor solutions were prepared using lanthanum isopropoxide and nickel (II) acetylacetonate in a mixed solvent of absolute ethanol and 2-methoxyethanol. The oriented LNO thin films exhibit metallic electro-conduction, and their resistivity at room temperature is sufficiently low for making them an alternative electrode material for functional ceramic thin films

  13. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments

  14. Chemical solution deposition of CaCu3Ti4O12 thin film

    Indian Academy of Sciences (India)

    Administrator

    CaCu3Ti4O12; thin film; chemical solution deposition; dielectric properties. 1. Introduction. The CaCu3Ti4O12. (CCTO) compound has recently attracted considerable ... and Kelvin probe force microscopy (Chung et al 2004). Intrinsic .... SEM images of CCTO thin films as a function of sintering temperature. silicon based ...

  15. Deposition barium titanate (BaTiO3) doped lanthanum with chemical solution deposition

    International Nuclear Information System (INIS)

    Iriani, Y.; Nurhadi, N.; Jamaludin, A.

    2016-01-01

    Deposition of Barium Titanate (BaTiO 3 ) thin films used Chemical Solution Deposition (CSD) method and prepared with spin coater. BaTiO 3 is doped with lanthanum, 1%, 2%, and 3%. The thermal process use annealing temperature 900°C and holding time for 3 hours. The result of characterization with x-ray diffraction (XRD) equipment show that the addition of La 3+ doped on Barium Titanate caused the change of angle diffraction.The result of refine with GSAS software shows that lanthanum have been included in the structure of BaTiO 3 . Increasing mol dopant La 3+ cause lattice parameter and crystal volume become smaller. Characterization result using Scanning Electron Microscopy (SEM) equipment show that grain size (grain size) become smaller with increasing mole dopant (x) La 3+ . The result of characterization using Sawyer Tower methods show that all the samples (Barium Titanante and Barium Titanate doped lanthanum) are ferroelectric material. Increasing of mole dopant La 3+ cause smaller coercive field and remanent polarization increases. (paper)

  16. Comparison of chemical solution deposition systems for the fabrication of lead zirconate titanate thin films

    International Nuclear Information System (INIS)

    Lecarpentier, F.; Daglish, M.; Kemmitt, T.

    2001-01-01

    Ferroelectric thin films of lead zirconate titanate Pb(Zr x Ti 1-x )O 3 (PZT) were prepared from five chemical solution deposition (CSD) systems, namely methoxyethanol, citrate, diol, acetic acid and triethanolamine. Physical characteristics of the solutions, processing parameters and physical and electrical properties of the films were used to assess the relative advantages and disadvantages of the different chemical systems. All the CSD systems decomposed to produce single phase perovskite PZT at temperatures above 650 deg C. Thin film deposition was influenced by the specific characteristics of each system such as wetting on the substrate and viscosity. Distinct precursor effects on the thin film crystallinity and electrical performance were revealed. The diol route yielded films with the highest crystallite size, highest permittivity and lowest loss tangent. The relative permittivity exhibited by films made by the other routes were 25% to 35% lower at equivalent thicknesses. Copyright (2001) The Australian Ceramic Society

  17. Preparation of potassium tantalate niobate thin films by chemical solution deposition and their characterization

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Železný, Vladimír; Vaněk, Přemysl

    2005-01-01

    Roč. 25, č. 12 (2005), s. 2151-2154 ISSN 0955-2219 R&D Projects: GA ČR GA202/02/0238; GA MŠk(CZ) LN00A028; GA MŠk OC 528.001 Institutional research plan: CEZ:AV0Z40320502 Keywords : films * tantalates * chemical solution deposition Subject RIV: CA - Inorganic Chemistry Impact factor: 1.567, year: 2005

  18. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  19. Comparative study of ZnSe thin films deposited from modified chemical bath solutions with ammonia-containing and ammonia-free precursors

    International Nuclear Information System (INIS)

    Chen Liangyan; Zhang Daoli; Zhai Guangmei; Zhang Jianbing

    2010-01-01

    Ammonia is one of the complexing agents which are the most commonly used in the precursors of ZnSe thin films by chemical bath deposition, but its high volatility may be harmful to human beings and environments. In our experiments, ZnSe films were obtained from modified chemical solutions with ammonia-containing and ammonia-free precursors. X-ray diffraction, field-emission scanning electron microscope (FSEM), and absorption spectrum were applied to investigate the microstructure, morphology and optical properties of the samples obtained from both growth conditions, which were investigated in this work. The ammonia-free chemical bath deposited ZnSe films showed comparable properties with the ammonia-containing ones, indicating that ZnSe films from ammonia-free chemical solution may be preferred buffer layer in thin film solar cells with less environmental contamination.

  20. Phase Evolution of YBa2Cu3O7-x films by all-chemical solution deposition route for coated conductors

    DEFF Research Database (Denmark)

    Yue, Zhao; Tang, Xiao; Wu, Wei

    2014-01-01

    In order to understand the all-chemical-solution-deposition (CSD) processes for manufacturing coated conductors, we investigated the phase evolution of YBa2Cu3O7 (YBCO) films deposited by a low-fluorine metal-organic solution deposition (LF-MOD) method on CSD derived Ce0.9La0.1O2/Gd2Zr2O7/Ni......W. It is shown that the phase transition from the pyrolyzed film to fully converted YBCO film in the LF-MOD process is similar to that in typical trifluoroacetates-metal organic deposition (TFA-MOD) processes even though the amount of TFA in the solution is reduced by almost one half compared with typical TFA...

  1. In-Situ Synchrotron X-ray Study of the Phase and Texture Evolution of Ceria and Superconductor Films Deposited by Chemical Solution Method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; He, Dong

    2012-01-01

    In situ synchrotron x-ray diffraction is used to study the phase and texture formation of ceria based films and superconductor films deposited by the chemical solution method on technical substrates. Combined analysis using in situ synchrotron x-ray diffraction, thermogravimetry/differential ther......In situ synchrotron x-ray diffraction is used to study the phase and texture formation of ceria based films and superconductor films deposited by the chemical solution method on technical substrates. Combined analysis using in situ synchrotron x-ray diffraction, thermogravimetry...

  2. Thick Fe2O3, Fe3O4 films prepared by the chemical solution deposition method

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Košovan, P.; Šubrt, Jan

    2006-01-01

    Roč. 39, č. 2 (2006), s. 85-94 ISSN 0928-0707 R&D Projects: GA ČR GA203/01/0408 Institutional research plan: CEZ:AV0Z40320502 Keywords : chemical solution deposition * thick films * alpha-Fe2O3 Subject RIV: CA - Inorganic Chemistry Impact factor: 1.009, year: 2006

  3. Chemical solution deposition of LaMnO3-based films for coated conductors

    International Nuclear Information System (INIS)

    Shi, D Q; Zhu, X B; Kim, J H; Wang, L; Zeng, R; Dou, S X; Lei, H C; Sun, Y P

    2008-01-01

    LaMnO 3 -based films were prepared using the chemical solution deposition method. It was found that the films on perovskite oxide single crystal substrates are highly (h00)-oriented when the annealing atmosphere is oxygen or air; however, when the substrate is yttrium-stabilized ZrO 2 , only the La 1-x Na x MnO 3 films are highly (h00)-oriented, and other LaMnO 3 -based films are (110)-oriented. Under a reducing annealing atmosphere, the atmosphere must be wet in order to create a suitable oxygen partial pressure to crystallize the LaMnO 3 -based films. After annealing under a wet reducing atmosphere the LaMnO 3 -based films are (110)-oriented when the films are directly deposited on Ni tapes; however, when SrTiO 3 -buffered Ni tapes are used, the LaMnO 3 films are (h00)-oriented, which is suitable for subsequent growth of YBCO. The results suggest that it is possible to tune the orientation of buffer layers using suitable templates, which can widen the selection of buffer layers for coated conductors in the all metallorganic deposition approach

  4. Oriented growth of Sr n+1Ti n O3n+1 Ruddlesden-Popper phases in chemical solution deposited thin films

    International Nuclear Information System (INIS)

    Gutmann, Emanuel; Levin, Alexandr A.; Reibold, Marianne; Mueller, Jan; Paufler, Peter; Meyer, Dirk C.

    2006-01-01

    Oriented thin films of perovskite-related Sr n +1 Ti n O 3 n +1 Ruddlesden-Popper phases (n=1, 2, 3) were grown on (001) single-crystalline SrTiO 3 substrates. Preparation of the films was carried out by wet chemical deposition from metalorganic Sr-Ti solutions (rich in Sr) and subsequent conversion into the crystalline state by thermal treatment in air atmosphere at a maximum temperature of 700 deg. C. Solutions were prepared by a modified Pechini method. The films were investigated by wide-angle X-ray scattering and high-resolution transmission electron microscopy. The phase content of powders prepared from the dried solutions and annealed under similar conditions differed from that present in the films, i.e. only polycrystalline SrTiO 3 was detected together with oxides of Ti and Sr. - Graphical abstract: Cross-sectional image of an oriented chemical solution deposited thin film obtained by high-resolution transmission electron microscopy. Periodical spacings corresponding to SrTiO 3 substrate (right) and Sr 2 TiO 4 Ruddlesden-Popper phase (n=1) film region (left) are marked

  5. M-type ferrites as template layers for the growth of oriented Y-type ferrites through chemical solution deposition method

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Uhrecký, Róbert; Kaščáková, Dorota; Slušná, Michaela; Dopita, M.; Kužel, R.

    2016-01-01

    Roč. 36, č. 13 (2016), s. 3173-3183 ISSN 0955-2219 R&D Projects: GA ČR(CZ) GA14-18392S Institutional support: RVO:61388980 Keywords : Chemical solution deposition * Hexagonal ferrites * Lattice misfit * Seed layer * Thin films Subject RIV: CA - Inorganic Chemistry Impact factor: 3.411, year: 2016

  6. Low-temperature transport properties of chemical solution deposited polycrystalline La0.7Sr0.3MnO3 ferromagnetic films under a magnetic field

    International Nuclear Information System (INIS)

    Zhu, Junyu; Chen, Ying; Xu, Wenfei; Yang, Jing; Bai, Wei; Wang, Genshui; Duan, Chungang; Tang, Zheng; Tang, Xiaodong

    2011-01-01

    Polycrystalline La 0.7 Sr 0.3 MnO 3 (LSMO) films were prepared on SiO 2 /Si (001) substrates by chemical solution deposition technique. Electrical and magnetic properties of LSMO were investigated. A minimum phenomenon in resistivity is found at the low temperature ( 0.7 Sr 0.3 MnO 3 films were grown by a modified chemical solution deposition route. → High quality LSMO thin films were prepared directly onto SiO 2 /Si substrates. → Abnormality in resistivity of LSMO films at low temperatures was studied in detail. → The abnormality was mainly attributed to Kondo-like spin dependent scattering.

  7. Growth and characterization of yttrium iron garnet films on Si substrates by Chemical Solution Deposition (CSD) technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Xin; Chen, Ying; Wang, Genshui [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Zhang, Yuanyuan [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ge, Jun [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China); Tang, Xiaodong [Key Laboratory of Polar Materials and Devices, Ministry of Education, Department of Electronic Engineering, East China Normal University, 500 Dongchuan Rd., Shanghai 200241 (China); Ponchel, Freddy; Rémiens, Denis [Institute of Electronics, Microelectronics and Nanotechnology (IEMN)–DOAE, UMR CNRS 8520, Université des Sciences et Technologies de Lille, 59652 Villeneuve d’Ascq Cedex (France); Dong, Xianlin, E-mail: xldong@mail.sic.ac.cn [Key Laboratory of Inorganic Function Materials and Devices, Shanghai Institute of Ceramics, Chinese Academy of Sciences, University of Chinese Academy of Sciences, 1295 Dingxi Rd., Shanghai 200050 (China)

    2016-06-25

    Yttrium Iron Garnet (YIG) films were prepared on Si substrates by Chemical Solution Deposition (CSD) technique using acetic acid and deionized water as solvents. Well-crystallized and crack-free YIG films were obtained when annealed at 750 °C and 850 °C respectively, showing a low surface roughness of several nanometers. When annealed at 750 °C for 30 min, the saturated magnetization (Ms) and coercive field (Hc) of YIG films were 0.121 emu/mm{sup 3} (4πMs = 1.52 kGs) and 7 Oe respectively, which were similar to that prepared by PLD technique. The peak-to-peak linewidth of ferromagnetic resonance (FMR) was 220 Oe at 9.10 GHz. The results demonstrated that CSD was an excellent technique to prepare high quality yttrium iron garnet (YIG) films on silicon, which could provide a lower-cost way for large-scale production on Si-based integrated devices. - Highlights: • The preparation of YIG films by Chemical Solution Deposition are demonstrated. • Well-crystallized and crack-free YIG films can be obtained on Si substrate by CSD. • YIG films can be crystallized in 750 °C with good magnetic performances. • It's beneficial to large-scale production of YIG films on Si integrated devices.

  8. Surface engineering of biaxial Gd2Zr2O7 thin films deposited on Ni–5at%W substrates by a chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Liu, Min

    2012-01-01

    crystal structure along the film thickness observed by a transmission electron microscope. On the basis of the enhanced understanding of the crystallization processes, we demonstrate a possibility of engineering the surface morphology and texture in the film deposited on technical substrates using...... a chemical solution deposition route....

  9. Growing barium hexaferrite (BaFe{sub 12}O{sub 19}) thin films using chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Budiawanti, Sri, E-mail: awanty77@yahoo.com [Graduate Program of Materials Science, Department of Physics, FMIPA, Universitas Indonesia, Kampus UI Depok (Indonesia); Faculty of Teacher Training and Education, Sebelas Maret University (Indonesia); Soegijono, Bambang [Multiferroic Laboratory, Department of Physics, FMIPA, Universitas Indonesia, Kampus UI Depok (Indonesia)

    2016-04-19

    Barium hexaferrite (BaFe{sub 12}O{sub 19}, or simply known as BaM) thin films has been recognized as a potential candidate for microwave-based devices, magnetic recording media and data storage. To grow BaM thin films, chemical solution deposition is conducted using the aqueous solution of metal nitrates, which involves spin coatings on Si substrates. Furthermore, Thermal Gravimeter Analysis (TGA), X-Ray Diffractometer (XRD), Scanning Electron Microscopy (SEM) and Vibrating Sample Magnetometer (VSM) are applied to evaluate the decomposition behavior, structure, morphology, and magnetic properties of BaM thin films. Additionally, the effects of number of layers variation are also investigated. Finally, magnetic properties analysis indicates the isotropic nature of the films.

  10. Growing barium hexaferrite (BaFe_1_2O_1_9) thin films using chemical solution deposition

    International Nuclear Information System (INIS)

    Budiawanti, Sri; Soegijono, Bambang

    2016-01-01

    Barium hexaferrite (BaFe_1_2O_1_9, or simply known as BaM) thin films has been recognized as a potential candidate for microwave-based devices, magnetic recording media and data storage. To grow BaM thin films, chemical solution deposition is conducted using the aqueous solution of metal nitrates, which involves spin coatings on Si substrates. Furthermore, Thermal Gravimeter Analysis (TGA), X-Ray Diffractometer (XRD), Scanning Electron Microscopy (SEM) and Vibrating Sample Magnetometer (VSM) are applied to evaluate the decomposition behavior, structure, morphology, and magnetic properties of BaM thin films. Additionally, the effects of number of layers variation are also investigated. Finally, magnetic properties analysis indicates the isotropic nature of the films.

  11. Sm-doped CeO2 single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    International Nuclear Information System (INIS)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    An over 150 nm thick Sm 0.2 Ce 0.8 O 1.9-x (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T c0 = 87 K as well as J c (0 T, 77 K) ∼ 1 MA/cm 2 . These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO 2 film, which renders it a promising candidate as single buffer layer for YBCO coated conductors

  12. Restoration of uranium solution mining deposits

    International Nuclear Information System (INIS)

    Devries, F.W.; Lawes, B.C.

    1982-01-01

    A process is provided for restoring an ore deposit after uranium solution mining using ammonium carbonate leaching solutions has ceased. The process involves flushing the deposit with an aqueous solution of a potassium salt during which potassium ions exchange with ammonium ions remaining in the deposit. The ammonium containing flushing solution is withdrawn from the deposit for disposal

  13. Restoration of uranium solution mining deposits

    Energy Technology Data Exchange (ETDEWEB)

    Devries, F.W.; Lawes, B.C.

    1982-01-19

    A process is provided for restoring an ore deposit after uranium solution mining using ammonium carbonate leaching solutions has ceased. The process involves flushing the deposit with an aqueous solution of a potassium salt during which potassium ions exchange with ammonium ions remaining in the deposit. The ammonium containing flushing solution is withdrawn from the deposit for disposal.

  14. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  15. Study of the crystallographic phase change on copper (I) selenide thin films prepared through chemical bath deposition by varying the pH of the solution

    Energy Technology Data Exchange (ETDEWEB)

    Sandoval-Paz, M.G., E-mail: myrnasandoval@udec.cl [Departament of Physics, Faculty of Physical Sciences and Mathematics, University of Concepcion, Box 160-C, Concepción (Chile); Rodríguez, C.A. [Department of Materials Engineering, Faculty of Engineering, University of Concepción, Edmundo Larenas 270, Concepción 4070409 (Chile); Porcile-Saavedra, P.F. [Departament of Physics, Faculty of Physical Sciences and Mathematics, University of Concepcion, Box 160-C, Concepción (Chile); Trejo-Cruz, C. [Department of Physics, Faculty of Science, University of Biobío, Avenue Collao 1202, Box 5C, Concepción 4051381 (Chile)

    2016-07-15

    Copper (I) selenide thin films with orthorhombic and cubic structure were deposited on glass substrates by using the chemical bath deposition technique. The effects of the solution pH on the films growth and subsequently the structural, optical and electrical properties of the films were studied. Films with orthorhombic structure were obtained from baths wherein both metal complex and hydroxide coexist; while films with cubic structure were obtained from baths where the metal hydroxide there is no present. The structural modifications are accompanied by changes in bandgap energy, morphology and electrical resistivity of the films. - Graphical abstract: “Study of the crystallographic phase change on copper (I) selenide thin films prepared through chemical bath deposition by varying the pH of the solution” by M. G. Sandoval-Paz, C. A. Rodríguez, P. F. Porcile-Saavedra, C. Trejo-Cruz. Display Omitted - Highlights: • Copper (I) selenide thin films were obtained by chemical bath deposition. • Orthorhombic to cubic phase change was induced by varying the reaction solution pH. • Orthorhombic phase is obtained mainly from a hydroxides cluster mechanism. • Cubic phase is obtained mainly from an ion by ion mechanism. • Structural, optical and electrical properties are presented as a function of pH.

  16. Superconducting Dy1-x(Gd,Yb)xBa2Cu3O7-δ thin films made by Chemical Solution Deposition

    DEFF Research Database (Denmark)

    Opata, Yuri Aparecido; Wulff, Anders Christian; Hansen, Jørn Otto Bindslev

    2016-01-01

    Dy1-x(Gd or Yb)xBa2Cu3O7-δ samples were prepared using chemical solution deposition (CSD), based on trifluoroacetate metal-organic decomposition (MOD) methods. X-ray diffraction results demonstrated the formation of the RE123 superconducting phase with a strong in-plane and out-of-plane texture. c...

  17. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  18. Sm-doped CeO{sub 2} single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    Energy Technology Data Exchange (ETDEWEB)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia)], E-mail: yzhao@home.swjtu.edu.cn

    2008-10-20

    An over 150 nm thick Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T{sub c0} = 87 K as well as J{sub c}(0 T, 77 K) {approx} 1 MA/cm{sup 2}. These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO{sub 2} film, which renders it a promising candidate as single buffer layer for YBCO coated conductors.

  19. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    International Nuclear Information System (INIS)

    Seveno, R.; Braud, A.; Gundel, H.W.

    2005-01-01

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O 3 , PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO 3 ) by chemical solution deposition is studied. The SrRuO 3 thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO 3 layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 μC/cm were found

  20. SrAl12O19 thin films by chemical solution deposition and their use as buffer layers for oriented growth of hexagonal ferrites

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Uhrecký, Róbert; Kaščáková, Dorota; Kužel, R.; Holý, V.; Dopita, M.

    2016-01-01

    Roč. 616, OCT (2016), s. 228-237 ISSN 0040-6090 R&D Projects: GA ČR(CZ) GA14-18392S Institutional support: RVO:61388980 Keywords : Chemical solution deposition * Hexagonal aluminates * Hexagonal ferrites Subject RIV: CA - Inorganic Chemistry Impact factor: 1.879, year: 2016

  1. Epitaxial solution deposition of YBa2Cu3O7-6 coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Overmyer, Donald L.; Clem, Paul Gilbert; Siegal, Michael P.; Holesinger, Terry A. (Los Alamos National Laboratory, Los Alamos, NM); Voigt, James A.; Richardson, Jacob J.; Dawley, Jeffrey Todd

    2004-11-01

    A variety of solution deposition routes have been reported for processing complex perovskite-based materials such as ferroelectric oxides and conductive electrode oxides, due to ease of incorporating multiple elements, control of chemical stoichiometry, and feasibility for large area deposition. Here, we report an extension of these methods toward long length, epitaxial film solution deposition routes to enable biaxially oriented YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO)-coated conductors for superconducting transmission wires. Recent results are presented detailing an all-solution deposition approach to YBCO-coated conductors with critical current densities J{sub c} (77 K) > 1 MA/cm{sup 2} on rolling-assisted, biaxially textured, (200)-oriented Ni-W alloy tapes. Solution-deposition methods such as this approach and those of other research groups appear to have promise to compete with vapor phase methods for superconductor electrical properties, with potential advantages for large area deposition and low cost/kA {center_dot} m of wire.

  2. Physical and Chemical Characterization of Fat and Oil Deposits in Mashhad City Sewer Lines and the Solutions Developed

    Directory of Open Access Journals (Sweden)

    Mahdi kamali

    2017-01-01

    Full Text Available Formation of oil, fat, and grease deposits in sewer pipelines is a major concern as they result in sewage overflow and pose health problems. Analysis of two sample deposits collected from the sewer lines of Imam Reza Street in the city of Mashhad suggested that the chemical reactions promoted by edible oils in the sewer lines lead to the formation of insoluble soap and deposits. Being sticky, the deposits accumulate over time to eventually block the lines. The analysis also showed that the moisture content of the samples ranged between 50‒62%, indicating that water does not play a main role in their formation. The samples were also found to contain 61.78% and 84.35% saturated fatty acids, with palmitic acid being the dominant one. Calcium was the main metal in the samples, which is due to both water hardness and corrosion of the sewer lines. Based on the results obtained, the origin of these deposits, and the relevant protocols for the management of oil and fat wastes, solutions were proposed to prevent deposit formation and sewer line clogging that suit the special cultural and environmental conditions of the city. These proposals are under consideration for implementation in the region.

  3. Controlled growth of epitaxial CeO2 thin films with self-organized nanostructure by chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude

    2013-01-01

    Chemical solution deposition is a versatile technique to grow oxide thin films with self-organized nanostructures. Morphology and crystallographic orientation control of CeO2 thin films grown on technical NiW substrates by a chemical solution deposition method are achieved in this work. Based...

  4. Fabrication of Lead-Free Bi0.5Na0.5TiO3 Thin Films by Aqueous Chemical Solution Deposition

    Directory of Open Access Journals (Sweden)

    Mads Christensen

    2017-02-01

    Full Text Available Piezoelectric ceramics are widely used in actuator applications, and currently the vast majority of these devices are based on Pb ( Zr , Ti O 3 , which constitutes environmental and health hazards due to the toxicity of lead. One of the most promising lead-free material systems for actuators is based on Bi 0 . 5 Na 0 . 5 TiO 3 (BNT, and here we report on successful fabrication of BNT thin films by aqueous chemical solution deposition. The precursor solution used in the synthesis is based on bismuth citrate stabilized by ethanolamine, NaOH , and a Ti-citrate prepared from titanium tetraisopropoxide and citric acid. BNT thin films were deposited on SrTiO 3 and platinized silicon substrates by spin-coating, and the films were pyrolized and annealed by rapid thermal processing. The BNT perovskite phase formed after calcination at 500 °C in air. The deposited thin films were single phase according to X-ray diffraction, and the microstructures of the films shown by electron microscopy were homogeneous and dense. Decomposition of the gel was thoroughly investigated, and the conditions resulting in phase pure materials were identified. This new aqueous deposition route is low cost, robust, and suitable for development of BNT based thin film for actuator applications.

  5. Chemical nickel plating in tartrate solutions with borohydride reducing agent

    International Nuclear Information System (INIS)

    Plokhov, V.A.

    1986-01-01

    The authors investigate the influence of various factors on the rate of chemical nickel plating in strongly alkaline tartrate solutions with a borohydride reducing agent. After 30 min of the process of nickel plating, the final concentration of sodium borohydride decreases to 0.26 g/liter, leading to stoppage of the process. The nickel plating process can be intensified by increasing the concentration of sodium hydroxide in the solution, suppressing hydrolysis of borohydride, and also by introducing additives which suppress hydrolysis of borohydride. For chemical deposition of nickel-boron coatings from tartrate solutions the authors recommend the following composition (g/liter): nickel chloride 15-25, Rochelle salt 450-550, sodium hydroxide 140-160, sodium borohydride 0.8-1.0, thallium nitrate 0.003-0.008. The process temperature is 92-95 C, and the deposition rate is 4-6 um/h

  6. Elaboration of strontium ruthenium oxide thin films on metal substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Seveno, R. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)]. E-mail: raynald.seveno@univ-nantes.fr; Braud, A. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France); Gundel, H.W. [Universite de Nantes, Institut de Recherche en Electrotechnique et Electronique de Nantes Atlantique (IREENA), 2, rue de la Houssiniere, BP 92208, 44322 Nantes Cedex 3 (France)

    2005-12-22

    In order to improve the structural interface between a metal substrate and a lead zirconate titanate (Pb(ZrTi)O{sub 3}, PZT) ferroelectric thin film, the elaboration of strontium ruthenium oxide (SrRuO{sub 3}) by chemical solution deposition is studied. The SrRuO{sub 3} thin films were realized by multiple spin-coating technique and the temperature of the rapid thermal annealing process was optimized. The crystallization behavior was examined by X-ray diffraction; surface analyses using scanning electron microscope and atomic force microscope techniques showed the influence of the SrRuO{sub 3} layer at the interface PZT/metal on the morphology of the ferroelectric thin film. From the electrical measurements, a coercive electric field around 25 kV/cm and a remanent polarization of approximately 30 {mu}C/cm were found.

  7. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  8. Synthesis and characterization of nanoporous strontium-doped lanthanum cobaltite thin film using metal organic chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jun-Sik [Department of Mechanical Convergence Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Kim, Young-Beom, E-mail: ybkim@hanyang.ac.kr [Department of Mechanical Convergence Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2016-01-29

    By employing strontium as a dopant of lanthanum cobaltite (LaCoO{sub 3}), strontium-doped lanthanum cobaltite (La{sub 1−x}Sr{sub x}CoO{sub 3−δ}, LSC) thin film was fabricated using a metal organic chemical solution deposition (MOCSD) method. Lanthanum nitrate hexahydrate [La(NO{sub 3}){sub 3}6H{sub 2}O], strontium acetate [Sr(CH{sub 3}COO){sub 2}], and cobalt acetate tetrahydrate [Co(CH{sub 3}COO){sub 2}4H{sub 2}O] were used as precursors. The coating process was performed through a spin coating method on a substrate, which were then heat treated under various temperature conditions. Electrical properties, microstructures, and crystalline structures with respect to sintering temperature were analyzed. According to these analyses, the change in surface morphology, phase shift, and conductive properties were closely related, which could explain their respective behaviors. Furthermore, sintered strontium-doped lanthanum perovskite oxides showed various conductivities according to the amount of dopant. With the molar ratio of strontium that is stoichiometrically equivalent to lanthanum (La{sub 0.5}Sr{sub 0.5}CoO{sub 3−δ}) thin film showed the best conductivity in the sintering temperature range of 650–700 °C, with perovskite phases formed at this temperature condition. As the electrically conductive properties of the thin film are a function of thickness, the films were coated several times to a thickness of approximately 300 nm, with the lowest resistivity (approximately 9.06 × 10{sup −4} Ω cm) observed at the optimized sintering temperature and solution composition. - Highlights: • LSC thin film was fabricated by metal organic chemical solution deposition (MOCSD). • The film shows good agreement on the electrical conductivity of LSC by conventional methods. • The properties of LSC film are influenced by the surface morphology and crystalline phase. • Optimal molar ratio of strontium for the highest conductivity was investigated.

  9. Determination of electroless deposition by chemical nickeling

    Directory of Open Access Journals (Sweden)

    M. Badida

    2013-07-01

    Full Text Available Increasing of technical level and reliability of machine products in compliance with the economical and ecological terms belongs to the main trends of the industrial development. During the utilisation of these products there arise their each other contacts and the interaction with the environment. That is the reason for their surface degradation by wear effect, corrosion and other influences. The chemical nickel-plating allows autocatalytic deposition of nickel from water solutions in the form of coherent, technically very profitable coating without usage of external source of electric current. The research was aimed at evaluating the surface changes after chemical nickel-plating at various changes of technological parameters.

  10. Impact of acid atmospheric deposition on soils : quantification of chemical and hydrologic processes

    NARCIS (Netherlands)

    Grinsven, van J.J.M.

    1988-01-01

    Atmospheric deposition of SO x , NOx and NHx will cause major changes in the chemical composition of solutions in acid soils, which may affect the biological functions of the soil. This thesis deals with quantification of soil acidification by means of chemical

  11. Development of One Meter Long Double-Sided CeO2 Buffered Ni-5at.%W Templates by Reel-to-Reel Chemical Solution Deposition Route

    DEFF Research Database (Denmark)

    Yue, Zhao; Konstantopoulou, K.; Wulff, Anders Christian

    2013-01-01

    High performance long-length coated conductors fabricated using various techniques have attracted a lot of interest recently. In this work, a reel-to-reel design for depositing double-sided coatings on long-length flexible metallic tapes via a chemical solution method is proposed and realized...... layer are 7.2◦ and 5.8◦ with standard deviation of 0.26◦ and 0.34◦, respectively, being indicative of the high quality epitaxial growth of the films prepared in the continuous manner. An all chemical solution derived YBCOLow−TFA/Ce0.9La0.1O2/Gd2Zr2O7/CeO2 structure is obtained on a short sample...

  12. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  13. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  14. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  15. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  16. YBa2Cu3O7-x thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Apetrii, Claudia

    2009-01-01

    The discovery of superconductivity in ceramic materials by Bednorz and Mueller in early 1987, immediately followed by Wu et al., who showed that YBa 2 Cu 3 O 7-x (YBCO) becomes superconducting (92 K) well above the boiling point of nitrogen (77 K) created a great excitement in superconductivity research. Potential applications of high T c -superconductors require large critical currents and high-applied magnetic fields. Effective ways to increase the critical current density at high magnetic fields in YBCO are the introduction of nanoparticles and chemical substitution of yttrium by other rare earth elements. Since low costs and environmental compatibility are essential conditions for the preparation of long length YBCO films, the cost effective chemical solution deposition (CSD) procedure was selected, given that no vacuum technology is required. To reveal the flexibility and the good optimization possibilities of the CSD approach two main processes were chosen for comparison: a fluorine-free method, namely the polymer-metal precursor technique, and a fluorine-based method, the metalorganic deposition (MOD) using the trifluoroacetates (TFA) technique. Sharp transition temperature widths ΔT c of 1.1 K for the polymer metal method, 0.8 K for TFA method and critical current densities J c of ∼3.5 MA/cm 2 shows that high quality YBCO thin films can be produced using both techniques. Especially interesting is the magnetic field dependence of the critical current density J c (B) of the Y(Dy)BCO (80 %) films showing that for the lower magnetic fields the critical current density J c (B) is higher for a standard YBCO film, but at fields higher than 4.5 T the critical current density J c (B) of Y(Dy)BCO is larger than that for the YBCO. Above 8 T, J c (B) of the Y(Dy)BCO film is more than one order of magnitude higher than in pure YBCO film. (orig.)

  17. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  18. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  19. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  20. Si substrate by chemical solution deposition

    Indian Academy of Sciences (India)

    ZnMn2O4 active layer for resistance random access memory (RRAM) was ... The bipolar resistive switching behaviours of the Ag/ZnMn2O4/p+-Si capacitor ... nal electric field were first proposed by Chua (1971). In ... In this work, the spinel ZnMn2O4 films were deposited .... The typical I–V curves plotted in double logarithmic.

  1. Improvement in fatigue property for a PZT ferroelectric film device with SRO electrode film prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Miyazaki, H.; Miwa, Y.; Suzuki, H.

    2007-01-01

    PZT films with (1 0 0) and (1 1 0) orientation were prepared by spin coating using the chemical solution deposition (CSD) method on an SRO/Si or a Pt/Ti/SiO 2 /Si substrate. The remnant polarization and the saturation polarization of the PZT/SRO/Si film were 21 and 35 μC/cm 2 , and those of the PZT/Pt/Ti/SiO 2 /Si film were 20 and 31 μC/cm 2 . The remnant polarization of the PZT/SRO/Si film maintained more than 10 8 switching cycles, and the fatigue property was observed for the PZT film fabricated on the Pt/Ti/SiO 2 /Si electrode

  2. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  3. Chemical solution deposited BaPbO3 buffer layers for lead zirconate titanate ferroelectric films

    International Nuclear Information System (INIS)

    Tseng, T.-K.; Wu, J.-M.

    2005-01-01

    Conductive perovskite BaPbO 3 (BPO) films have been prepared successfully by chemical solution deposition method through spin-coating on Pt/Ti/SiO 2 /Si substrates. The choice of baking temperature is a key factor on the development of conducting BPO perovskite phase. When the baking temperature is higher than 350 deg. C, the BPO films contain a high content of BaCO 3 phase after annealing at temperatures higher than 500 deg. C. If the baking temperature is chosen lower than 300 deg. C, such as 200 deg. C, the annealed BPO films consist mostly of perovskite with only traces of BaCO 3 . Choosing 200 deg. C as the baking temperature, the BPO films developed single perovskite phase at temperatures as low as 550 deg. C. The perovskite BPO phase is stable in the range of 550-650 deg. C and the measured sheet resistance of the BPO films is about 2-3 Ω/square. The perovskite BPO film as a buffer layer provides improvement in electric properties of lead zirconate titanate films

  4. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  5. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  6. Optical and structural characterization of nickel oxide-based thin films obtained by chemical bath deposition

    International Nuclear Information System (INIS)

    Vidales-Hurtado, M.A.; Mendoza-Galvan, A.

    2008-01-01

    Nickel oxide-based thin films were obtained using the chemical bath deposition method on glass and silicon substrates. The precursor solution used was a mixture of nickel nitrate, urea, and deionized water. Molar concentration of nickel (0.3-1.0 M), deposition time, and immersing cycles were considered as deposition variables. Infrared spectroscopy and X-ray diffraction data reveal that all as-deposited films correspond to the transparent turbostratic phase α(II)-Ni(OH) 2 . However, the rate of deposition depends on nickel content in the solution. After annealing in air at temperatures above of 300 deg. C, the films are transformed to the NiO phase and show a grey/black color. In these films, scanning electron microscopy images show aggregates of thin stacked sheets on their surface, such aggregates can be easily removed leaving only a thin NiO layer of about 30 nm adhered firmly to the substrate, regardless of nickel concentration in the solution and deposition time. In order to obtain thicker NiO films with good optical properties a procedure is developed performing several immersing-annealing cycles

  7. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  8. In-situ synchrotron x-ray study of the crystallization behavior of Ce0.9La0.1O2−x thin films deposited on NiW alloy substrates by chemical solution method

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Abrahamsen, Asger Bech

    2011-01-01

    The phase and texture formation of La doped CeO2 (CLO) films deposited by the chemical solution method are studied by in situ synchrotron x-ray diffraction. It is found that the CLO crystallites forms excellent in-plane texture as soon as the phase appears at 860°C, indicating that interfacial nu...... by diffusion. The success of this work demonstrates the possibility of studying crystallization behaviors of solution derived films using a non-destructive method, which has the potential of being applicable to most types of thin film samples.......The phase and texture formation of La doped CeO2 (CLO) films deposited by the chemical solution method are studied by in situ synchrotron x-ray diffraction. It is found that the CLO crystallites forms excellent in-plane texture as soon as the phase appears at 860°C, indicating that interfacial...

  9. ELNES study of chemical solution deposited SrO(SrTiO3)n Ruddlesden-Popper films: Experiment and simulation

    International Nuclear Information System (INIS)

    Riedl, T.; Gemming, T.; Weissbach, T.; Seifert, G.; Gutmann, E.; Zschornak, M.; Meyer, D.C.; Gemming, S.

    2009-01-01

    This article analyzes electron energy-loss near-edge fine structures of the SrO(SrTiO 3 ) n=1 Ruddlesden-Popper system and of the parent compounds SrTiO 3 and SrO by comparison with first principles calculations. For that, the fine structures of chemical solution deposited Ruddlesden-Popper films have been experimentally recorded by means of transmission electron microscopy. Moreover, density of states computations using an all-electron density-functional code have been performed. It is shown that the appearance and shape of the experimental O-K and Ti-L 2,3 fine structure features result from the crystallography-dependent electronic structure of the investigated oxides, which display technologically interesting dielectric as well as lattice-structural properties.

  10. Chemical metallization of KMPR photoresist polymer in aqueous solutions

    Energy Technology Data Exchange (ETDEWEB)

    Zeb, Gul [MiQro Innovation Collaborative Centre (C2MI), 45, boul. de l' Aéroport, Bromont, QC, J2L 1S8 (Canada); Mining & Materials Engineering, McGill University, 3610,University Street, Montreal, QC, H3A 0C5 (Canada); Duong, Xuan Truong [Department of Mechanical Engineering, Ecole polytechnique de Montréal, Montréal, QC, H3C 3T5 (Canada); Thai Nguyen University of Technology, 3-2 Street, Thai Nguyen City (Viet Nam); Vu, Ngoc Pi; Phan, Quang The; Nguyen, Duc Tuong; Ly, Viet Anh [Thai Nguyen University of Technology, 3-2 Street, Thai Nguyen City (Viet Nam); Salimy, Siamak [ePeer Review LLC, 145 Pine Haven Shores Rd, Suite 1000-X, Shelburne, VT 05482 (United States); Le, Xuan Tuan, E-mail: xuantuan.le@teledyne.com [MiQro Innovation Collaborative Centre (C2MI), 45, boul. de l' Aéroport, Bromont, QC, J2L 1S8 (Canada); Thai Nguyen University of Technology, 3-2 Street, Thai Nguyen City (Viet Nam)

    2017-06-15

    Highlights: • Electroless deposition of Ni-B film on KMPR photoresist polymer insulator with excellent adhesion has been achieved. • This metallization has been carried out in aqueous solutions at low temperature. • Polyamine palladium complexes grafts serve as seeds for the electroless plating on KMPR. • This electroless metallization process is simple, industrially feasible, chromium-free and environment-friendly. - Abstract: While conventional methods for preparing thin films of metals and metallic alloys on insulating substrates in the field of microelectromechanical systems (MEMS) include vapor deposition techniques, we demonstrate here that electroless deposition can be considered as an alternate efficient approach to metallize the surface of insulating substrates, such as KMPR epoxy photoresist polymer. In comparison with the physical and chemical vapor deposition methods, which are well-established for metallization of photoresist polymers, our electroless nickel plating requires only immersing the substrates into aqueous solutions in open air at low temperatures. Thin films of nickel alloy have been deposited electrolessly on KMPR surface, through a cost-effective and environmental chromium-free process, mediated through direct grafting of amine palladium complexes in aqueous medium. This covalent organic coating provides excellent adhesion between KMPR and the nickel film and allows better control of the palladium catalyst content. Covalent grafting and characterization of the deposited nickel film have been carried out by means of Fourier-transform infrared spectroscopy, scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy techniques.

  11. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  12. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  13. Dense CdS thin films on fluorine-doped tin oxide coated glass by high-rate microreactor-assisted solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Su, Yu-Wei, E-mail: suyuweiwayne@gmail.com [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ramprasad, Sudhir [Energy Processes and Materials Division, Pacific Northwest National Laboratory, Corvallis, OR 9730 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Han, Seung-Yeol; Wang, Wei [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ryu, Si-Ok [School of Display and Chemical Engineering, Yeungnam University, 214-1 Dae-dong, Gyeonsan, Gyeongbuk 712-749 (Korea, Republic of); Palo, Daniel R. [Barr Engineering Co., Hibbing, MN 55747 (United States); Paul, Brian K. [School of Mechanical, Industrial and Manufacturing Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Chang, Chih-hung [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States)

    2013-04-01

    Continuous microreactor-assisted solution deposition is demonstrated for the deposition of CdS thin films on fluorine-doped tin oxide (FTO) coated glass. The continuous flow system consists of a microscale T-junction micromixer with the co-axial water circulation heat exchanger to control the reacting chemical flux and optimize the heterogeneous surface reaction. Dense, high quality nanocrystallite CdS thin films were deposited at an average rate of 25.2 nm/min, which is significantly higher than the reported growth rate from typical batch chemical bath deposition process. Focused-ion-beam was used for transmission electron microscopy specimen preparation to characterize the interfacial microstructure of CdS and FTO layers. The band gap was determined at 2.44 eV by UV–vis absorption spectroscopy. X-ray photon spectroscopy shows the binding energies of Cd 3d{sub 3/2}, Cd 3d{sub 5/2}, S 2P{sub 3/2} and S 2P{sub 1/2} at 411.7 eV, 404.8 eV, 162.1 eV and 163.4 eV, respectively. - Highlights: ► CdS films deposited using continuous microreactor-assisted solution deposition (MASD) ► Dense nanocrystallite CdS films can be reached at a rate of 25.2 [nm/min]. ► MASD can approach higher film growth rate than conventional chemical bath deposition.

  14. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  15. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    International Nuclear Information System (INIS)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S.

    2013-01-01

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium

  16. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    Energy Technology Data Exchange (ETDEWEB)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S., E-mail: svelu@igcar.gov.in

    2013-06-15

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium.

  17. Optimisation of chemical solution deposition of indium tin oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sunde, Tor Olav Løveng; Einarsrud, Mari-Ann; Grande, Tor, E-mail: grande@ntnu.no

    2014-12-31

    An environmentally friendly aqueous sol–gel process has been optimised to deposit indium tin oxide (ITO) thin films, aiming to improve the film properties and reduce the deposition costs. It was demonstrated how parameters such as cation concentration and viscosity could be applied to modify the physical properties of the sol and thereby reduce the need for multiple coatings to yield films with sufficient conductivity. The conductivity of the thin films was enhanced by adjusting the heat treatment temperature and atmosphere. Both increasing the heat treatment temperature of the films from 530 to 800 °C and annealing in reducing atmosphere significantly improved the electrical conductivity, and conductivities close to the state of the art sputtered ITO films were obtained. A pronounced decreased conductivity was observed after exposing the thin films to air and the thermal reduction and ageing of the film was studied by in situ conductivity measurements. - Highlights: • Spin coating of indium tin oxide using an aqueous solution was optimised. • The conductivity was enhanced by thermal annealing in reducing atmosphere. • The conductivity of is comparable to the conductivity of sputtered films. • A relaxation process in the reduced thin film was observed after exposure in air.

  18. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  19. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  20. Improving chemical solution deposited YBa 2Cu 3O 7- δ film properties via high heating rates

    Science.gov (United States)

    Siegal, M. P.; Dawley, J. T.; Clem, P. G.; Overmyer, D. L.

    2003-12-01

    The superconducting and structural properties of YBa 2Cu 3O 7- δ (YBCO) films grown from chemical solution deposited (CSD) metallofluoride-based precursors improve by using high heating rates to the desired growth temperature. This is due to avoiding the nucleation of undesirable a-axis grains at lower temperatures, from 650 to 800 °C in p(O 2)=0.1%. Minimizing time spent in this range during the temperature ramp of the ex situ growth process depresses a-axis grain growth in favor of the desired c-axis orientation. Using optimized conditions, this results in high-quality YBCO films on LaAlO 3(1 0 0) with Jc(77 K) ∼ 3 MA/cm 2 for films thicknesses ranging from 60 to 140 nm. In particular, there is a dramatic decrease in a-axis grains in coated-conductors grown on CSD Nb-doped SrTiO 3(1 0 0) buffered Ni(1 0 0) tapes.

  1. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  2. Epitaxial ternary nitride thin films prepared by a chemical solution method

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Hongmei [Los Alamos National Laboratory; Feldmann, David M [Los Alamos National Laboratory; Wang, Haiyan [TEXAS A& M; Bi, Zhenxing [TEXAS A& M

    2008-01-01

    It is indispensable to use thin films for many technological applications. This is the first report of epitaxial growth of ternary nitride AMN2 films. Epitaxial tetragonal SrTiN2 films have been successfully prepared by a chemical solution approach, polymer-assisted deposition. The structural, electrical, and optical properties of the films are also investigated.

  3. Chemical metallization of KMPR photoresist polymer in aqueous solutions

    Science.gov (United States)

    Zeb, Gul; Duong, Xuan Truong; Vu, Ngoc Pi; Phan, Quang The; Nguyen, Duc Tuong; Ly, Viet Anh; Salimy, Siamak; Le, Xuan Tuan

    2017-06-01

    While conventional methods for preparing thin films of metals and metallic alloys on insulating substrates in the field of microelectromechanical systems (MEMS) include vapor deposition techniques, we demonstrate here that electroless deposition can be considered as an alternate efficient approach to metallize the surface of insulating substrates, such as KMPR epoxy photoresist polymer. In comparison with the physical and chemical vapor deposition methods, which are well-established for metallization of photoresist polymers, our electroless nickel plating requires only immersing the substrates into aqueous solutions in open air at low temperatures. Thin films of nickel alloy have been deposited electrolessly on KMPR surface, through a cost-effective and environmental chromium-free process, mediated through direct grafting of amine palladium complexes in aqueous medium. This covalent organic coating provides excellent adhesion between KMPR and the nickel film and allows better control of the palladium catalyst content. Covalent grafting and characterization of the deposited nickel film have been carried out by means of Fourier-transform infrared spectroscopy, scanning electron microscopy, energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy techniques.

  4. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  5. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  6. Restoration of uranium solution mining deposits

    International Nuclear Information System (INIS)

    DeVries, F.W.; Lawes, B.C.

    1981-01-01

    Ammonium carbonates are commonly used as the lixiviant for in-situ leaching of uranium ores. However this leads to the deposition of ammonium ions in the uranium ore formation and the problem of ammonia contamination of ground water which may find its way into the drinking water supply. The ammonia contamination of the ore deposit may be reduced by injecting an aqueous solution of a potassium salt (carbonate, bicarbonate, halide, sulfate, bisulfate, persulfate, or monopersulfate) into the deposit after mining has ceased

  7. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  8. Surface modeling and chemical solution deposition of SrO(SrTiO3)n Ruddlesden-Popper phases

    International Nuclear Information System (INIS)

    Zschornak, M.; Gemming, S.; Gutmann, E.; Weissbach, T.; Stoecker, H.; Leisegang, T.; Riedl, T.; Traenkner, M.; Gemming, T.; Meyer, D.C.

    2010-01-01

    Strontium titanate (STO) is a preferred substrate material for functional oxide growth, whose surface properties can be adjusted through the presence of Ruddlesden-Popper (RP) phases. Here, density functional theory (DFT) is used to model the (1 0 0) and (0 0 1) surfaces of SrO(SrTiO 3 ) n RP phases. Relaxed surface structures, electronic properties and stability relations have been determined. In contrast to pure STO, the near-surface SrO-OSr stacking fault can be employed to control surface roughness by adjusting SrO and TiO 2 surface rumpling, to stabilize SrO termination in an SrO-rich surrounding or to increase the band gap in the case of TiO 2 termination. RP thin films have been epitaxially grown on (0 0 1) STO substrates by chemical solution deposition. In agreement with DFT results, the fraction of particular RP phases n = 1-3 changes with varying heating rate and molar ratio Sr:Ti. This is discussed in terms of bulk formation energy.

  9. YBa{sub 2}Cu{sub 3}O{sub 7-x} thin films prepared by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Apetrii, Claudia

    2009-11-25

    The discovery of superconductivity in ceramic materials by Bednorz and Mueller in early 1987, immediately followed by Wu et al., who showed that YBa{sub 2}Cu{sub 3}O{sub 7-x} (YBCO) becomes superconducting (92 K) well above the boiling point of nitrogen (77 K) created a great excitement in superconductivity research. Potential applications of high T{sub c}-superconductors require large critical currents and high-applied magnetic fields. Effective ways to increase the critical current density at high magnetic fields in YBCO are the introduction of nanoparticles and chemical substitution of yttrium by other rare earth elements. Since low costs and environmental compatibility are essential conditions for the preparation of long length YBCO films, the cost effective chemical solution deposition (CSD) procedure was selected, given that no vacuum technology is required. To reveal the flexibility and the good optimization possibilities of the CSD approach two main processes were chosen for comparison: a fluorine-free method, namely the polymer-metal precursor technique, and a fluorine-based method, the metalorganic deposition (MOD) using the trifluoroacetates (TFA) technique. Sharp transition temperature widths {delta}T{sub c} of 1.1 K for the polymer metal method, 0.8 K for TFA method and critical current densities J{sub c} of {approx}3.5 MA/cm{sup 2} shows that high quality YBCO thin films can be produced using both techniques. Especially interesting is the magnetic field dependence of the critical current density J{sub c}(B) of the Y(Dy)BCO (80 %) films showing that for the lower magnetic fields the critical current density J{sub c}(B) is higher for a standard YBCO film, but at fields higher than 4.5 T the critical current density J{sub c}(B) of Y(Dy)BCO is larger than that for the YBCO. Above 8 T, J{sub c}(B) of the Y(Dy)BCO film is more than one order of magnitude higher than in pure YBCO film. (orig.)

  10. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  11. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  12. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  13. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    Science.gov (United States)

    Maraeva, E. V.; Alexandrova, O. A.; Forostyanaya, N. A.; Levitskiy, V. S.; Mazing, D. S.; Maskaeva, L. N.; Markov, V. Ph; Moshnikov, V. A.; Shupta, A. A.; Spivak, Yu M.; Tulenin, S. S.

    2015-11-01

    In this study lead sulphide - cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements.

  14. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  15. Metal Deposition from Organic Solutions for Microelectronic Applications

    National Research Council Canada - National Science Library

    Dahlgren, E

    2001-01-01

    ... plating in aqueous solutions. This process was also shown to be capable of producing selectively deposited seed layers only on exposed reactive metal surfaces for subsequent electroless and electrolytic metal depositions...

  16. Ternary Ag-In-S polycrystalline films deposited using chemical bath deposition for photoelectrochemical applications

    International Nuclear Information System (INIS)

    Chang, Wen-Sheng; Wu, Ching-Chen; Jeng, Ming-Shan; Cheng, Kong-Wei; Huang, Chao-Ming; Lee, Tai-Chou

    2010-01-01

    This paper describes the preparation and characterization of ternary Ag-In-S thin films deposited on indium tin oxide (ITO)-coated glass substrates using chemical bath deposition (CBD). The composition of the thin films was varied by changing the concentration ratio of [Ag]/[In] in the precursor solutions. The crystal structure, optical properties, and surface morphology of the thin films were analyzed by grazing incidence X-ray diffraction (GIXRD), UV-vis spectroscopy, and field-emission scanning electron microscopy (FE-SEM). GIXRD results indicate that the samples consisted of AgInS 2 and/or AgIn 5 S 8 crystal phases, depending on the composition of the precursor solutions. The film thicknesses, electrical resistivity, flat band potentials, and band gaps of the samples were between 1.12 and 1.37 μm, 3.73 x 10 -3 and 4.98 x 10 4 Ω cm, -0.67 and -0.90 V vs. NHE, and 1.83 and 1.92 eV, respectively. The highest photocurrent density was observed in the sample with [Ag]/[In] = 4. A photocurrent density of 9.7 mA cm -2 was obtained with an applied potential of 0.25 V vs. SCE in the three-electrode system. The photoresponse experiments were conducted in 0.25 M K 2 SO 3 and 0.35 M Na 2 S aqueous electrolyte solutions under irradiation by a 300 W Xe light (100 mW cm -2 ). The results show that ternary Ag-In-S thin film electrodes have potential in water splitting applications.

  17. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  18. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    International Nuclear Information System (INIS)

    Maraeva, E V; Alexandrova, O A; Levitskiy, V S; Mazing, D S; Moshnikov, V A; Shupta, A A; Spivak, Yu M; Forostyanaya, N A; Maskaeva, L N; Markov, V Ph; Tulenin, S S

    2015-01-01

    In this study lead sulphide – cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements. (paper)

  19. Evaluation of corrosion behaviour of tantalum coating obtained by low pressure chemical vapor deposition using electrochemical polarization

    Science.gov (United States)

    Levesque, A.; Bouteville, A.; de Baynast, H.; Laveissière, B.

    2002-06-01

    antalum coatings are elaborated on titanium substrates through Low Pressure Chemical Vapor Deposition from tantalum pentachloride-hydrogen gaseous phase at a deposition temperature of 800 °C and a total pressure of 3.3 mbar. The aim of this paper is to evaluate the effectiveness of this tantalum coating in corrosive solution. Optical Microscopy and Scanning Electron Microscopy observations reveal that deposits are of 1.7 μm in thickness and conformal. The corrosion resistance of tantalum coated titanium substrates is quantified through standard potentiodynamic polarization method. Even for tantalum coatings exhibiting some defects as pores, the corrosion current density is as low as 0.25 mA/cm^2.in very agressive solutions like kroll reagent (HN03/HF).

  20. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  1. Study on pure and Nd-doped BiFeO{sub 3} thin films prepared by chemical solution deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Xu, E-mail: xuexu9@163.com; Tan, Guoqiang, E-mail: tan3114@163.com; Liu, Wenlong; Hao, Hangfei

    2014-08-01

    Highlights: • The composition of the precursor plays a crucial role in BFO. • The ferroelectric–paraelectric phase transition through Nd substitution. • The significant enhancement in magnetization is observed in orthorhombic BNFO. • The highest magnetocapacitance is observed at x = 0.15. • The band gap of BNFO can be expressed as E{sub g} = (2.45 − 0.024x) eV. - Abstract: Polycrystalline BiFeO{sub 3} (BFO) films with varying precursor composition and Bi{sub 1−x}Nd{sub x}FeO{sub 3} (BNFO, x = 0.06–0.20) films were deposited on FTO substrates by using a chemical solution deposition method. Present data indicated that the precursor played a crucial role, i.e., microstructure, morphology and ferroelectric properties of the BFO film are closely related to the proportion of acetic anhydride. XRD analysis and Raman spectra revealed a structural transition from rhombohedral to tetragonal as the amount of acetic anhydride was increased. The effect of Nd on microstructure, chemical state, dielectric, ferroelectric, magnetic and optical properties has been investigated. A structural transition with increasing Nd content was proved by using XRD and Raman scattering spectra. X-ray photoelectron spectroscopy confirmed the formation of Fe{sup 2+} and the valence fluctuation of Bi of the BNFO films. The strongest ferroelectric polarization and magnetocapacitance were obtained in the sample with 15% Nd. A dramatic reduction in ferroelectric polarization and the strongest magnetization were observed in the BNFO (x = 0.20) film due to its paraelectric nature and orthorhombic structure. With increasing Nd content, the band gap of the BNFO films decreased with E{sub g} = (2.45 − 0.024x) eV.

  2. High-throughput analysis for preparation, processing and analysis of TiO2 coatings on steel by chemical solution deposition

    International Nuclear Information System (INIS)

    Cuadrado Gil, Marcos; Van Driessche, Isabel; Van Gils, Sake; Lommens, Petra; Castelein, Pieter; De Buysser, Klaartje

    2012-01-01

    Highlights: ► High-throughput preparation of TiO 2 aqueous precursors. ► Analysis of stability and surface tension. ► Deposition of TiO 2 coatings. - Abstract: A high-throughput preparation, processing and analysis of titania coatings prepared by chemical solution deposition from water-based precursors at low temperature (≈250 °C) on two different types of steel substrates (Aluzinc® and bright annealed) is presented. The use of the high-throughput equipment allows fast preparation of multiple samples saving time, energy and material; and helps to test the scalability of the process. The process itself includes the use of IR curing for aqueous ceramic precursors and possibilities of using UV irradiation before the final sintering step. The IR curing method permits a much faster curing step compared to normal high temperature treatments in traditional convection devices (i.e., tube furnaces). The formulations, also prepared by high-throughput equipment, are found to be stable in the operational pH range of the substrates (6.5–8.5). Titanium alkoxides itself lack stability in pure water-based environments, but the presence of the different organic complexing agents prevents it from hydrolysis and precipitation reactions. The wetting interaction between the substrates and the various formulations is studied by the determination of the surface free energy of the substrates and the polar and dispersive components of the surface tension of the solutions. The mild temperature program used for preparation of the coatings however does not lead to the formation of pure crystalline material, necessary for the desired photocatalytic and super-hydrophilic behavior of these coatings. Nevertheless, some activity can be reported for these amorphous coatings by monitoring the discoloration of methylene blue in water under UV irradiation.

  3. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  4. Manufacture of Bi-cuprate thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Bertelsen, Christian Vinther; Andersen, Niels Hessel

    2014-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors dissolved in xylene. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c...

  5. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  6. Annealing-induced changes in chemical bonding and surface characteristics of chemical solution deposited Pb{sub 0.95}La{sub 0.05}Zr{sub 0.54}Ti{sub 0.46}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Batra, Vaishali [Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, AL 35487 (United States); Ramana, C.V. [Department of Mechanical Engineering, University of Texas at El Paso, El Paso, TX 79968 (United States); Kotru, Sushma, E-mail: skotru@eng.ua.edu [Department of Electrical and Computer Engineering, The University of Alabama, Tuscaloosa, AL 35487 (United States)

    2016-08-30

    Highlights: • Influence of post-deposition annealing temperature (T{sub a} = 550 and 750 °C) on the chemical valence state and crystalline quality of PLZT thin films was investigated. • XPS analyses demonstrated the shift in binding energies of the constituent atoms which indicated change in chemical state with the change in T{sub a}. • Raman spectra revealed shift in optical modes with the change in T{sub a} indicating the change in phase and crystallinity in the films. • Higher T{sub a} (750 °C) resulted in PLZT films with perovskite structure, nanocrystalline morphology, and better chemical homogeneity. - Abstract: We report the effect of post deposition annealing temperature (T{sub a} = 550 and 750 °C) on the surface morphology, chemical bonding and structural development of lanthanum doped lead zirconate titanate (Pb{sub 0.95}La{sub 0.05}Zr{sub 0.54}Ti{sub 0.46}O{sub 3}; referred to PLZT) thin films prepared using chemical solution deposition method. Atomic force microscopy demonstrates formation of nanocrystallites in the film annealed at T{sub a} = 750 °C. X-ray photoelectron spectroscopy (XPS) analyses indicate that the binding energies (BE) of the Pb 4f, Zr 3d, and Ti 2p doublet experience a positive energy shift at T{sub a} = 750 °C, whereas the BE of O 1s and La 3d doublet show a negative shift with respect to the BE of the films annealed at T{sub a} = 750 °C. Thermal induced crystallization and chemical modification is evident from XPS results. The Ar+ sputtering of the films reveals change in oxidation state and chemical bonding between the constituent atoms, with respect to T{sub a}. Raman spectroscopy used to study phonon-light interactions show shift in longitudinal and transverse optical modes with the change in T{sub a}, confirming the change in phase and crystallinity of these films. The results suggest annealing at T{sub a} = 750 °C yield crystalline perovskite PLZT films, which is essential to obtain photovoltaic response from

  7. Ternary Ag-In-S polycrystalline films deposited using chemical bath deposition for photoelectrochemical applications

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Wen-Sheng [Energy and Environmental Laboratories, Industrial Technology Research Institute, 195 Sec. 4, Chung-Hsing Road, Hsin-Chu 310, Taiwan (China); Wu, Ching-Chen [Department of Chemical Engineering, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan (China); Jeng, Ming-Shan [Energy and Environmental Laboratories, Industrial Technology Research Institute, 195 Sec. 4, Chung-Hsing Road, Hsin-Chu 310, Taiwan (China); Cheng, Kong-Wei [Department of Chemical and Materials Engineering, Chang Gung University, 259 Wen-Hwa 1st Road, Kwei-Shan, Tao-Yuan 333, Taiwan (China); Huang, Chao-Ming [Department of Environmental Engineering, Kun Shan University, 949 Da Wan Road, Yung-Kang City, Tainan Hsien 710, Taiwan (China); Lee, Tai-Chou, E-mail: chmtcl@ccu.edu.tw [Department of Chemical Engineering, National Chung Cheng University, 168 University Road, Min-Hsiung, Chia-Yi 621, Taiwan (China)

    2010-04-15

    This paper describes the preparation and characterization of ternary Ag-In-S thin films deposited on indium tin oxide (ITO)-coated glass substrates using chemical bath deposition (CBD). The composition of the thin films was varied by changing the concentration ratio of [Ag]/[In] in the precursor solutions. The crystal structure, optical properties, and surface morphology of the thin films were analyzed by grazing incidence X-ray diffraction (GIXRD), UV-vis spectroscopy, and field-emission scanning electron microscopy (FE-SEM). GIXRD results indicate that the samples consisted of AgInS{sub 2} and/or AgIn{sub 5}S{sub 8} crystal phases, depending on the composition of the precursor solutions. The film thicknesses, electrical resistivity, flat band potentials, and band gaps of the samples were between 1.12 and 1.37 {mu}m, 3.73 x 10{sup -3} and 4.98 x 10{sup 4} {Omega} cm, -0.67 and -0.90 V vs. NHE, and 1.83 and 1.92 eV, respectively. The highest photocurrent density was observed in the sample with [Ag]/[In] = 4. A photocurrent density of 9.7 mA cm{sup -2} was obtained with an applied potential of 0.25 V vs. SCE in the three-electrode system. The photoresponse experiments were conducted in 0.25 M K{sub 2}SO{sub 3} and 0.35 M Na{sub 2}S aqueous electrolyte solutions under irradiation by a 300 W Xe light (100 mW cm{sup -2}). The results show that ternary Ag-In-S thin film electrodes have potential in water splitting applications.

  8. Bath parameter dependence of chemically deposited Copper Selenide thin film

    International Nuclear Information System (INIS)

    Al-Mamun; Islam, A.B.M.O.

    2004-09-01

    In this article, a low cost chemical bath deposition (CBD) technique has been used for the preparation Of Cu 2-x Se thin films on to glass substrate. Different thin fms (0.2-0.6/μm) were prepared by adjusting the bath parameter like concentration of ammonia, deposition time, temperature of the solution, and the ratios of the mixing composition between copper and selenium in the reaction bath. From these studies, it reveals that at low concentration of ammonia or TEA, the terminal thicknesses of the films are less, which gradually increases with the increase of concentrations and then drop down at still higher concentrations. It has been found that completing the Cu 2+ ions with EA first, and then addition of ammonia yields better results than the reverse process. The film thickness increases with the decrease of value x of Cu 2-x Se. (author)

  9. A Two-Level Undercut-Profile Substrate for Chemical-Solution-Based Filamentary Coated Conductors

    DEFF Research Database (Denmark)

    Wulff, Anders Christian; Lundeman, Jesper H.; Hansen, Jørn B.

    2016-01-01

    . In the present study, the 2LUPS concept is applied to a commercial cube-textured Ni-5at.% W tape, and the surface of the 2LUPS coated with two Gd2Zr2O7 buffer layers using chemical solution deposition is examined. Except for narrow regions near the edge of upper plateaus, the plateaus are found to be covered...

  10. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  11. Growth of thick La{sub 2}Zr{sub 2}O{sub 7} buffer layers for coated conductors by polymer-assisted chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin, E-mail: xzhang@my.swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Electrical Engineering, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Xia, Yudong [State Key Lab of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Guo, Chunsheng [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhang, Yong [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, Han [Department of Physics, Peking University, Beijing 100871 (China)

    2015-06-15

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La{sub 2}Zr{sub 2}O{sub 7} (LZO) epitaxial films have been deposited on LaAlO{sub 3} (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa{sub 2}Cu{sub 3}O{sub 7−x} (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm{sup 2} at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors.

  12. Topography evolution of rough-surface metallic substrates by solution deposition planarization method

    Science.gov (United States)

    Chu, Jingyuan; Zhao, Yue; Liu, Linfei; Wu, Wei; Zhang, Zhiwei; Hong, Zhiyong; Li, Yijie; Jin, Zhijian

    2018-01-01

    As an emerging technique for surface smoothing, solution deposition planarization (SDP) has recently drawn more attention on the fabrication of the second generation high temperature superconducting (2G-HTS) tapes. In our work, a number of amorphous oxide layers were deposited on electro-polished or mirror-rolled metallic substrates by chemical solution route. Topography evolution of surface defects on these two types of metallic substrates was thoroughly investigated by atomic force microscopy (AFM). It was showed that root mean square roughness values (at 50 × 50 μm2 scanning scale) on both rough substrates reduced to ∼5 nm after coating with SDP-layer. The smoothing effect was mainly attributed to decrease of the depth at grain boundary grooving on the electro-polished metallic substrate. On the mirror-rolled metallic substrates, the amplitude and frequency of the height fluctuation perpendicular to the rolling direction were gradually reduced as depositing more numbers of SDP-layer. A high Jc value of 4.17 MA cm-2 (at 77 K, s.f.) was achieved on a full stack of YBCO/CeO2/IBAD-MgO/SDP-layer/C276 sample. This study enhanced understanding of the topography evolution on the surface defects covered by the SDP-layer, and demonstrated a low-cost route for fabricating IBAD-MgO based YBCO templates with a simplified architecture.

  13. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  14. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  15. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  16. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  17. Chemical solution synthesis and ferromagnetic resonance of epitaxial thin films of yttrium iron garnet

    Science.gov (United States)

    Lucas, Irene; Jiménez-Cavero, Pilar; Vila-Fungueiriño, J. M.; Magén, Cesar; Sangiao, Soraya; de Teresa, José Maria; Morellón, Luis; Rivadulla, Francisco

    2017-12-01

    We report the fabrication of epitaxial Y3F e5O12 (YIG) thin films on G d3G a5O12 (111) using a chemical solution method. Cubic YIG is a ferrimagnetic material at room temperature, with excellent magneto-optical properties, high electrical resistivity, and a very narrow ferromagnetic resonance, which makes it particularly suitable for applications in filters and resonators at microwave frequencies. But these properties depend on the precise stoichiometry and distribution of F e3 + ions among the octahedral/tetrahedral sites of a complex structure, which hampered the production of high-quality YIG thin films by affordable chemical methods. Here we report the chemical solution synthesis of YIG thin films, with excellent chemical, crystalline, and magnetic homogeneity. The films show a very narrow ferromagnetic resonance (long spin relaxation time), comparable to that obtained from high-vacuum physical deposition methods. These results demonstrate that chemical methods can compete to develop nanometer-thick YIG films with the quality required for spintronic devices and other high-frequency applications.

  18. The Effect of Sintering Oxygen Partial Pressure on a SmBiO3 Buffer Layer for Coated Conductors via Chemical Solution Deposition

    Directory of Open Access Journals (Sweden)

    Xiaolei Zhu

    2016-10-01

    Full Text Available The application of high-temperature YBa2Cu3O7−δ (YBCO superconducting material is a considerable prospect for the growing energy shortages. Here, SmBiO3 (SBO films were deposited on (100-orientated yttrium-stabilized zirconia (YSZ simple crystal substrates via the chemical solution deposition (CSD approach for coated conductors, and the effects of sintering oxygen partial pressure on SBO films were studied. The crystalline structures and surface morphologies of SBO films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, and atomic force microscope (AFM. The optimized growth temperature, the intensity ratios of the SBO (200 peak to the SBO (111 peak, and the crystallinities of SBO films increased with the sintering oxygen partial pressure. The SEM and AFM images displayed a smooth and well-distributed surface in the argon atmosphere. The subsequent YBCO films with superconducting transition temperatures (Tc = 89.5 K, 90.2 K, and 86.2 K and critical current densities (Jc = 0.88 MA/cm2, 1.69 MA/cm2, and 0.09 MA/cm2; 77 K, self-field were deposited to further check the qualities of the SBO layer. These results indicated that sintering oxygen partial pressure had an effect on the epitaxial growth of the SBO buffer layer and YBCO superconducting properties. The experimental results may be a usable reference for the epitaxial growth of YBCO-coated conductors and other oxides.

  19. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  20. Fabrication of ZnO nanorod using spray-pyrolysis and chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Ramadhani, Muhammad F., E-mail: brian@tf.itb.ac.id; Pasaribu, Maruli A. H., E-mail: brian@tf.itb.ac.id; Yuliarto, Brian, E-mail: brian@tf.itb.ac.id; Nugraha, E-mail: brian@tf.itb.ac.id [Advanced Functional Materials Laboratory, Engineering Physics Department Faculty of Industrial Technology, Institut Teknologi Bandung (Indonesia)

    2014-02-24

    ZnO thin films with nanorod structure were deposited using Ultrasonic Spray Pyrolysis method for seed growth, and Chemical Bath Deposition (CBD) for nanorod growth. High purity Zn-hydrate and Urea are used to control Ph were dissolved in ethanol and aqua bidest in Ultrasonic Spray Pyrolysis process. Glass substrate was placed above the heater plate of reaction chamber, and subsequently sprayed with the range duration of 5, 10 and 20 minutes at the temperatures of 3500 C. As for the Chemical Bath Deposition, the glass substrate with ZnO seed on the surface was immerse to Zn-hydrate, HMTA (Hexa Methylene Tetra Amine) and deionized water solution for duration of 3, 5 and 7 hour and temperatures of 600 C, washed in distilled water, dried, and annealed at 3500 C for an hour. The characterization of samples was carried out to reveal the surface morphology using Scanning Electron Microscopy (SEM). From the data, the combination of 5 minutes of Ultrasonic Spray Pyrolysis process and 3 hour of CBD has showed the best structure of nanorod. Meanwhile the longer Spraying process and CBD yield the bigger nanorod structure that have been made, and it makes the films more dense which make the nanorod collide each other and as a result produce unsymetric nanorod structure.

  1. Structural and chemical transformations in SnS thin films used in chemically deposited photovoltaic cells

    International Nuclear Information System (INIS)

    Avellaneda, David; Delgado, Guadalupe; Nair, M.T.S.; Nair, P.K.

    2007-01-01

    Chemically deposited SnS thin films possess p-type electrical conductivity. We report a photovoltaic structure: SnO 2 :F-CdS-SnS-(CuS)-silver print, with V oc > 300 mV and J sc up to 5 mA/cm 2 under 850 W/m 2 tungsten halogen illumination. Here, SnO 2 :F is a commercial spray-CVD (Pilkington TEC-8) coating, and the rest deposited from different chemical baths: CdS (80 nm) at 333 K, SnS (450 nm) and CuS (80 nm) at 293-303 K. The structure may be heated in nitrogen at 573 K, before applying the silver print. The photovoltaic behavior of the structure varies with heating: V oc ∼ 400 mV and J sc 2 , when heated at 423 K in air, but V oc decreases and J sc increases when heated at higher temperatures. These photovoltaic structures have been found to be stable over a period extending over one year by now. The overall cost of materials, simplicity of the deposition process, and possibility of easily varying the parameters to improve the cell characteristics inspire further work. Here we report two different baths for the deposition of SnS thin films of about 500 nm by chemical deposition. There is a considerable difference in the nature of growth, crystalline structure and chemical stability of these films under air-heating at 623-823 K or while heating SnS-CuS layers, evidenced in XRF and grazing incidence angle XRD studies. Heating of SnS-CuS films results in the formation of SnS-Cu x SnS y . 'All-chemically deposited photovoltaic structures' involving these materials are presented

  2. The Effects of Postprocessing on Physical and Solution Deposition of Complex Oxide Thin Films for Tunable Applications

    Science.gov (United States)

    2016-02-01

    BST film capacitor devices were fabricated using physical and chemical solution deposition techniques. The typical dielectric constant of the...electrode loss, and the parallel resistor- capacitor circuit represents the capacitance and the dielectric loss, assuming lead inductance is...Thin barium strontium titanate (BST) films are being developed as dielectric film for use in tunable radio frequency (RF)/microwave applications. Thin

  3. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  4. Solution processed deposition of electron transport layers on perovskite crystal surface—A modeling based study

    Energy Technology Data Exchange (ETDEWEB)

    Mortuza, S.M.; Taufique, M.F.N.; Banerjee, Soumik, E-mail: soumik.banerjee@wsu.edu

    2017-02-01

    Highlights: • The model determined the surface coverage of solution-processed film on perovskite. • Calculated surface density map provides insight into morphology of the monolayer. • Carbonyl oxygen atom of PCBM strongly attaches to the (110) surface of perovskite. • Uniform distribution of clusters on perovskite surface at lower PCBM concentration. • Deposition rate of PCBM on the surface is very high at initial stage of film growth. - Abstract: The power conversion efficiency (PCE) of planar perovskite solar cells (PSCs) has reached up to ∼20%. However, structural and chemicals defects that lead to hysteresis in the perovskite based thin film pose challenges. Recent work has shown that thin films of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) deposited on the photo absorption layer, using solution processing techniques, minimize surface pin holes and defects thereby increasing the PCE. We developed and employed a multiscale model based on molecular dynamics (MD) and kinetic Monte Carlo (kMC) to establish a relationship between deposition rate and surface coverage on perovskite surface. The MD simulations of PCBMs dispersed in chlorobenzene, sandwiched between (110) perovskite substrates, indicate that PCBMs are deposited through anchoring of the oxygen atom of carbonyl group to the exposed lead (Pb) atom of (110) perovskite surface. Based on rates of distinct deposition events calculated from MD, kMC simulations were run to determine surface coverage at much larger time and length scales than accessible by MD alone. Based on the model, a generic relationship is established between deposition rate of PCBMs and surface coverage on perovskite crystal. The study also provides detailed insights into the morphology of the deposited film.

  5. Solution processed deposition of electron transport layers on perovskite crystal surface—A modeling based study

    International Nuclear Information System (INIS)

    Mortuza, S.M.; Taufique, M.F.N.; Banerjee, Soumik

    2017-01-01

    Highlights: • The model determined the surface coverage of solution-processed film on perovskite. • Calculated surface density map provides insight into morphology of the monolayer. • Carbonyl oxygen atom of PCBM strongly attaches to the (110) surface of perovskite. • Uniform distribution of clusters on perovskite surface at lower PCBM concentration. • Deposition rate of PCBM on the surface is very high at initial stage of film growth. - Abstract: The power conversion efficiency (PCE) of planar perovskite solar cells (PSCs) has reached up to ∼20%. However, structural and chemicals defects that lead to hysteresis in the perovskite based thin film pose challenges. Recent work has shown that thin films of [6,6]-phenyl-C61-butyric acid methyl ester (PCBM) deposited on the photo absorption layer, using solution processing techniques, minimize surface pin holes and defects thereby increasing the PCE. We developed and employed a multiscale model based on molecular dynamics (MD) and kinetic Monte Carlo (kMC) to establish a relationship between deposition rate and surface coverage on perovskite surface. The MD simulations of PCBMs dispersed in chlorobenzene, sandwiched between (110) perovskite substrates, indicate that PCBMs are deposited through anchoring of the oxygen atom of carbonyl group to the exposed lead (Pb) atom of (110) perovskite surface. Based on rates of distinct deposition events calculated from MD, kMC simulations were run to determine surface coverage at much larger time and length scales than accessible by MD alone. Based on the model, a generic relationship is established between deposition rate of PCBMs and surface coverage on perovskite crystal. The study also provides detailed insights into the morphology of the deposited film.

  6. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  7. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  8. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  9. Improving chemical solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} film properties via high heating rates

    Energy Technology Data Exchange (ETDEWEB)

    Siegal, M.P.; Dawley, J.T.; Clem, P.G.; Overmyer, D.L

    2003-12-01

    The superconducting and structural properties of YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films grown from chemical solution deposited (CSD) metallofluoride-based precursors improve by using high heating rates to the desired growth temperature. This is due to avoiding the nucleation of undesirable a-axis grains at lower temperatures, from 650 to 800 deg. C in p(O{sub 2})=0.1%. Minimizing time spent in this range during the temperature ramp of the ex situ growth process depresses a-axis grain growth in favor of the desired c-axis orientation. Using optimized conditions, this results in high-quality YBCO films on LaAlO{sub 3}(1 0 0) with J{sub c}(77 K) {approx} 3 MA/cm{sup 2} for films thicknesses ranging from 60 to 140 nm. In particular, there is a dramatic decrease in a-axis grains in coated-conductors grown on CSD Nb-doped SrTiO{sub 3}(1 0 0) buffered Ni(1 0 0) tapes.

  10. Chemical deposition of La0.7Ca0.3MnO3±δ films on ceramic substrates

    Directory of Open Access Journals (Sweden)

    Cássio Morilla-Santos

    2011-01-01

    Full Text Available In this paper, it is reported the growth of La0.7Ca0.3MnO3±δ films using a chemical solution deposition method (CSD by the spin-coating technique. Such solution was prepared through a route based on modified polymeric precursor method. Spin-coating deposition on different ceramic substrates was performed and analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM and X-ray photoelectron spectroscopy (XPS. The magnetic response of the prepared specimens was studied using a SQUID magnetometer. The obtained results indicated uniform deposition on SrTiO3 and LaAlO3 substrates with similar characteristics. Furthermore, significant differences were detected in the Mn3+/Mn4+ valence ratio and a corresponding diverse magnetic response was observed. The sample prepared on SrTiO3 and LaAlO3 presented a critical temperature around 270 K as expected.

  11. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  12. Finding a solution to internal diesel injector deposits

    Energy Technology Data Exchange (ETDEWEB)

    Barbour, Robert; Quigley, Robert; Panesar, Avtar; Payne, James [Lubrizol Limited, Derby (United Kingdom); Arters, David; Bush, Jim; Stevens, Andrew [Lubrizol Corporation, Wickliffe, OH (United States)

    2013-06-01

    Internal diesel injector deposits (IDIDs) have caused widespread problems in the automotive industry since around 2005. Modem injectors that have been precisely engineered to operate highly controlled injection strategies are experiencing problems in the field due to deposits that have formed on their critical moving parts, such as the needle and control valve. Problems range from rough idling to a failure to start, when the moving parts become stuck. Early studies showed that the composition of these deposits is variable. In some cases the deposit contained noticeable amounts of sodium carboxylate; these are now generally referred to as 'sodium soaps'. In other incidences the dominant chemical functionality observed was an amide group, and hence these deposits are referred to as 'amide lacquers'. A combination of both types has been observed in many cases and other metals, like calcium, have also been detected. Further studies have shown that the sodium soap type can be formed from specific types of corrosion inhibitors. The source of the amide lacquers is less certain, but there are indications that they originate from specific fuel additives that contain critical levels of low molecular weight species. This paper broadly explores this area of high interest. It will report results on the analysis of deposits and the conditions needed to reproduce both types of IDID in bench engine testing. It will also investigate the types of contaminants that are likely to form IDIDs and explore difference in chemical structure that can lead to pro-fouling, non-fouling and anti-fouling behaviour. It will then show that a deposit control additive, specifically designed to control nozzle tip deposits in modem direct injection diesels, is equally effective in controlling IDIDs; both in terms of prevention and removal. Since IDIDS are formed from multiple sources, some of which are difficult to control in today' s market, the use of a broadly acting fuel

  13. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  14. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  15. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  16. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  17. Synthesis and properties of chemical bath deposited ZnS multilayer films

    International Nuclear Information System (INIS)

    Kamoun Allouche, N.; Ben Nasr, T.; Turki Kamoun, N.; Guasch, C.

    2010-01-01

    Zinc sulphide multilayer films are prepared by chemical bath deposition from different host solutions. X-ray diffraction and scanning electron microscopy are used to characterize the structural properties of the films. The surface composition of the films is studied by Auger electrons spectroscopy, and optical properties are studied by spectrophotometric measurements. X-ray diffraction patterns reveal distinct single crystalline phase with preferential orientation along the (1 1 1) plane of the zinc blende structure for the ZnS multilayer. The spacing between (1 1 1) planes of ZnS is well matched to the spacing between (1 1 2) planes of the chalcopyrite CuInS 2 . After heat treatment all films show a near stoichiometric surface composition as indicated in their AES data. UV-vis measurements show that ZnS multilayer films prepared from the zinc sulphate solution have more than 70% transmission in the wavelengths above 350 nm and an optical band gap of about 3.76 eV.

  18. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  19. A comparison of different spray chemical vapour deposition methods for the production of undoped ZnO thin films

    International Nuclear Information System (INIS)

    Garnier, Jerome; Bouteville, Anne; Hamilton, Jeff; Pemble, Martyn E.; Povey, Ian M.

    2009-01-01

    Two different methods of spray chemical vapour deposition have been used to grow ZnO thin films on glass substrates from zinc acetate solution over the temperature range 400 o C to 550 o C. The first of these is named InfraRed Assisted Spray Chemical Vapour Deposition (IRAS-CVD). This method uses intense IR radiation to heat not only the substrate but also the gaseous species entering the reactor. The second method is a more conventional approach known simply as ultrasonic spray CVD, which utilises IR lamps to heat the substrate only. By way of comparing these two approaches we present data obtained from contact angle measurements, crystallinity and mean crystallite size, photoluminescence, electrical and optical properties. Additionally we have examined the role of annealing within the IRAS-CVD reactor environment.

  20. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  1. Nanostructured ZnO thin films by chemical bath deposition in basic aqueous ammonia solutions for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Chu, J.B.; Huang, S.M.; Zhang, D.W.; Bian, Z.Q.; Li, X.D.; Sun, Z. [East China Normal University, Engineering Research Center for Nanophotonics and Advanced Instrument, Ministry of Education, Department of Physics, Shanghai (China); Yin, X.J. [Singapore Polytechnic, Advanced Materials Technology Center, Singapore (Singapore)

    2009-06-15

    This paper presents further insights and observations of the chemical bath deposition (CBD) of ZnS thin films using an aqueous medium involving Zn-salt, ammonium sulfate, aqueous ammonia, and thiourea. Results on physical and chemical properties of the grown layers as a function of ammonia concentration are reported. Physical and chemical properties were analyzed using scanning electron microscopy (SEM), X-ray energy dispersive (EDX), and X-ray diffraction (XRD). Rapid growth of nanostructured ZnO films on fluorine-doped SnO{sub 2} (FTO) glass substrates was developed. ZnO films crystallized in a wurtzite hexagonal structure and with a very small quantity of Zn(OH){sub 2} and ZnS phases were obtained for the ammonia concentration ranging from 0.75 to 2.0 M. Flower-like and columnar nanostructured ZnO films were deposited in two ammonia concentration ranges, respectively: one between 0.75 and 1.0 M and the other between 1.4 and 2.0 M. ZnS films were formed with a high ammonia concentration of 3.0 M. The formation mechanisms of ZnO, Zn(OH){sub 2}, and ZnS phases were discussed in the CBD process. The developed technique can be used to directly and rapidly grow nanostructured ZnO film photoanodes. Annealed ZnO nanoflower and columnar nanoparticle films on FTO substrates were used as electrodes to fabricate the dye sensitized solar cells (DSSCs). The DSSC based on ZnO-nanoflower film showed an energy conversion efficiency of 0.84%, which is higher compared to that (0.45%) of the cell being constructed using a photoanode of columnar nanoparticle ZnO film. The results have demonstrated the potential applications of CBD nanostructured ZnO films for photovoltaic cells. (orig.)

  2. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  3. Chemical effect on ozone deposition over seawater

    Science.gov (United States)

    Surface layer resistance plays an important role in determining ozone deposition velocity over seawater. Recent studies suggest that surface layer resistance over sea-water is influenced by wind-speed and chemical interaction at the air-water interface. Here, we investigate the e...

  4. Long term changes in atmospheric N and S throughfall deposition and effects on soil solution chemistry in a Scots pine forest in the Netherlands.

    Science.gov (United States)

    Boxman, Andries W; Peters, Roy C J H; Roelofs, Jan G M

    2008-12-01

    In a Scots pine forest the throughfall deposition and the chemical composition of the soil solution was monitored since 1984. (Inter)national legislation measures led to a reduction of the deposition of nitrogen and sulphur. The deposition of sulphur has decreased by approximately 65%. The total mineral-nitrogen deposition has decreased by ca. 25%, which is mainly due to a reduction in ammonium-N deposition (-40%), since nitrate-N deposition has increased (+50%). The nitrogen concentration in the upper mineral soil solution at 10 cm depth has decreased, leading to an improved nutritional balance, which may result in improved tree vitality. In the drainage water at 90 cm depth the fluxes of NO3(-) and SO4(2-) have decreased, resulting in a reduced leeching of accompanying base cations, thus preserving nutrients in the ecosystem. It may take still several years, however, before this will meet the prerequisite of a sustainable ecosystem.

  5. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  6. The deposition of highly uniform and adhesive nanocrystalline PbS film from solution

    International Nuclear Information System (INIS)

    Yang Yujun; Hu Shengshui

    2008-01-01

    Mirror-like PbS films have been deposited by chemical deposition on glass substrates from alkaline chemical bath containing lead nitrate, sodium thiosulfate and 1-thioglycerol, which was used to catalyze the hydrolysis of thiosulfate. Nanostructure characterization was carried out by x-ray diffraction and scanning electron microscopy in order to determine the average crystallite size (61 nm) and study the surface morphologies of the as-deposited films

  7. Silver deposition on chemically treated carbon monolith

    Directory of Open Access Journals (Sweden)

    Jovanović Zoran M.

    2009-01-01

    Full Text Available Carbon monolith was treated with HNO3, KOH and H2O2. Effects of these treatments on the surface functional groups and on the amount of silver deposited on the CM surface were studied by temperature programmed desorption (TPD and atomic absorption spectrometry (AAS. As a result of chemical treatment there was an increase in the amount of surface oxygen complexes. The increase in the amount of silver deposit is proportional to the amount of surface groups that produce CO under decomposition. However, the high amount of CO groups, decomposing above 600°C, induces the smaller Ag crystallite size. Therefore, the high temperature CO evolving oxides are, most likely, the initial centers for Ag deposition.

  8. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  9. Estimating chemical composition of atmospheric deposition fluxes from mineral insoluble particles deposition collected in the western Mediterranean region

    Directory of Open Access Journals (Sweden)

    Y. Fu

    2017-11-01

    Full Text Available In order to measure the mass flux of atmospheric insoluble deposition and to constrain regional models of dust simulation, a network of automatic deposition collectors (CARAGA has been installed throughout the western Mediterranean Basin. Weekly samples of the insoluble fraction of total atmospheric deposition were collected concurrently on filters at five sites including four on western Mediterranean islands (Frioul and Corsica, France; Mallorca, Spain; and Lampedusa, Italy and one in the southern French Alps (Le Casset, and a weighing and ignition protocol was applied in order to quantify their mineral fraction. Atmospheric deposition is both a strong source of nutrients and metals for marine ecosystems in this area. However, there are few data on trace-metal deposition in the literature, since their deposition measurement is difficult to perform. In order to obtain more information from CARAGA atmospheric deposition samples, this study aimed to test their relevance in estimating elemental fluxes in addition to total mass fluxes. The elemental chemical analysis of ashed CARAGA filter samples was based on an acid digestion and an elemental analysis by inductively coupled plasma atomic emission spectroscopy (ICP-AES and mass spectrometry (MS in a clean room. The sampling and analytical protocols were tested to determine the elemental composition for mineral dust tracers (Al, Ca, K, Mg and Ti, nutrients (P and Fe and trace metals (Cd, Co, Cr, Cu, Mn, Ni, V and Zn from simulated wet deposition of dust analogues and traffic soot. The relative mass loss by dissolution in wet deposition was lower than 1 % for Al and Fe, and reached 13 % for P due to its larger solubility in water. For trace metals, this loss represented less than 3 % of the total mass concentration, except for Zn, Cu and Mn for which it could reach 10 %, especially in traffic soot. The chemical contamination during analysis was negligible for all the elements except for Cd

  10. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  11. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  12. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  13. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  14. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  15. Effect of chemical treatment on surface characteristics of sputter deposited Ti-rich NiTi shape memory alloy thin-films

    International Nuclear Information System (INIS)

    Sharma, S.K.; Mohan, S.

    2014-01-01

    Graphical abstract: FTIR spectra recorded for sputter deposited (a) untreated and (b) chemically treated NiTi SMA thin-films. - Highlights: • The effect of chemical treatment on surface properties of NiTi films demonstrated. • Chemically treated films offer strong ability to form protective TiO 2 layer. • TiO 2 layer formation offer great application prospects in biomedical fields. - Abstract: NiTi thin-films were deposited by DC magnetron sputtering from single alloy target (Ni/Ti:45/55 at.%). The rate of deposition and thickness of sputter deposited films were maintained to ∼35 nm min −1 and 4 μm respectively. A set of sputter deposited NiTi films were selected for specific chemical treatment with the solution comprising of de-ionized water, HF and HNO 3 respectively. The influence of chemical treatment on surface characteristics of NiTi films before and after chemical treatment was investigated for their structure, micro-structure and composition using different analytical techniques. Prior to chemical treatment, the composition of NiTi films using energy dispersive X-ray dispersive spectroscopy (EDS), were found to be 51.8 atomic percent of Ti and 48.2 atomic percent of Ni. The structure and morphology of these films were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD investigations, demonstrated the presence of dominant Austenite (1 1 0) phase along with Martensite phase, for untreated NiTi films whereas some additional diffraction peaks viz. (1 0 0), (1 0 1), and (2 0 0) corresponding to Rutile and Anatase phase of Titanium dioxide (TiO 2 ) along with parent Austenite (1 1 0) phase were observed for chemically treated NiTi films. FTIR studies, it can be concluded that chemically treated films have higher tendency to form metal oxide/hydroxide than the untreated NiTi films. XPS investigations, demonstrated the presence of Ni-free surface and formation of a protective metal oxide (TiO 2 ) layer on the surface of

  16. Processing of CuInSe{sub 2}-based solar cells: Characterization of deposition processes in terms of chemical reaction analyses. Phase 2 Annual Report, 6 May 1996--5 May 1997

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, T.

    1999-10-20

    This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (required annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.

  17. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  18. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  19. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  20. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  1. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  2. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  3. Coupling between solute transport and chemical reactions models

    International Nuclear Information System (INIS)

    Samper, J.; Ajora, C.

    1993-01-01

    During subsurface transport, reactive solutes are subject to a variety of hydrodynamic and chemical processes. The major hydrodynamic processes include advection and convection, dispersion and diffusion. The key chemical processes are complexation including hydrolysis and acid-base reactions, dissolution-precipitation, reduction-oxidation, adsorption and ion exchange. The combined effects of all these processes on solute transport must satisfy the principle of conservation of mass. The statement of conservation of mass for N mobile species leads to N partial differential equations. Traditional solute transport models often incorporate the effects of hydrodynamic processes rigorously but oversimplify chemical interactions among aqueous species. Sophisticated chemical equilibrium models, on the other hand, incorporate a variety of chemical processes but generally assume no-flow systems. In the past decade, coupled models accounting for complex hydrological and chemical processes, with varying degrees of sophistication, have been developed. The existing models of reactive transport employ two basic sets of equations. The transport of solutes is described by a set of partial differential equations, and the chemical processes, under the assumption of equilibrium, are described by a set of nonlinear algebraic equations. An important consideration in any approach is the choice of primary dependent variables. Most existing models cannot account for the complete set of chemical processes, cannot be easily extended to include mixed chemical equilibria and kinetics, and cannot handle practical two and three dimensional problems. The difficulties arise mainly from improper selection of the primary variables in the transport equations. (Author) 38 refs

  4. Luminescent thin films by the chemical aerosol deposition technology (CADT)

    NARCIS (Netherlands)

    Martin, F.J.; Martin, F.J.; Albers, H.; Lambeck, Paul; Popma, T.J.A.; van de Velde, G.M.H.

    1992-01-01

    Zinc sulphide thin films have been deposited with CART using zinc chlorideand zinc acetylacetonate as Zn compounds and thiourea and 1,1,3,3-tetramethylthiourea as S compounds soluted in methanol, ethanol, isopropanol and cellosolve. After optimalization of the deposition process homogeneous layers

  5. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  6. Waste processing of chemical cleaning solutions

    International Nuclear Information System (INIS)

    Peters, G.A.

    1991-01-01

    This paper reports on chemical cleaning solutions containing high concentrations of organic chelating wastes that are difficult to reduce in volume using existing technology. Current methods for evaporating low-level radiative waste solutions often use high maintenance evaporators that can be costly and inefficient. The heat transfer surfaces of these evaporators are easily fouled, and their maintenance requires a significant labor investment. To address the volume reduction of spent, low-level radioactive, chelating-based chemical cleaning solutions, ECOSAFE Liquid Volume Reduction System (LVRS) has been developed. The LVRS is based on submerged combustion evaporator technology that was modified for treatment of low-level radiative liquid wastes. This system was developed in 1988 and was used to process 180,000 gallons of waste at Oconee Nuclear Station

  7. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  8. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  9. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  10. Structural, optical and electrical properties of chemically deposited ...

    Indian Academy of Sciences (India)

    Structural, optical and electrical properties of chemically deposited nonstoichiometric copper ... One of these compounds, CuInSe2, with its optical absorption .... is clear from SEM images that the number of grains goes on increasing with the ...

  11. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  12. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  13. Deposition and Characterization of Silver Oxide from Solution of Silver, Cassava and Sugarcane Juice Effects

    Directory of Open Access Journals (Sweden)

    Uche E. Ekpunobi

    2013-06-01

    Full Text Available Silver oxide was deposited on metallic substrates (zinc and lead from silver solution with different additives at a pH of 5, dc current of 0.2A, 4V for 20seconds at 25°C. The additives were cassava solution and sugarcane juice. The metallic substrates served as cathode while a copper electrode serves as the anode. Compositions of the electrolytes were 50ml AgNO3, 50ml AgNO3 and 50ml of cassava solution or 50ml of sugarcane juice. Structural and textural characterizations were carried out on the deposits. The result showed that deposition using zinc substrate gave a better result than that of lead in that the deposits were pure without impurities. Using cassava solution as additive, a pure Ag2O3 deposit was obtained while sugarcane juice gave a pure intergrowth of Ag2O3 and Ag3O4 deposits both on zinc substrates.

  14. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  15. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  16. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  17. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  18. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  19. Chemically deposited Sb{sub 2}S{sub 3} thin films for optical recording

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B [Facultad de IngenierIa Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P- 66450 (Mexico); O' Brien, J J; Liu, J, E-mail: bkrishnan@fime.uanl.m [Center for Nanoscience and Department of Chemistry and Biochemistry, University of Missouri-St. Louis, One Univ. Blvd., St. Louis, MO - 63121 (United States)

    2010-02-24

    Laser induced changes in the properties of Sb{sub 2}S{sub 3} thin films prepared by chemical bath deposition are described in this paper. Sb{sub 2}S{sub 3} thin films of thickness 550 nm were deposited from a solution containing SbCl{sub 3} and Na{sub 2}S{sub 2}O{sub 3} at 27 {sup 0}C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  20. Hybrid chemical vapour and nanoceramic aerosol assisted deposition for multifunctional nanocomposite thin films

    Energy Technology Data Exchange (ETDEWEB)

    Warwick, Michael E.A.; Dunnill, Charles W.; Goodall, Josie; Darr, Jawwad A.; Binions, Russell, E-mail: uccarbi@ucl.ac.uk

    2011-07-01

    Hybrid atmospheric pressure chemical vapour and aerosol assisted deposition via the reaction of vanadium acetylacetonate and a suspension of preformed titanium dioxide or cerium dioxide nanoparticles, led to the production of vanadium dioxide nanocomposite thin films on glass substrates. The preformed nanoparticle oxides used for the aerosol were synthesised using a continuous hydrothermal flow synthesis route involving the rapid reaction of a metal salt solution with a flow of supercritical water in a flow reactor. Multifunctional nanocomposite thin films from the hybrid deposition process were characterised using scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopy. The functional properties of the films were evaluated using variable temperature optical measurements to assess thermochromic behaviour and methylene blue photodecolourisation experiments to assess photocatalytic activity. The tests show that the films are multifunctional in that they are thermochromic (having a large change in infra-red reflectivity upon exceeding the thermochromic transition temperature) and have significant photocatalytic activity under irradiation with 254 nm light.

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. Origin and chemical composition of evaporite deposits

    Science.gov (United States)

    Moore, George William

    1960-01-01

    A comparative study of marine evaporite deposits forming at the present time along the pacific coast of central Mexico and evaporite formations of Permian age in West Texas Basin was made in order to determine if the modern sediments provide a basis for understanding environmental conditions that existed during deposition of the older deposits. The field work was supplemented by investigations of artificial evaporite minerals precipitated in the laboratory and by study of the chemical composition of halite rock of different geologic ages. The environment of deposition of contemporaneous marine salt deposits in Mexico is acidic, is strongly reducing a few centimeters below the surface, and teems with microscopic life. Deposition of salt, unlike that of many other sediments, is not wholly a constructional phenomenon. Permanent deposits result only if a favorable balance exists between deposition in the dry season and dissolution in the wet season. Evaporite formations chosen for special study in the West Texas Basin are, in ascending order, the Castile, Salado, and Rustler formations, which have a combined thickness of 1200 meters. The Castile formation is largely composed of gypsum rock, the Salado, halite rock, and the Rustler, quartz and carbonate sandstone. The lower part of the Castile formation is bituminous and contains limestone laminae. The Castile and Rustler formations thicken to the south at the expense of salt of the intervening Salado formation. The clastic rocks of the Rustler formation are interpreted as the deposits of a series of barrier islands north of which halite rock of the Salado was deposited. The salt is believed to have formed in shallow water of uniform density that was mixed by the wind. Where water depth exceeded the depth of the wind mixing, density stratification developed, and gypsum was deposited. Dense water of high salinity below the density discontinuity was overlain by less dense, more normally saline water which was derived from

  3. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  4. Size dependent optical characteristics of chemically deposited

    Indian Academy of Sciences (India)

    Keywords. Thin film; ZnS; CBD method; optical properties. Abstract. ZnS thin films of different thicknesses were prepared by chemical bath deposition using thiourea and zinc acetate as S2- and Zn2+ source. The effect of film thickness on the optical and structural properties was studied. The optical absorption studies in the ...

  5. Water-assisted growth of graphene on carbon nanotubes by the chemical vapor deposition method.

    Science.gov (United States)

    Feng, Jian-Min; Dai, Ye-Jing

    2013-05-21

    Combining carbon nanotubes (CNTs) with graphene has been proved to be a feasible method for improving the performance of graphene for some practical applications. This paper reports a water-assisted route to grow graphene on CNTs from ferrocene and thiophene dissolved in ethanol by the chemical vapor deposition method in an argon flow. A double injection technique was used to separately inject ethanol solution and water for the preparation of graphene/CNTs. First, CNTs were prepared from ethanol solution and water. The injection of ethanol solution was suspended and water alone was injected into the reactor to etch the CNTs. Thereafter, ethanol solution was injected along with water, which is the key factor in obtaining graphene/CNTs. Transmission electron microscopy, scanning electron microscopy, X-ray diffraction, and Raman scattering analyses confirmed that the products were the hybrid materials of graphene/CNTs. X-ray photo-electron spectroscopy analysis showed the presence of oxygen rich functional groups on the surface of the graphene/CNTs. Given the activity of the graphene/CNT surface, CdS quantum dots adhered onto it uniformly through simple mechanical mixing.

  6. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yang, E-mail: yang.liu@helmholtz-berlin.de; Plate, Paul, E-mail: paul.plate@helmholtz-berlin.de; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Bartsch, Peter [Beuth Hochschule für Technik Berlin, Fachbereich VIII Maschinenbau, Veranstaltungstechnik, Verfahrenstechnik (Germany); Fiechter, Sebastian; Lux-Steiner, Martha Ch. [Helmholtz-Zentrum Berlin für Materialien und Energie GmbH (Germany); Fischer, Christian-Herbert [Freie Universität Berlin, Institute of Chemistry and Biochemistry (Germany)

    2017-04-15

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  7. Size- and density-controlled deposition of Ag nanoparticle films by a novel low-temperature spray chemical vapour deposition method—research into mechanism, particle growth and optical simulation

    International Nuclear Information System (INIS)

    Liu, Yang; Plate, Paul; Hinrichs, Volker; Köhler, Tristan; Song, Min; Manley, Phillip; Schmid, Martina; Bartsch, Peter; Fiechter, Sebastian; Lux-Steiner, Martha Ch.; Fischer, Christian-Herbert

    2017-01-01

    Ag nanoparticles have attracted interest for plasmonic absorption enhancement of solar cells. For this purpose, well-defined particle sizes and densities as well as very low deposition temperatures are required. Thus, we report here a new spray chemical vapour deposition method for producing Ag NP films with independent size and density control at substrate temperatures even below 100 °C, which is much lower than for many other techniques. This method can be used on different substrates to deposit Ag NP films. It is a reproducible, low-cost process which uses trimethylphosphine (hexafluoroacetylacetonato) silver as a precursor in alcoholic solution. By systematic variation of deposition parameters and classic experiments, mechanisms of particle growth and of deposition processes as well as the low decomposition temperature of the precursor could be explained. Using the 3D finite element method, absorption spectra of selected samples were simulated, which fitted well with the measured results. Hence, further applications of such Ag NP films for generating plasmonic near field can be predicted by the simulation.

  8. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  9. Electrochemical deposition and characterization of zinc–nickel alloys deposited by direct and reverse current

    Directory of Open Access Journals (Sweden)

    JELENA B. BAJAT

    2005-12-01

    Full Text Available Zn–Ni alloys electrochemically deposited on steel under various deposition conditions were investigated. The alloys were deposited on a rotating disc electrode and on a steel panel from chloride solutions by direct and reverse current. The influence of reverse plating variables (cathodic and anodic current densities and their time duration on the composition, phase structure and corrosion properties were investigated. The chemical content and phase composition affect the anticorrosive properties of Zn–Ni alloys during exposure to a corrosive agent (3 % NaCl solution. It was shown that the Zn–Ni alloy electrodeposited by reverse current with a full period T = 1 s and r = 0.2 exhibits the best corrosion properties of all the investigated alloys deposited by reverse current.

  10. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) yields better Hydrolytical Stability of Biocompatible SiOx Thin Films on Implant Alumina Ceramics compared to Rapid Thermal Evaporation Physical Vapor Deposition (PVD).

    Science.gov (United States)

    Böke, Frederik; Giner, Ignacio; Keller, Adrian; Grundmeier, Guido; Fischer, Horst

    2016-07-20

    Densely sintered aluminum oxide (α-Al2O3) is chemically and biologically inert. To improve the interaction with biomolecules and cells, its surface has to be modified prior to use in biomedical applications. In this study, we compared two deposition techniques for adhesion promoting SiOx films to facilitate the coupling of stable organosilane monolayers on monolithic α-alumina; physical vapor deposition (PVD) by thermal evaporation and plasma enhanced chemical vapor deposition (PE-CVD). We also investigated the influence of etching on the formation of silanol surface groups using hydrogen peroxide and sulfuric acid solutions. The film characteristics, that is, surface morphology and surface chemistry, as well as the film stability and its adhesion properties under accelerated aging conditions were characterized by means of X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray spectroscopy (EDX), scanning electron microscopy (SEM), inductively coupled plasma-optical emission spectroscopy (ICP-OES), and tensile strength tests. Differences in surface functionalization were investigated via two model organosilanes as well as the cell-cytotoxicity and viability on murine fibroblasts and human mesenchymal stromal cells (hMSC). We found that both SiOx interfaces did not affect the cell viability of both cell types. No significant differences between both films with regard to their interfacial tensile strength were detected, although failure mode analyses revealed a higher interfacial stability of the PE-CVD films compared to the PVD films. Twenty-eight day exposure to simulated body fluid (SBF) at 37 °C revealed a partial delamination of the thermally deposited PVD films whereas the PE-CVD films stayed largely intact. SiOx layers deposited by both PVD and PE-CVD may thus serve as viable adhesion-promoters for subsequent organosilane coupling agent binding to α-alumina. However, PE-CVD appears to be favorable for long-term direct film exposure to aqueous

  11. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  12. Comparative study on substitution effects in BiFeO{sub 3} thin films fabricated on FTO substrates by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Xu; Tan, Guoqiang, E-mail: tan3114@163.com; Hao, Hangfei; Ren, Huijun

    2013-10-01

    Pure BiFeO{sub 3} (BFO), BiFe{sub 0.97}Co{sub 0.03}O{sub 3−δ} (BFCO) and Bi{sub 0.90}Gd{sub 0.10}Fe{sub 0.97}Co{sub 0.03}O{sub 3−δ} (BGFCO) thin films were successfully deposited on FTO substrates by chemical solution deposition technique. The field emission scanning electron microscope reveals that the surface morphology of the BGFCO thin film becomes more compact and uniform than that of the other two films. A slight lattice distortion is created in the BFCO thin film, whereas 10% Gd doping gives rise to tetragonal phase transition and (1 1 0) preferentially oriented film texture for the BGFCO thin film, as evidenced by Raman scattering spectra and X-ray diffraction analyses. X-ray photoelectron spectroscopy analyses clarify that Co-doping results in the increase of oxygen vacancy concentration in the BFCO film, while further introduction of Gd into the BFCO lattice can decrease oxygen vacancy concentration, and the concentrations of Fe{sup 2+} ions in the BFCO and BGFCO thin films are less than that in the BFO counterpart. The BFCO film shows the improved remanent polarization (P{sub r}) of 11.2 μC/cm{sup 2} compared with that of 1.4 μC/cm{sup 2} for the BFO film. The high breakdown strength, low leakage current density in the high electric filed, improved dielectric properties as well as the increased stereochemical activity of Bi ion lone electron pair of the BGFCO thin film all together contribute to the giant P{sub r} of 139.6 μC/cm{sup 2} at room temperature.

  13. Development of a fluorine-free chemical solution deposition route for rare-earth cuprate superconducting tapes and its application to reel-to-reel processing

    DEFF Research Database (Denmark)

    Tang, Xiao

    temperature, REBCO (RE= rare earth) has some evident advantages compared to other high-temperature superconductors in retaining high current densities under strong magnetic fields, thus REBCO high temperature superconducto rs have significant potential for high field engineering applications. Compared...... to Pulsed Laser Deposition (PLD) and Chemical Vapor Deposition (CVD), the trifluoroacetate metal-organic deposition (TFA-MOD) route is more promising for producing REBCO superconducting films, owing to the high-Jc, high reproducibility, and low cost of this technique, which doesn't require any high vacuum...... on the microstructure and performance of FF-MOD derived YBCO films was investigated. Chapter 9 is the summary of the thesis....

  14. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  15. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Science.gov (United States)

    Kim, Young-Soon; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-01

    Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y2O3 dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm2 area. After Y2O3 deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO3 (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y2O3 and GdBCO/LMO/MgO/Y2O3 stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y2O3 multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  16. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  17. Zinc oxide nanostructures by chemical vapour deposition as anodes for Li-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Laurenti, M., E-mail: marco.laurenti@iit.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Garino, N. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Porro, S.; Fontana, M. [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy); Gerbaldi, C., E-mail: claudio.gerbaldi@polito.it [Center for Space Human Robotics @Polito, Istituto Italiano di Tecnologia, Corso Trento, 21, 10129 Turin (Italy); Department of Applied Science and Technology – DISAT, Politecnico di Torino, Corso Duca degli Abruzzi 24, 10129 Turin (Italy)

    2015-08-15

    Highlights: • ZnO nanostructures are grown by simple chemical vapour deposition. • Polycrystalline nanostructured porous thin film is obtained. • Film exhibits stable specific capacity (∼400 mA h g{sup −1}) after prolonged cycling. • CVD-grown ZnO nanostructures show promising prospects as Li-ion battery anode. - Abstract: ZnO nanostructures are grown by a simple chemical vapour deposition method directly on a stainless steel disc current collector and successfully tested in lithium cells. The structural/morphological characterization points out the presence of well-defined polycrystalline nanostructures having different shapes and a preferential orientation along the c-axis direction. In addition, the high active surface of the ZnO nanostructures, which accounts for a large electrode/electrolyte contact area, and the complete wetting with the electrolyte solution are considered to be responsible for the good electrical transport properties and the adequate electrochemical behaviour, as confirmed by cyclic voltammetry and galvanostatic charge/discharge cycling. Indeed, despite no binder or conducting additives are used, when galvanostatically tested in lithium cells, after an initial decay, the ZnO nanostructures can provide a rather stable specific capacity approaching 70 μA h cm{sup −2} (i.e., around 400 mA h g{sup −1}) after prolonged cycling at 1 C, with very high Coulombic efficiency and an overall capacity retention exceeding 62%.

  18. Ferroelectrics onto silicon prepared by chemical solution deposition methods: from the thin film to the self-assembled systems

    Directory of Open Access Journals (Sweden)

    Calzada, M. L.

    2006-06-01

    Full Text Available The work of the authors during the last years on ferroelectric thin and ultra-thin films deposited by Chemical Solution Deposition (CSD onto silicon based substrates is reviewed in this paper. Ferroelectric layers integrated with silicon substrates have potential use in the new micro/nanoelectronic devices. Two hot issues are here considered: 1 the use of low processing temperatures of the ferroelectric film, with the objective of not producing any damage on the different elements of the device heterostructure, and 2 the downscaling of the ferroelectric material with the aim of achieving the high densities of integration required in the next generation of nanoelectronic devices. The UV-assisted Rapid Thermal Processing has successfully been used in our laboratory for the fabrication of ferroelectric films at low temperatures. Preliminary results on the CSD preparation of nanosized ferroelectric structures are shown.

    Este artículo revisa el trabajo realizado por los autores durante los últimos años sobre lámina delgada y ultra-delgada ferroeléctrica preparada mediante el depósito químico de disoluciones (CSD sobre substratos de silicio. Las películas ferroeléctricas integradas con silicio tienen potenciales usos en los nuevos dispositivos micro/nanoelectrónicos. Dos aspectos claves son aquí considerados: 1 el uso de bajas temperaturas de procesado de la lámina ferroeléctrica, con el fin de no dañar los diferentes elementos que forman la heteroestructura del dispositivo y 2 la disminución de tamaño del material ferroeléctrico con el fin de conseguir las altas densidades de integración requeridas en la próxima generación de dispositivos nanoelectróncos. Los procesos térmicos rápidos asistidos con irradiación UV se están usando en nuestro laboratorio para conseguir la fabricación del material ferroeléctrico a temperaturas bajas compatibles con la tecnología del silicio. Se muestran resultados preliminares sobre

  19. Occurrence forms of uranium in the production solutions in the areas of underground leaching of epigenetic uranium deposits

    International Nuclear Information System (INIS)

    Serebrennikov, V.S.; Dorofeeva, V.A.

    1980-01-01

    Redox, acid-basic features of solutions (Eh changes from + 50 to 650 mV, pH from 7.5 to 1.5) and their chemical composition are studied in the process of hydrogeochemical investigations at the areas of underground leaching (UL) of epigenetic uranium deposits. It is shown that at studied areas of UL under neutral and weakly acidic conditions up to (pH 6.0-5.8), carbonate complexes of uranyl are the prevailing form of uranium existence in the solution, and sulfate complexes prevail under more acidic conditions. A supposition is made that it is expedient to process separate ore blocks with increased carbonate contents, particularly with oxidant additions under near-neutral acid-basic conditions (pH 7.2-6.8) with the use of weakly acid pumping solutions, which act (at the expense of their interaction with carbonates of ore-containing rocks) for enrichment of working solutions with HCO 3 - and CO 3 2- ions, promoting uranium transfer into solution

  20. Highly uniform resistive switching properties of amorphous InGaZnO thin films prepared by a low temperature photochemical solution deposition method.

    Science.gov (United States)

    Hu, Wei; Zou, Lilan; Chen, Xinman; Qin, Ni; Li, Shuwei; Bao, Dinghua

    2014-04-09

    We report on highly uniform resistive switching properties of amorphous InGaZnO (a-IGZO) thin films. The thin films were fabricated by a low temperature photochemical solution deposition method, a simple process combining chemical solution deposition and ultraviolet (UV) irradiation treatment. The a-IGZO based resistive switching devices exhibit long retention, good endurance, uniform switching voltages, and stable distribution of low and high resistance states. Electrical conduction mechanisms were also discussed on the basis of the current-voltage characteristics and their temperature dependence. The excellent resistive switching properties can be attributed to the reduction of organic- and hydrogen-based elements and the formation of enhanced metal-oxide bonding and metal-hydroxide bonding networks by hydrogen bonding due to UV irradiation, based on Fourier-transform-infrared spectroscopy, X-ray photoelectron spectroscopy, and Field emission scanning electron microscopy analysis of the thin films. This study suggests that a-IGZO thin films have potential applications in resistive random access memory and the low temperature photochemical solution deposition method can find the opportunity for further achieving system on panel applications if the a-IGZO resistive switching cells were integrated with a-IGZO thin film transistors.

  1. Determination of chemical solute transport parameters effecting radiostrontium interbed sediments

    International Nuclear Information System (INIS)

    Hemming, C.; Bunde, R.L.; Rosentreter, J.J.

    1993-01-01

    The extent to which radionuclides migrate in an aquifer system is a function of various physical, chemical, and biological processes. A measure of this migration rate is of primary concern when locating suitable storage sites for such species. Parameters including water-rock interactions, infiltration rates, chemical phase modification, and biochemical reactions all affect solute transport. While these different types of chemical reactions can influence solute transport in subsurface waters, distribution coefficients (Kd) can be send to effectively summarize the net chemical factors which dictate transport efficiency. This coefficient describes the partitioning of the solute between the solution and solid phase. Methodology used in determining and interpreting the distribution coefficient for radiostrontium in well characterized sediments will be presented

  2. Properties of NiO thin films deposited by chemical spray pyrolysis using different precursor solutions

    Energy Technology Data Exchange (ETDEWEB)

    Cattin, L. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Reguig, B.A.; Khelil, A. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Morsli, M. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France); Benchouk, K. [Universite d' Oran Es-Senia, LPCM2E (Algeria); Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, 2 rue de la Houssiniere, BP 92208, Nantes F-44000 (France)], E-mail: Jean-Christian.Bernede@univ-nantes.fr

    2008-07-15

    NiO thin films have been deposited by chemical spray pyrolysis using a perfume atomizer to grow the aerosol. The influence of the precursor, nickel chloride hexahydrate (NiCl{sub 2}.6H{sub 2}O), nickel nitrate hexahydrate (Ni(NO{sub 3}){sub 2}.6H{sub 2}O), nickel hydroxide hexahydrate (Ni(OH){sub 2}.6H{sub 2}O), nickel sulfate tetrahydrate (NiSO{sub 4}.4H{sub 2}O), on the thin films properties has been studied. In the experimental conditions used (substrate temperature 350 deg. C, precursor concentration 0.2-0.3 M, etc.), pure NiO thin films crystallized in the cubic phase can be achieved only with NiCl{sub 2} and Ni(NO{sub 3}){sub 2} precursors. These films have been post-annealed at 425 deg. C for 3 h either in room atmosphere or under vacuum. If all the films are p-type, it is shown that the NiO films conductivity and optical transmittance depend on annealing process. The properties of the NiO thin films annealed under room atmosphere are not significantly modified, which is attributed to the fact that the temperature and the environment of this annealing is not very different from the experimental conditions during spray deposition. The annealing under vacuum is more efficient. This annealing being proceeded in a vacuum no better than 10{sup -2} Pa, it is supposed that the modifications of the NiO thin film properties, mainly the conductivity and optical transmission, are related to some interaction between residual oxygen and the films.

  3. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  4. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  5. The Effects of Electroless Nickel Plating Bath Conditions on Stability of Solution and Properties of Deposit

    International Nuclear Information System (INIS)

    Huh, Jin; Lee, Jae Ho

    2000-01-01

    Electroless depositions of nickel were conducted in different bath conditions to find optimum conditions of electroless nickel plating at low operating temperature and pH. The effect of complexing reagent on stability of plating solution was investigated. Sodium citrate complexed plating solution is more stable than sodium pyrophosphate complexed solution. The effects of nickel salt concentration, reducing agent, complexing agent and inhibitor on deposition rate was investigated. The effects of pH on deposition rate and content of phosphorous in deposited nickel were also analyzed. Electroless deposited nickel become crystallized with increasing pH due to lower phosphorous content. In optimum operating bath condition, deposition rate was 7 μm/hr at 60 .deg. C and pH 10.0 without stabilizer. The rate was decreased with stabilizer concentration

  6. Rapid processing method for solution deposited YBa2Cu3O7-δ thin films

    International Nuclear Information System (INIS)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P.

    2004-01-01

    YBa 2 Cu 3 O 7-δ (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm 2 current density (J c ) YBCO films, from multiple hours to ∼20 s in atmospheric pressure air. High quality, ∼0.2 μm thick YBCO films with J c (77 K) values ≥2 MA/cm 2 at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO 3 . This process has also enabled J c (77 K)=1.1 MA/cm 2 YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable ∼$10/kA m solution deposited YBCO coated conductor wires

  7. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  8. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  9. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  10. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  11. Influence of variation in the concentration of ammonium hydroxide on the size of ZnO crystal obtained by Microwave Chemical Bath Deposition

    International Nuclear Information System (INIS)

    Galeazzi, R; Díaz, T; García, G; Rivera, B L; Rosendo, E; López, R; Morales, N; González, C M

    2013-01-01

    Films of good crystalline quality of ZnO were successfully prepared using the microwave chemical bath deposition method at a temperature of 80 °C. Concentration of the basic precursor was varied systematically in order to obtain different degrees of acidity in the precursor solutions. Increasing the pH causes an increase in yield. This increase is reflected on the thickness of the deposit. The results of atomic force microscopy (AFM) show an increase in particle size with increasing pH in agreement with the results obtained by profilometry.

  12. Influence of humidity on the growth characteristics and properties of chemical bath-deposited ZnS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yi-Cheng; Chao, Yen-Tai [Department of Mechatronics Engineering, National Changhua University of Education, Changhua 50007, Taiwan (China); Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw [Department of Materials Science and Engineering, Da-Yeh University, Dacun, Changhua 51591, Taiwan (China)

    2014-07-01

    In this study, the effect of humidity on the growth characteristics and properties of chemical bath-deposited ZnS thin films was systematically investigated. All deposition was conducted by an open CBD system under various relative humidity levels (RH) or by a hermetic CBD system as a comparison. It shows, for films deposited by an open system, the ambient humidity plays an important role in the quality of the resultant films. Damp environments lead to powdery films. Generally, all films prepared in this study using NH{sub 3} and hydrazine hydrate as the complexing agents were amorphous or poorly crystalline. For an open system, the [H{sup +}] from the dissolved carbon dioxide in the air competes with the ammonium ions in the bath solution. According to Le Châtelier's principle, more ammonia was consumed, which favors the free [Zn{sup +2}] in the solution, facilitating the homogeneous precipitation of Zn(OH){sub 2} and giving rise to a powdery film. The x-ray photoelectron spectrum shows, for an open system, the content of Zn–O compounds in the form of Zn(OH){sub 2} and ZnO, etc., is increased by the relative humidity of the environment. The visible transmittance is reduced by RH. The higher optical band gap of the as-deposited films could be attributed to the quantum confinement effects due to the small grain size of the polycrystalline ZnS films over the substrates.

  13. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  14. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  15. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  16. Validation of chemical analyses of atmospheric deposition in forested European sites

    Directory of Open Access Journals (Sweden)

    Erwin ULRICH

    2005-08-01

    Full Text Available Within the activities of the Integrated Co-operative Programme on Assessment and Monitoring of Air Pollution Effects on Forests (ICP Forests and of the EU Regulation 2152/2003, a Working Group on Quality Assurance/Quality Control of analyses has been created to assist the participating laboratories in the analysis of atmospheric deposition, soil and soil solution, and leaves/needles. As part of the activity of the WG, this study is a statistical analysis in the field of water analysis of chemical concentrations and relationships between ions, and between conductivity and ions for different types of samples (bulk or wet-only samples, throughfall, stemflow considered in forest studies. About 5000 analyses from seven laboratories were used to establish relationships representative of different European geographic and climatic situations, from northern Finland to southern Italy. Statistically significant differences between the relationships obtained from different types of solutions, interacting with different types of vegetation (throughfall and stemflow samples, broad-leaved trees and conifers and with varying influence of marine salt were tested. The ultimate aim is to establish general relationships between ions, and between conductivity and ions, with relative confidence limits, which can be used as a comparison with those established in single laboratories. The use of such techniques is strongly encouraged in the ICPF laboratories to validate single chemical analyses, to be performed when it is still possible to replicate the analysis, and as a general overview of the whole set of analyses, to obtain an indication of the laboratory performance on a long-term basis.

  17. Zirconium doped TiO{sub 2} thin films deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Juma, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Department of Physics and Astronomy, Botswana International University of Science and Technology, Private bag 16, Palapye (Botswana); Oja Acik, I., E-mail: ilona.oja@ttu.ee [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Oluwabi, A.T.; Mere, A. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Mikli, V.; Danilson, M. [Chair of Semiconductor Materials Technology, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Krunks, M. [Laboratory of Thin Film Chemical Technologies, Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia)

    2016-11-30

    Highlights: • Mean crystallite size of TiO{sub 2}:Zr film decreases with increasing [Zr] in the solution. • Zr doping supresses the anatase to rutile transformation process in TiO{sub 2} films. • Band gap of TiO{sub 2}:Zr film is 3.4 eV irrespective of the annealing temperature. - Abstract: Chemical spray pyrolysis (CSP) is a flexible deposition technique that allows for mixing of the precursor solutions in different proportions suitable for doping thin films. The CSP method was used to dope TiO{sub 2} thin films with Zr by adding zirconium(IV) acetylacetonate into a solution of titanium(IV) isopropoxide in ethanol stabilized by acetylacetone at [Zr]/[Ti] of 0, 5, 10 and 20 at%. The Zr-doped TiO{sub 2} thin films were uniform and homogeneous showing much smaller grains than the undoped TiO{sub 2} films. Zr stabilized the anatase phase to temperatures above 800 °C depending on Zr concentration in the spray solution. The concentration of Zr determined by XPS was 6.4 at% for the thin film deposited from the 20 at% solution. According to AFM studies, Zr doping decreased the root mean square roughness of TiO{sub 2} film from 5.9 to 1.1 nm. An XRD study of samples with the highest Zr amount showed the ZrTiO{sub 4} phase started forming after annealing at 800 °C. The optical band gap for TiO{sub 2} decreased from 3.3 eV to 3.0 eV after annealing at 800 °C but for the TiO{sub 2}:Zr(20) film it remained at 3.4 eV. The dielectric constant increased by more than four times with Zr-doping and this was associated with the change in the bond formations caused by substitution of Ti by Zr in the lattice.

  18. The Chemistry of Inorganic Precursors during the Chemical Deposition of Films on Solid Surfaces.

    Science.gov (United States)

    Barry, Seán T; Teplyakov, Andrew V; Zaera, Francisco

    2018-03-20

    The deposition of thin solid films is central to many industrial applications, and chemical vapor deposition (CVD) methods are particularly useful for this task. For one, the isotropic nature of the adsorption of chemical species affords even coverages on surfaces with rough topographies, an increasingly common requirement in microelectronics. Furthermore, by splitting the overall film-depositing reactions into two or more complementary and self-limiting steps, as it is done in atomic layer depositions (ALD), film thicknesses can be controlled down to the sub-monolayer level. Thanks to the availability of a vast array of inorganic and metalorganic precursors, CVD and ALD are quite versatile and can be engineered to deposit virtually any type of solid material. On the negative side, the surface chemistry that takes place in these processes is often complex, and can include undesirable side reactions leading to the incorporation of impurities in the growing films. Appropriate precursors and deposition conditions need to be chosen to minimize these problems, and that requires a proper understanding of the underlying surface chemistry. The precursors for CVD and ALD are often designed and chosen based on their known thermal chemistry from inorganic chemistry studies, taking advantage of the vast knowledge developed in that field over the years. Although a good first approximation, however, this approach can lead to wrong choices, because the reactions of these precursors at gas-solid interfaces can be quite different from what is seen in solution. For one, solvents often aid in the displacement of ligands in metalorganic compounds, providing the right dielectric environment, temporarily coordinating to the metal, or facilitating multiple ligand-complex interactions to increase reaction probabilities; these options are not available in the gas-solid reactions associated with CVD and ALD. Moreover, solid surfaces act as unique "ligands", if these reactions are to be

  19. Composition and structural study of solution-processed Zn(S,O,OH) thin films grown using H{sub 2}O{sub 2} based deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Buffière, M., E-mail: marie.buffiere@imec.be [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); 44Solar, 14 rue Kepler, 44240 La Chapelle-sur-Erdre (France); Gautron, E. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Hildebrandt, T. [Institut de Recherche et Développement sur l' Energie Photovoltaïque (IRDEP)-UMR 7174 EDF-CNRS-ENSCP, 6 quai Watier-78401 Chatou Cedex (France); Harel, S.; Guillot-Deudon, C.; Arzel, L. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Naghavi, N. [Institut de Recherche et Développement sur l' Energie Photovoltaïque (IRDEP)-UMR 7174 EDF-CNRS-ENSCP, 6 quai Watier-78401 Chatou Cedex (France); Barreau, N. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Kessler, J. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); 44Solar, 14 rue Kepler, 44240 La Chapelle-sur-Erdre (France)

    2013-05-01

    Recent results have revealed that the low deposition time issue of chemical bath deposited (CBD) Zn(S,O,OH) buffer layer used in Cu(In,Ga)Se{sub 2} (CIGSe) solar cells could be resolved using H{sub 2}O{sub 2} as an additive in the chemical bath solution. Although the use of this additive does not hinder the electrical properties of the resulting Zn(S,O,OH)-buffered CIGSe solar cells, the impact of H{sub 2}O{sub 2} on the Zn(S,O,OH) properties remains unclear. The present contribution aims at determining the chemical composition and the microstructure of Zn(S,O,OH) film deposited by CBD using the alternative deposition bath containing the standard zinc sulfate, thiourea, ammonia but also H{sub 2}O{sub 2} additive. Both X-ray photoemission spectroscopy and energy dispersive X-ray spectroscopy analyses reveal higher sulfur content in alternatively deposited Zn(S,O,OH), since the first step growth of the layer. According to transmission electron microscopy analyses, another consequence of the higher deposition rate achieved when adding H{sub 2}O{sub 2} in the bath is the modification of the absorber/buffer interface. This could be explained by the enhancement of the cluster growth mechanism of the layer. - Highlights: ► The Zn(S,O,OH) layer composition can vary with the chemical bath process used. ► The alternative process leads to a faster incorporation of sulfur in the layer. ► No ZnS epitaxial layer has been found at absorber/alternative buffer interface. ► The use of H{sub 2}O{sub 2} enhances the cluster-by-cluster growth mechanism.

  20. Characterization of amorphous yttria layers deposited by aqueous solutions of Y-chelate alkoxides complex

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Young-Soon, E-mail: kyscjb@i-sunam.com; Lee, Yu-Ri; Kim, Byeong-Joo; Lee, Jae-Hun; Moon, Seung-Hyun; Lee, Hunju

    2015-01-15

    Highlights: • Economical method for crack-free amorphous yttria layer deposition by dip coating. • Simpler process for planar yttria film as a diffusion barrier and nucleation layer. • Easy control over the film properties with better characteristics. • Easy control over the thickness of the deposited films. • A feasible process that can be easily adopted by HTSCC industries. - Abstract: Crack-free amorphous yttria layers were deposited by dip coating in solutions of different Y-chelate alkoxides complex. Three Y-chelate solutions of different concentrations were prepared using yttrium acetate tetrahydrate, yttrium stearic acid as Y source materials. PEG, diethanolamine were used as chelating agents, while ethanol, methanol and tetradecane were used as solvent. Three different combinations of chelating and solvents were used to prepare solutions for Y{sub 2}O{sub 3} dip coating on SUS, electropolished and non-electropolished Hastelloy C-276 substrates. The thickness of the films was varied by changing the number of dipping cycles. At an optimized condition, the substrate surface roughness (rms) value was reduced from ∼50 nm to ∼1 nm over a 10 × 10 μm{sup 2} area. After Y{sub 2}O{sub 3} deposition, MgO was deposited using ion-beam assisted deposition (IBAD), then LaMnO{sub 3} (LMO) was deposited using sputtering and GdBCO was deposited using reactive co-evaporation by deposition and reaction (RCE-DR). Detailed X-ray study indicates that LMO/MgO/Y{sub 2}O{sub 3} and GdBCO/LMO/MgO/Y{sub 2}O{sub 3} stack films have good out-of-plane and in-plane textures with strong c-axis alignment. The critical current (Ic) of GdBCO/LMO/MgO/Y{sub 2}O{sub 3} multilayer structure varied from 190 to 420 A/cm with different solutions, when measured at 77 K. These results demonstrated that amorphous yttria can be easily deposited by dip coating using Y-chelates complex as a diffusion barrier and nucleation layer.

  1. Electrical Conductivity and Chemical Composition of Soil Solution: Comparison of Solution Samplers in Tropical Soils

    Directory of Open Access Journals (Sweden)

    Davi Lopes do Carmo

    2016-01-01

    Full Text Available ABSTRACT Soil solution samplers may have the same working principle, but they differ in relation to chemical and physical characteristics, cost and handling, and these aspects exert influence on the chemical composition of the soil solution obtained. This study was carried out to evaluate, over time, the chemical composition of solutions extracted by Suolo Acqua, with the hydrophilic membrane (HM as a standard, using soils with contrasting characteristics, and to determine the relationship between electrical conductivity (EC and concentration of ions and pH of soil solution samples. This study was carried out under laboratory conditions, using three soils samples with different clay and organic matter (OM contents. Soil solution contents of F−, Cl−, NO−3, Br−, SO42−, Na+, NH4+, K+, Mg2+, Ca2+, were analyzed, as well as inorganic, organic, and total C contents, pH, and EC, in four successive sampling times. Soil solution chemical composition extracted by the Suolo Acqua sampler is similar to that collected by the HM, but the Suolo Acqua extracted more Na+ and soluble organic C than the HM solution. Solution EC, cation and anion concentrations, and soluble C levels are higher in the soil with greater clay and OM contents (Latossolo and Cambissolo in this case. Soil solution composition varied over time, with considerable changes in pH, EC, and nutrient concentrations, especially associated with soil OM. Thus, single and isolated sampling of the soil solution must be avoided, otherwise composition of the soil solution may not be correctly evaluated. Soil solution EC was regulated by pH, as well as the sum of cation and anion concentrations, and the C contents determined in the soil liquid phase.

  2. The effect of the solution flow rate on the properties of zinc oxide (ZnO) thin films deposited by ultrasonic spray

    International Nuclear Information System (INIS)

    Attaf, A.; Benkhetta, Y.; Saidi, H.; Bouhdjar, A.; Bendjedidi, H.; Nouadji, M.; Lehraki, N.

    2015-01-01

    In this work, we used a system based on ultrasonic spray pyrolysis technique. By witch, we have deposited thin films of zinc oxide (ZnO) with the variation of solution flow rate from 50 ml / h to 150 ml / h, and set other parameters such as the concentration of the solution, the deposition time, substrate temperature and the nozzel -substrate distance. In order to study the influence of the solution flow rate on the properties of the films produced, we have several characterization techniques such as X-ray diffraction to determine the films structure, the scanning electron microscopy SEM for the morphology of the surfaces, EDS spectroscopy for the chemical composition, UV-Visible-Nir spectroscopy for determination the optical proprieties of thin films.The experimental results show that: the films have hexagonal structure at the type (wurtzite), the average size of grains varies from 20.11 to 32.45 nm, the transmittance of the films equals 80% in visible rang and the band gap is varied between 3.274 and 3.282 eV, when the solution flow rate increases from 50 to 150 ml/h

  3. The effect of the solution flow rate on the properties of zinc oxide (ZnO) thin films deposited by ultrasonic spray

    Science.gov (United States)

    Attaf, A.; Benkhetta, Y.; Saidi, H.; Bouhdjar, A.; Bendjedidi, H.; Nouadji, M.; Lehraki, N.

    2015-03-01

    In this work, we used a system based on ultrasonic spray pyrolysis technique. By witch, we have deposited thin films of zinc oxide (ZnO) with the variation of solution flow rate from 50 ml / h to 150 ml / h, and set other parameters such as the concentration of the solution, the deposition time, substrate temperature and the nozzel -substrate distance. In order to study the influence of the solution flow rate on the properties of the films produced, we have several characterization techniques such as X-ray diffraction to determine the films structure, the scanning electron microscopy SEM for the morphology of the surfaces, EDS spectroscopy for the chemical composition, UV-Visible-Nir spectroscopy for determination the optical proprieties of thin films.The experimental results show that: the films have hexagonal structure at the type (wurtzite), the average size of grains varies from 20.11 to 32.45 nm, the transmittance of the films equals 80% in visible rang and the band gap is varied between 3.274 and 3.282 eV, when the solution flow rate increases from 50 to 150 ml/h.

  4. Effect of different solutions on electrochemical deposition of ZnO

    International Nuclear Information System (INIS)

    Asil, H.; Chinar, K.; Gur, E.; Tuzemen, S.

    2010-01-01

    ZnO thin films were grown by electrochemical deposition (ECD) onto indium tin oxide using different compounds such as Zn(NO 3 ) 2 , Zn(C 2 H 3 O 2 ) 2 , ZnCl 2 , Zn(ClO 4 ) 2 and different solvents such as dimethylsulfoxide (DMSO) and 18 M deionized water. Furthermore, solutions were prepared using different electrolytes and concentrations in order to determine the optimum deposition parameters of ZnO. All the grown films were characterized by X-ray diffraction, optical absorption and photoluminescence measurement techniques. It is indicated that films grown by using Zn(ClO 4 ) 2 show high crystallinity and optical quality. The X-ray diffraction analysis showed that ZnO thin films which were grown electrochemically in a non-aqueous solution (DMSO) prepared by Zn(ClO 4 ) 2 have highly c-axis preferential orientation. PL measurements showed that ZnO thin films grown in Zn(ClO 4 ) 2 indicates high quality emission characteristics compared to the thin films grown by other solutions

  5. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  6. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  7. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  8. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  9. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  10. Effect of yttrium doping on the dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} thin film produced by chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saji, Viswanathan S., E-mail: vssaji@chosun.ac.k [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of); Choe, Han Cheol [Chosun University, College of Dentistry and 2nd Stage of Brain Korea 21 for College of Dentistry, Gwangju-501-759 (Korea, Republic of)

    2009-05-29

    Pure and yttrium substituted CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x/} {sub 2} (x = 0, 0.02, 0.1) thin films were prepared on boron doped silica substrate employing chemical solution deposition, spin coating and rapid thermal annealing. The phase and microstructure of the sintered films were examined using X-ray diffraction and scanning electron microscopy. Dielectric properties of the films were measured at room temperature using electrochemical impedance spectroscopy. Highly ordered polycrystalline CCTO thin film with bimodal grain size distribution was achieved at a sintering temperature of 800 {sup o}C. Yttrium doping was found to have beneficial effects on the dielectric properties of CCTO thin film. Dielectric parameters obtained for a CaCu{sub 3}Ti{sub 4-x}Y{sub x}O{sub 12-x} {sub /2} (x = 0.02) film at 1 KHz were k {approx} 2700 and tan {delta} {approx} 0.07.

  11. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  12. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  13. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  14. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  15. Chemical solution-deposited PbZr 0.53 Ti 0.47 O3 on La 0.5 Sr 0.5 Co O3. SIMS investigation of the effect of different precursor additives on the layer structure.

    Science.gov (United States)

    Pollak, C; Malic, B; Kosec, M; Javoric, S; Hutter, H

    2002-10-01

    Chemical solution-deposited thin films of PbZr(0.53)Ti(0.47)O(3)/La(0.5)Sr(0.5)CoO(3) on Pt/TiO(2)/SiO(2)/Si substrates have been investigated by dynamic SIMS. The PbZr(0.53)Ti(0.47)O(3) (PZT) is intended to serve as a ferroelectric layer for microelectronic or microelectromechanical applications; conducting La(0.5)Sr(0.5)CoO(3) (LSCO) is a buffer layer intended to eliminate fatigue effects which usually occur at the Pt/PZT interface. Depth profiles of the main components were obtained and revealed that significant diffusion occurred during the deposition and crystallisation processes. Two types of sample, with different thickness of PZT and different types of poly(vinyl alcohol) (PVA) added to the LSCO precursor, were investigated.

  16. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  17. Multiferroic properties of BiFeO3/Bi4Ti3O12 double-layered thin films fabricated by chemical solution deposition

    International Nuclear Information System (INIS)

    Yi, Seung Woo; Kim, Sang Su; Kim, Jin Won; Jo, Hyun Kyung; Do, Dalhyun; Kim, Won-Jeong

    2009-01-01

    Multiferroic BiFeO 3 /Bi 4 Ti 3 O 12 (BFO/BTO) double-layered film was fabricated on a Pt(111)/Ti/SiO 2 /Si(100) substrate by a chemical solution deposition method. The effect of an interfacial BTO layer on electrical and magnetic properties of BFO was investigated by comparing those of pure BFO and BTO films prepared by the same condition. The X-ray diffraction result showed that no additional phase was formed in the double-layered film, except BFO and BTO phases. The remnant polarization (2P r ) of the double-layered film capacitor was 100 μC/cm 2 at 250 kV/cm, which is much larger than that of the pure BFO film capacitor. The magnetization-magnetic field hysteresis loop revealed weak ferromagnetic response with remnant magnetization (2M r ) of 0.4 kA/m. The values of dielectric constant and dielectric loss of the double-layered film capacitor were 240 and 0.03 at 100 kHz, respectively. Leakage current density measured from the double-layered film capacitor was 6.1 x 10 -7 A/cm 2 at 50 kV/cm, which is lower than the pure BFO and BTO film capacitors.

  18. High performance GdBa{sub 2}Cu{sub 3}O{sub 7-z} film preparation by non-fluorine chemical solution deposition approach

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Pu, M.H.; Wang, W.W. [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China)] [Superconductivity Research Group, School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y., E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China)] [Superconductivity Research Group, School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)

    2011-11-15

    Biaxially textured GdBa{sub 2}Cu{sub 3}O{sub 7}-z films with Tc above 93 K have been prepared on (0 0 l) by non-fluorine CSD approach. Nanoparticles with homogeneous distribution are introduced into the GdBCO films as effective pinning centers. A high Jc (77 K, 0 T) of 2.28 MA/cm{sup 2} with slow decreasing Jc-B behavior is observed in the films. Biaxially textured GdBa{sub 2}Cu{sub 3}O{sub 7-z} (GdBCO) films with T{sub c} above 93 K have been prepared on (0 0 l) LaAlO{sub 3} substrate by self-developed non-fluorine polymer-assisted chemical solution deposition (PA-CSD) approach. The GdBCO films show smooth and crack-free morphology. Many nanoscale particles with homogeneous distribution are observed in the GdBCO films, which have not been observed yet in the YBa{sub 2}Cu{sub 3}O{sub 7-z} (YBCO) films prepared by the same processing technique. Besides a high J{sub c} (77 K, 0 T) of 2.28 MA/cm{sup 2}, the optimized GdBCO films show a better J{sub c}-B behavior and an improved high-field J{sub c}, compared to the YBCO films.

  19. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  20. Structure and electrical properties of (La, Zn) Co-doped BiFeO3 thin films prepared by using chemical solution deposition

    Science.gov (United States)

    Kim, Y. J.; Kim, H. J.; Kim, J. W.; Raghavan, C. M.; Kim, S. S.

    2012-08-01

    We prepared pure BiFeO3 (BFO) and (Bi0.9La0.1)(Fe0.975Zn0.025)O3- δ (BLFZO) thin films on Pt(111)/Ti/SiO2/Si(100) substrates by using a chemical solution deposition method. Improved electrical properties were observed in the co-doped BLFZO thin film. The leakage current density of the BLFZO thin film was four orders of magnitude lower than that of the pure BFO, 4.17 × 10-7 A/cm2 at 100 kV/cm. The remnant polarization (2 P r ) and the coercive electric field (2 E c ) of the BLFZO thin film were 97 µC/cm2 and 903 kV/cm at an applied electric field of 972 kV/cm and at a frequency of 1 kHz, and the values decreased with increasing measurement frequency to 63 µC/cm2 and 679 kV/cm at 10 kHz, respectively. Also, after 1.44 × 1010 cycles, a better fatigue endurance was observed in the BLFZO thin film, which was 90% of its initial value. We also confirmed that the remnant polarization (2 P r ) and the coercive electric field (2 E c ) were fairly saturated above a measurement frequency of 15 kHz for the BLFZO thin film.

  1. In situ deposition of poly(1,8-diaminonaphthalene): from thin films to nanometer-sized structures

    International Nuclear Information System (INIS)

    Tagowska, Magdalena; PaIys, Barbara; Mazur, Maciej; Skompska, Magdalena; Jackowska, Krystyna

    2005-01-01

    Chemical in situ deposition of poly(1,8-diaminonaphthalene) (p(1,8-DAN)) on conductive supports in aqueous and acetonitrile solutions was investigated using electrochemical quartz crystal microbalance (EQCM) and UV-vis spectroscopy. The resulting deposits were examined by the means of cyclic voltammetry (CV), FT-IR and Raman spectroscopy. P(1,8-DAN) was also deposited via chemical polymerization onto a porous polycarbonate membrane (PC) which served as a template for synthesis of nanometer-sized structures. The deposits of p(1,8-DAN) on PC substrate were imaged by atomic force microscopy (AFM) and the nanostructures obtained by dissolution of the template were visualized by scanning electron microscopy (SEM). The EQCM and UV-vis studies indicated that the polymer is formed both on the surface of the substrate and in the bulk of the polymerization solution. However, polymerization of 1,8-DAN in solution is delayed in comparison with deposition on the substrate. Electrochemical and spectroscopic properties of p(1,8-DAN) formed chemically closely resemble the properties of the electrosynthesized polymer. Furthermore, SEM images of p(1,8-DAN) nanostructures revealed that the polymer nanowires are formed in aqueous solutions, whereas two types of structures: nanowires and round shaped structures, not fitting to the pore size, can be obtained by chemical polymerization in the acetonitrile medium

  2. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  3. Hydrazine-Free Solution-Deposited CuIn(S,Se)2 Solar Cells by Spray Deposition of Metal Chalcogenides.

    Science.gov (United States)

    Arnou, Panagiota; van Hest, Maikel F A M; Cooper, Carl S; Malkov, Andrei V; Walls, John M; Bowers, Jake W

    2016-05-18

    Solution processing of semiconductors, such as CuInSe2 and its alloys (CIGS), can significantly reduce the manufacturing costs of thin film solar cells. Despite the recent success of solution deposition approaches for CIGS, toxic reagents such as hydrazine are usually involved, which introduce health and safety concerns. Here, we present a simple and safer methodology for the preparation of high-quality CuIn(S, Se)2 absorbers from metal sulfide solutions in a diamine/dithiol mixture. The solutions are sprayed in air, using a chromatography atomizer, followed by a postdeposition selenization step. Two different selenization methods are explored resulting in power conversion efficiencies of up to 8%.

  4. Electrochemical deposition of coatings of highly entropic alloys from non-aqueous solutions

    Directory of Open Access Journals (Sweden)

    Jeníček V.

    2016-03-01

    Full Text Available The paper deals with electrochemical deposition of coatings of highly entropic alloys. These relatively new materials have been recently intensively studied. The paper describes the first results of electrochemical coating with highly entropic alloys by deposition from non-aqueous solutions. An electrochemical device was designed and coatings were deposited. The coatings were characterised with electronic microscopy scanning, atomic absorption spectrometry and X-ray diffraction methods and the combination of methods of thermic analysis of differential scanning calorimetry and thermogravimetry.

  5. Iron Oxide Deposition from Aqueous Solution and Iron Formations on Mars

    Science.gov (United States)

    Catling, David; Moore, Jeff

    2000-01-01

    Iron formations are ancient, laminated chemical sediments containing at least 15 wt% Fe. We discuss possible mechanisms for their formation in aqueous environments on early Mars. Such iron oxide deposits may be detectable today.

  6. Hideout in steam generator tube deposits

    International Nuclear Information System (INIS)

    Balakrishnan, P.V.; Franklin, K.J.; Turner, C.W.

    1998-05-01

    Hideout in deposits on steam generator tubes was studied using tubes coated with magnetite. Hideout from sodium chloride solutions at 279 degrees C was followed using an on-line high-temperature conductivity probe, as well as by chemical analysis of solution samples from the autoclave in which the studies were done. Significant hideout was observed only at a heat flux greater than 200 kW/m 2 , corresponding to a temperature drop greater than 2 degrees C across the deposits. The concentration factor resulting from the hideout increased highly non-linearly with the heat flux (varying as high as the fourth power of the heat flux). The decrease in the apparent concentration factor with increasing deposit thickness suggested that the pores in the deposit were occupied by a mixture of steam and water, which is consistent with the conclusion from the thermal conductivity measurements on deposits in a separate study. Analyses of the deposits after the hideout tests showed no evidence of any hidden-out solute species, probably due to the concentrations being very near the detection limits and to their escape from the deposit as the tests were being ended. This study showed that hideout in deposits may concentrate solutes in the steam generator bulk water by a factor as high as 2 x 10 3 . Corrosion was evident under the deposit in some tests, with some chromium enrichment on the surface of the tube. Chromium enrichment usually indicates an acidic environment, but the mobility required of chromium to become incorporated into the thick magnetite deposit may indicate corrosion under an alkaline environment. An alkaline environment could result from preferential accumulation of sodium in the solution in the deposit during the hideout process. (author)

  7. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  8. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  9. Nano sized bismuth oxy chloride by metal organic chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Jagdale, Pravin, E-mail: pravin.jagdale@polito.it [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy); Castellino, Micaela [Center for Space Human Robotics, Istituto Italiano di Tecnologia, Corso Trento 21, 10129 Torino (Italy); Marrec, Françoise [Laboratory of Condensed Matter Physics, University of Picardie Jules Verne (UPJV), Amiens 80039 (France); Rodil, Sandra E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexicom (UNAM), Mexico D.F. 04510 (Mexico); Tagliaferro, Alberto [Department of Applied Science and Technology (DISAT), Politecnico di Torino, 10129 (Italy)

    2014-06-01

    Metal organic chemical vapour deposition (MOCVD) method was used to prepare thin films of bismuth based nano particles starting from bismuth salts. Nano sized bismuth oxy chloride (BiOCl) crystals were synthesized from solution containing bismuth chloride (BiCl{sub 3}) in acetone (CH{sub 3}-CO-CH{sub 3}). Self-assembly of nano sized BiOCl crystals were observed on the surface of silicon, fused silica, copper, carbon nanotubes and aluminium substrates. Various synthesis parameters and their significant impact onto the formation of self-assembled nano-crystalline BiOCl were investigated. BiOCl nano particles were characterized by X-ray diffraction, X-ray photoelectron spectroscopy, field emission scanning electron microscopy, energy-dispersive X-ray spectroscopy and Micro-Raman spectroscopy. These analyses confirm that bismuth nanometer-sized crystal structures showing a single tetragonal phase were indeed bismuth oxy chloride (BiOCl) square platelets 18–250 nm thick and a few micrometres wide.

  10. Photoinitiated chemical vapor deposition of cytocompatible poly(2-hydroxyethyl methacrylate) films.

    Science.gov (United States)

    McMahon, Brian J; Pfluger, Courtney A; Sun, Bing; Ziemer, Katherine S; Burkey, Daniel D; Carrier, Rebecca L

    2014-07-01

    Poly(2-hydroxyethyl methacrylate) (pHEMA) is a widely utilized biomaterial due to lack of toxicity and suitable mechanical properties; conformal thin pHEMA films produced via chemical vapor deposition (CVD) would thus have broad biomedical applications. Thin films of pHEMA were deposited using photoinitiated CVD (piCVD). Incorporation of ethylene glycol diacrylate (EGDA) into the pHEMA polymer film as a crosslinker, confirmed via Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy, resulted in varied swelling and degradation behavior. 2-Hydroxyethyl methacrylate-only films showed significant thickness loss (up to 40%), possibly due to extraction of low-molecular-weight species or erosion, after 24 h in aqueous solution, whereas films crosslinked with EGDA (9.25-12.4%) were stable for up to 21 days. These results differ significantly from those obtained with plasma-polymerized pHEMA, which degraded steadily over a 21-day period, even with crosslinking. This suggests that the piCVD films differ structurally from those fabricated via plasma polymerization (plasma-enhanced CVD). piCVD pHEMA coatings proved to be good cell culture materials, with Caco-2 cell attachment and viability comparable to results obtained on tissue-culture polystyrene. Thus, thin film CVD pHEMA offers the advantage of enabling conformal coating of a cell culture substrate with tunable properties depending on method of preparation and incorporation of crosslinking agents. © 2013 Wiley Periodicals, Inc.

  11. Chemical denitration of aqueous nitrate solutions

    International Nuclear Information System (INIS)

    Burrill, K.A.

    1987-11-01

    The Plant for Active Waste Liquids (PAWL) at CRNL will immobilize in glass the fission products in waste from Mo-99 production. The nitrate ions in the waste can be destroyed by heating, but also by chemical reaction with formic acid (HCOOH). Since chemical denitration has several advantages over thermal denitration it was studied in the course of vitrification process development. Two free radical mechanisms are examined here to explain kinetic data on chemical denitration of nitric acid solutions with formic acid. One mechanism is applicable at > 1 mol/L HNO 3 and involves the formate radical (HCOO . ). The second mechanism holds at 3 and involves the hyponitrous radical (HNO . ). Mass balances for various species were written based on the law of mass action applied to the equations describing the reaction mechanism. Analytical and numerical solutions were obtained and compared. Literature data on batch denitration were used to determine some of the rate constants while others were set arbitrarily. Observed stoichiometry and trends in reactant concentrations are predicted accurately for batch data. There are no literature data to compare with the prediction of negligible induction time

  12. Morphological and Structural Analysis of Nano-hydroxyapatite (n-hap) Coatings Electrodeposited on Titanium Substrate : Effect of Deposition Solution Concentration

    International Nuclear Information System (INIS)

    Nik Norziehana Che Isa; Norjanah Yury; Yusairie Mohd

    2011-01-01

    Various concentration of deposition solutions containing CaCl 2 and NH 4 H 2 PO 4 (with Ca/P ratio equal to 1.67) were used to study the effect of deposition solution concentration on the surface morphology and structure of Hydroxyapatite (HAp) coatings. Each HAp coating was deposited onto Ti substrate by applying a constant potential of 1.5 V (vs Ag/ AgCl) at 80 degree Celsius. The formation of HAp coatings was confirmed by FTIR and XRD analyses. Various morphologies consisting of HAp nanoparticles were produced from different deposition solutions as observed by SEM. The concentration of deposition solution has significantly affected the morphology of n-HAp coatings. (author)

  13. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  14. Chemical bath deposited rutile TiO{sub 2} compact layer toward efficient planar heterojunction perovskite solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Chao, E-mail: lc401997413@qq.com [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Wu, Zhenhua, E-mail: 80116243@qq.com [Henan Information Engineering School, Zhengzhou 450000 (China); Li, Pengwei, E-mail: pengweili001@126.com [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Fan, Jiajie, E-mail: fanjiajie@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Zhang, Yiqiang, E-mail: yqzhang@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China); Shao, Guosheng, E-mail: gsshao@zzu.edu.cn [State Centre for International Cooperation on Designer Low-Carbon and Environmental Material (SCICDLCEM), School of Materials Science and Engineering, Zhengzhou University, Zhengzhou 450001 (China)

    2017-01-01

    Highlights: • Rutile TiO{sub 2} thin film can be grown on FTO substrate below 100 °C. • 200 mM TiCl{sub 4} precursor solution results in the best PSC performance. • UV/O{sub 3} treatment can reduce the carrier recombination effectively. • Over 12% power conversion efficiency can be achieved for PSCs. - Abstract: TiO{sub 2} is a best choice of electron transport layers in perovskite solar cells, due to its high electron mobility and stability. However, traditional TiO{sub 2} processing method requires rather high annealing temperature (>500 °C), preventing it from application to flexible devices. Here, we show that TiO{sub 2} thin films can be synthesized via chemical bath deposition below 100 °C. Typically, a compact layer of rutile TiO{sub 2} is deposited onto fluorine-doped tin oxide (FTO) coated substrates, in an aqueous TiCl{sub 4} solution at 70 °C. Through the optimization of precursor concentration and ultraviolet-ozone surface modification, over 12% power conversion efficiency can be achieved for CH{sub 3}NH{sub 3}PbI{sub 3} based perovskite solar cells. These findings offer a potential low-temperature technical solution in using TiO{sub 2} thin film as an effective transport layer for flexible perovskite solar cells.

  15. Method for Non-Invasive Determination of Chemical Properties of Aqueous Solutions

    Science.gov (United States)

    Todd, Paul W. (Inventor); Jones, Alan (Inventor); Thomas, Nathan A. (Inventor)

    2016-01-01

    A method for non-invasively determining a chemical property of an aqueous solution is provided. The method provides the steps of providing a colored solute having a light absorbance spectrum and transmitting light through the colored solute at two different wavelengths. The method further provides the steps of measuring light absorbance of the colored solute at the two different transmitted light wavelengths, and comparing the light absorbance of the colored solute at the two different wavelengths to determine a chemical property of an aqueous solution.

  16. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  17. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  18. Metallization on FDM Parts Using the Chemical Deposition Technique

    Directory of Open Access Journals (Sweden)

    Azhar Equbal

    2014-08-01

    Full Text Available Metallization of ABS (acrylonitrile-butadiene-styrene parts has been studied on flat part surfaces. These parts are fabricated on an FDM (fused deposition modeling machine using the layer-wise deposition principle using ABS as a part material. Electroless copper deposition on ABS parts was performed using two different surface preparation processes, namely ABS parts prepared using chromic acid for etching and ABS parts prepared using a solution mixture of sulphuric acid and hydrogen peroxide (H2SO4/H2O2 for etching. After surface preparations using these routes, copper (Cu is deposited electrolessly using four different acidic baths. The acidic baths used are 5 wt% CuSO4 (copper sulfate with 15 wt% of individual acids, namely HF (hydrofluoric acid, H2SO4 (sulphuric acid, H3PO4 (phosphoric acid and CH3COOH (acetic acid. Cu deposition under different acidic baths used for both the routes is presented and compared based on their electrical performance, scanning electron microscopy (SEM and energy dispersive X-ray spectrometry (EDS. The result shows that chromic acid etched samples show better electrical performance and Cu deposition in comparison to samples etched via H2SO4/H2O2.

  19. Molecular dynamics simulations of solutions at constant chemical potential

    Science.gov (United States)

    Perego, C.; Salvalaglio, M.; Parrinello, M.

    2015-04-01

    Molecular dynamics studies of chemical processes in solution are of great value in a wide spectrum of applications, which range from nano-technology to pharmaceutical chemistry. However, these calculations are affected by severe finite-size effects, such as the solution being depleted as the chemical process proceeds, which influence the outcome of the simulations. To overcome these limitations, one must allow the system to exchange molecules with a macroscopic reservoir, thus sampling a grand-canonical ensemble. Despite the fact that different remedies have been proposed, this still represents a key challenge in molecular simulations. In the present work, we propose the Constant Chemical Potential Molecular Dynamics (CμMD) method, which introduces an external force that controls the environment of the chemical process of interest. This external force, drawing molecules from a finite reservoir, maintains the chemical potential constant in the region where the process takes place. We have applied the CμMD method to the paradigmatic case of urea crystallization in aqueous solution. As a result, we have been able to study crystal growth dynamics under constant supersaturation conditions and to extract growth rates and free-energy barriers.

  20. Solution precursor plasma deposition of nanostructured CdS thin films

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2012-01-01

    Highlights: ► Inexpensive process with capability to produce large scale nanostructured coatings. ► Technique can be employed to spray the coatings on any kind of substrates including polymers. ► The CdS coatings developed have good electrical conductivity and optical properties. ► Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal α-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be ∼2.5 eV. The electrical resistivity of the films (0.243 ± 0.188 × 10 5 Ω cm) was comparable with the literature values. These nanostructured polycrystalline CdS films could be useful in sensing and solar applications.

  1. Solution precursor plasma deposition of nanostructured CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Inexpensive process with capability to produce large scale nanostructured coatings. Black-Right-Pointing-Pointer Technique can be employed to spray the coatings on any kind of substrates including polymers. Black-Right-Pointing-Pointer The CdS coatings developed have good electrical conductivity and optical properties. Black-Right-Pointing-Pointer Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal {alpha}-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be {approx}2.5 eV. The electrical resistivity of the films (0.243 {+-} 0.188 Multiplication-Sign 10{sup 5} {Omega} cm) was comparable with the literature

  2. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  3. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  4. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  5. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  6. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  7. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  8. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  9. Preparation of nanocrystalline Ni doped ZnS thin films by ammonia-free chemical bath deposition method and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Sahraei, Reza, E-mail: r.sahraei@ilam.ac.ir; Darafarin, Soraya

    2014-05-01

    Nanocrystalline Ni doped ZnS thin films were deposited on quartz, silicon, and glass substrates using chemical bath deposition method in a weak acidic solution containing ethylenediamine tetra acetic acid disodium salt (Na{sub 2}EDTA) as a complexing agent for zinc ions and thioacetamide (TAA) as a sulfide source at 80 °C. The films were characterized by energy-dispersive X-ray spectrometer (EDX), inductively coupled plasma atomic emission spectroscopy (ICP-AES), Fourier transform-infrared (FT-IR) spectroscopy, X-ray diffraction (XRD), scanning electron microscopy (SEM), ultraviolet–visible spectrophotometry, and photoluminescence (PL) spectroscopy. UV–vis transmission data showed that the films were transparent in the visible region. The X-ray diffraction analysis showed a cubic zinc blend structure. FE-SEM revealed a homogeneous morphology and dense nanostructures. The PL spectra of the ZnS:Ni films showed two characteristic bands, one broad band centered at 430 and another narrow band at 523 nm. Furthermore, concentration quenching effect on the photoluminescence intensity has been observed. - Highlights: • Nanocrystalline ZnS:Ni thin films were prepared by the chemical bath deposition method. • The size of ZnS:Ni nanocrystals was less than 10 nm showing quantum size effect. • SEM images demonstrated a dense and uniform surface that was free of pinholes. • The deposited films were highly transparent (>70%) in the visible region. • The PL spectra of ZnS:Ni thin films showed two emission peaks at 430 and 523 nm.

  10. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  11. Computational modeling of chemical reactions and interstitial growth and remodeling involving charged solutes and solid-bound molecules.

    Science.gov (United States)

    Ateshian, Gerard A; Nims, Robert J; Maas, Steve; Weiss, Jeffrey A

    2014-10-01

    Mechanobiological processes are rooted in mechanics and chemistry, and such processes may be modeled in a framework that couples their governing equations starting from fundamental principles. In many biological applications, the reactants and products of chemical reactions may be electrically charged, and these charge effects may produce driving forces and constraints that significantly influence outcomes. In this study, a novel formulation and computational implementation are presented for modeling chemical reactions in biological tissues that involve charged solutes and solid-bound molecules within a deformable porous hydrated solid matrix, coupling mechanics with chemistry while accounting for electric charges. The deposition or removal of solid-bound molecules contributes to the growth and remodeling of the solid matrix; in particular, volumetric growth may be driven by Donnan osmotic swelling, resulting from charged molecular species fixed to the solid matrix. This formulation incorporates the state of strain as a state variable in the production rate of chemical reactions, explicitly tying chemistry with mechanics for the purpose of modeling mechanobiology. To achieve these objectives, this treatment identifies the specific theoretical and computational challenges faced in modeling complex systems of interacting neutral and charged constituents while accommodating any number of simultaneous reactions where reactants and products may be modeled explicitly or implicitly. Several finite element verification problems are shown to agree with closed-form analytical solutions. An illustrative tissue engineering analysis demonstrates tissue growth and swelling resulting from the deposition of chondroitin sulfate, a charged solid-bound molecular species. This implementation is released in the open-source program FEBio ( www.febio.org ). The availability of this framework may be particularly beneficial to optimizing tissue engineering culture systems by examining the

  12. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  13. Influence of deposition parameters on morphological properties of biomedical calcium phosphate coatings prepared using electrostatic spray deposition

    International Nuclear Information System (INIS)

    Leeuwenburgh, S.C.G.; Wolke, J.G.C.; Schoonman, J.; Jansen, J.A.

    2005-01-01

    In order to deposit biomedical calcium phosphate (CaP) coatings with a defined surface morphology, the electrostatic spray deposition (ESD) technique was used since this technique offers the possibility to deposit ceramic coatings with a variety of surface morphologies. A scanning electron microscopical study was performed in order to investigate the influence of several deposition parameters on the final morphology of the deposited coatings. The chemical characteristics of the coatings were studied by means of X-ray diffraction and Fourier-transform infrared spectroscopy. Regarding the chemical coating properties, the results showed that the coatings can be described as crystalline carbonate apatite coatings, a crystal phase which is similar to the mineral phase of bone and teeth. The morphology of CaP coatings, deposited using the ESD technique, was strongly dependent on the deposition parameters. By changing the nozzle-to-substrate distance, the precursor liquid flow rate and the deposition temperature, coating morphologies were deposited, which varied from dense to highly porous, reticular morphologies. The formation of various morphologies was the result of an equilibrium between the relative rates of CaP solute precipitation/reaction, solvent evaporation and droplet spreading onto the substrate surface

  14. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  15. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  16. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  17. Influence of processing conditions on the optical properties of chemically deposited zinc sulphide (ZnS) thin film

    Science.gov (United States)

    Igweoko, A. E.; Augustine, C.; Idenyi, N. E.; Okorie, B. A.; Anyaegbunam, F. N. C.

    2018-03-01

    In this paper, we present the influence of post deposition annealing and varying concentration on the optical properties of ZnS thin films fabricated by chemical bath deposition (CBD) at 65 °C from chemical baths comprising NH3/SC(NH2)2/ZnSO4 solutions at pH of about 10. The film samples were annealed at temperatures ranging from 373 K–473 K and the concentration of the film samples vary from 0.1 M–0.7 M. Post deposition annealing and concentration played an important role on the optical parameters investigated which includes absorbance, transmittance, reflectance, absorption coefficient, band gap, refractive index and extinction coefficient. The optical parameters were found to vary with post deposition annealing in one direction and concentration of Zn2+ in the reverse direction. For instance, post deposition annealing increases the band gap from 3.65 eV for as-deposited to 3.70 eV, 3.75 eV and 3.85 eV for annealed at 373 K, 423 K and 473 K respectively whereas concentration of Zn2+ decreases the band gap from 3.95 eV at 0.1 M to 3.90 eV, 3.85 eV and 3.80 eV at 0.3 M, 0.5 M and 0.7 M respectively. The fundamental absorption edge of ZnS thin films shifted toward the highest photon energies (blue shift) after annealing and shifted toward the lowest photon energies (red shift) with increasing Zn ions concentration. A linear relation between band gap energy and Urbach energy was found. After annealing, the Urbach energy increases form 3.10 eV to 3.50 eV and decreases from 3.40 eV to 3.10 eV at varying Zn2+ concentration. The property of wide band gap makes ZnS suitable for buffer layer of film solar cells, permitting more light especially the short wavelength light into absorber layer.

  18. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  19. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  20. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  1. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  2. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  3. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  4. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  5. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  6. Enhancement of the electrical properties of (Eu,Zn) co-doped BiFeO3 thin films prepared by using chemical solution deposition

    Science.gov (United States)

    Kim, Youn-Jang; Kim, Jin Won; Kim, Hae Jin; Kim, Sang Su

    2013-04-01

    We prepared pure BiFeO3 (BFO) and (Bi0.9Eu0.1)(Fe0.975Zn0.025)O3-δ (BEFZO) thin films on Pt(111)/Ti/SiO2/Si(100) substrates by using a chemical solution deposition method. Improved electrical properties were observed in the co-doped BEFZO thin film. The leakage current density of the BEFZO thin film was three orders of magnitude lower than that of the pure BFO, 3.93 × 10-6 A/cm2 at 100 kV/cm. The remnant polarization (2 P r ) and the coercive electric field (2 E c ) of the BEFZO thin film were 42 µC/cm2 and 898 kV/cm at an applied electric field of 1000 kV/cm and at a frequency of 1 kHz and the values decreased with increasing measurement frequency to 18 µC/cm2 and 866 kV/cm at 10 kHz, respectively. Also, the fatigue endurances were evaluated at peak voltages of 8-10 V after 1.44 × 1010 cycles in the BEFZO thin films and were 70 ˜ 90% of the initial values. We also confirmed that the 2 P r was fairly saturated at measurement frequency about 30 kHz for the BEFZO thin film.

  7. Growth and characterization of ZnO films deposited by chemical bath and annealed by microwaves (CBD-A{mu}W)

    Energy Technology Data Exchange (ETDEWEB)

    DIaz-Reyes, J [CIBA-IPN, Ex-Hacienda de San Juan Molino, Km. 1.5, Tepetitla, Tlaxcala, 90700 (Mexico); Martinez-Juarez, J; Garcia, M L; Galeazzi, R [CIDS-ICUAP, BUAP, 14 Sur y San Claudio S/N, CU. Edif. No. 137, Col. San Manuel, Puebla, Puebla 72570 (Mexico); Juarez, G, E-mail: jdiazr2001@yahoo.com [DIE-SEES, CINVESTAV-IPN, A. P. 14-740, Mexico, D. F. 07000 (Mexico)

    2010-06-15

    A study of the growth and the physical properties of ZnO films deposited by chemical bath technique and annealed by microwave are presented. For the deposition solution the molar ratio between zinc nitrate and urea is varied in a range of 1:1... 1:10. By X-ray obtains that layers have hexagonal polycrystalline wurtzite type unitary cell. The Raman spectra show the first order experimental Raman spectra of ZnO. The first order Raman modes are identified in the ZnO Raman spectra. The 300K photoluminescence shows radiative bands labelled by red, yellow, green and violet bands, which are associated to defects of oxygen and zinc vacancies. By EDS measurements determined their stoichiometry, which allows relating it with the intensity of radiative bands associated to oxygen and zinc vacancies.

  8. The chemical evolution of a travertine-depositing stream: Geochemical processes and mass transfer reactions

    Science.gov (United States)

    Lorah, Michelle M.; Herman, Janet S.

    1988-01-01

    This field study focuses on quantitatively defining the chemical changes occurring in Falling Spring Creek, a travertine-depositing stream located in Alleghany County, Virginia. The processes of CO2outgassing and calcite precipitation or dissolution control the chemical evolution of the stream. The observed chemical composition of the water was used with the computerized geochemical model WATEQF to calculate aqueous speciation, saturation indices, and CO2 partial pressure values. Mass balance calculations were performed to obtain mass transfers of CO2 and calcite. Reaction times, estimated from stream discharge, were used with the mass transfer results to calculate rates of CO2, outgassing and calcite precipitation between consecutive sampling points. The stream, which is fed by a carbonate spring, is supersaturated with respect to CO2 along the entire 5.2-km flow path. Outgassing of CO2 drives the solution to high degrees of supersaturation with respect to calcite. Metabolic uptake of CO2 by photosynthetic plants is insignificant, because the high supply rate of dissolved carbon dioxide and the extreme agitation of the stream at waterfalls and rapids causes a much greater amount of inorganic CO2 outgassing to occur. Calcite precipitation is kinetically inhibited until near the crest of a 20-m vertical waterfall. Calcite precipitation rates then reach a maximum at the waterfall where greater water turbulence allows the most rapid escape of CO2. Physical evidence for calcite precipitation exists in the travertine deposits which are first observed immediately above the waterfall and extend for at least 1.0 km below the falls. Net calcite precipitation occurs at all times of the year but is greatest during low-flow conditions in the summer and early fall.

  9. Radiation-chemical degradation of chloroform in water solutions

    International Nuclear Information System (INIS)

    Ahmadov, S.A; Gurbanov, M.A; Iskenderova, Z.I; Abdullaev, E.T; Ibadov, N.A.

    2006-01-01

    Full text: Chloroform is the major chlorine-containing compound forming at chlorination of drinking water. As our basic water resources of Kur and Araz rivers are mostly polluted along the territory of the neighbor republics their chlorination for the purpose of biological purification can result in forming of chloroform. Unfortunately, there are only poor data about containing of chloroform in drinking water in the Republic, however the particular problem is to develop new methods of drinking water purification from chloroform, taking into account the high toxicity of this compounds. Appropriate works indicate that radiation-chemical processing can mostly reduce the concentration of chloroform in drinking water. The purification degree can achieve 95-98%. This work studies the tendency of chloroform decomposition at its radiolysis processes in percentage. Taking into account the dissolvability of chloroform in water solutions it can be said that examined water solutions are homogeneous. Following advancements are studied: b Determination of radiation-chemical yield of chloroform decomposition at its various initial concentrations;Impact of adsorbed dose on pH of solutions;Formation of by-products.It is set that radiation-chemical output of chloroform decomposition is equal to 3.10-3-125 mol 100ev.

  10. ZnS nanoflakes deposition by modified chemical method

    International Nuclear Information System (INIS)

    Desai, Mangesh A.; Sartale, S. D.

    2014-01-01

    We report deposition of zinc sulfide nanoflakes on glass substrates by modified chemical method. The modified chemical method involves adsorption of zinc–thiourea complex on the substrate and its dissociation in presence of hydroxide ions to release sulfur ions from thiourea which react with zinc ions present in the complex to form zinc sulfide nanoflakes at room temperature. Influence of zinc salt and thiourea concentrations ratios on the morphology of the films was investigated by scanning electron microscope (SEM). The ratio of zinc and thiourea in the zinc–thiourea complex significantly affect the size of the zinc sulfide nanoflakes, especially width and density of the nanoflakes. The X-ray diffraction analysis exhibits polycrystalline nature of the zinc sulfide nanoflakes with hexagonal phase

  11. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  12. Solution precursor plasma deposition of nanostructured ZnO coatings

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2011-01-01

    Highlights: → The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. → It is highly capable of developing tailorable nanostructures. → This technique can be employed to spray the coatings on any kind of substrates including polymers. → The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance (∼65-80%) and reflectivity (∼65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 mΩ cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  13. Solution precursor plasma deposition of nanostructured ZnO coatings

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan - Dearborn, MI 48128 (United States)

    2011-08-15

    Highlights: {yields} The solution precursor route employed is an inexpensive process with capability to produce large scale coatings at fast rates on mass scale production. {yields} It is highly capable of developing tailorable nanostructures. {yields} This technique can be employed to spray the coatings on any kind of substrates including polymers. {yields} The ZnO coatings developed via solution precursor plasma spray process have good electrical conductivity and reflectivity properties in spite of possessing large amount of particulate boundaries, porosity and nanostructured grains. -- Abstract: Zinc oxide (ZnO) is a wide band gap semiconducting material that has various applications including optical, electronic, biomedical and corrosion protection. It is usually synthesized via processing routes, such as vapor deposition techniques, sol-gel, spray pyrolysis and thermal spray of pre-synthesized ZnO powders. Cheaper and faster synthesis techniques are of technological importance due to increased demand in alternative energy applications. Here, we report synthesis of nanostructured ZnO coatings directly from a solution precursor in a single step using plasma spray technique. Nanostructured ZnO coatings were deposited from the solution precursor prepared using zinc acetate and water/isopropanol. An axial liquid atomizer was employed in a DC plasma spray torch to create fine droplets of precursor for faster thermal treatment in the plasma plume to form ZnO. Microstructures of coatings revealed ultrafine particulate agglomerates. X-ray diffraction confirmed polycrystalline nature and hexagonal Wurtzite crystal structure of the coatings. Transmission electron microscopy studies showed fine grains in the range of 10-40 nm. Observed optical transmittance ({approx}65-80%) and reflectivity ({approx}65-70%) in the visible spectrum, and electrical resistivity (48.5-50.1 m{Omega} cm) of ZnO coatings are attributed to ultrafine particulate morphology of the coatings.

  14. In-situ boron doping of chemical-bath deposited CdS thin films

    International Nuclear Information System (INIS)

    Khallaf, Hani; Park, S.; Schulte, Alfons; Chai, Guangyu; Lupan, Oleg; Chow, Lee; Heinrich, Helge

    2009-01-01

    In-situ boron doping of CdS using chemical-bath deposition (CBD) is reported. The effect of B doping on optical properties, as well as electrical properties, crystal structure, chemistry, and morphology of CdS films is studied. We present a successful approach towards B doping of CdS using CBD, where a resistivity as low as 1.7 x 10 -2 Ωcm and a carrier density as high as 1.91 x 10 19 cm -3 were achieved. The bandgap of B-doped films was found to slightly decrease as the[B]/[Cd] ratio in the solution increases. X-ray diffraction studies showed B 3+ ions likely enter the lattice substitutionally. A phase transition, due to annealing, as well as induced lattice defects, due to B doping, were detected by micro-Raman spectroscopy and transmission electron microscopy. The chemistry and morphology of films were unaffected by B doping. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  16. Incorporation of Mn2+ into CdSe quantum dots by chemical bath co-deposition method for photovoltaic enhancement of quantum dot-sensitized solar cells.

    Science.gov (United States)

    Zhang, Chenguang; Liu, Shaowen; Liu, Xingwei; Deng, Fei; Xiong, Yan; Tsai, Fang-Chang

    2018-03-01

    A photoelectric conversion efficiency (PCE) of 4.9% was obtained under 100 mW cm -2 illumination by quantum-dot-sensitized solar cells (QDSSCs) using a CdS/Mn : CdSe sensitizer. CdS quantum dots (QDs) were deposited on a TiO 2 mesoporous oxide film by successive ionic layer absorption and reaction. Mn 2+ doping into CdSe QDs is an innovative and simple method-chemical bath co-deposition, that is, mixing the Mn ion source with CdSe precursor solution for Mn : CdSe QD deposition. Compared with the CdS/CdSe sensitizer without Mn 2+ incorporation, the PCE was increased from 3.4% to 4.9%. The effects of Mn 2+ doping on the chemical, physical and photovoltaic properties of the QDSSCs were investigated by energy dispersive spectrometry, absorption spectroscopy, photocurrent density-voltage characteristics and electrochemical impedance spectroscopy. Mn-doped CdSe QDs in QDSSCs can obtain superior light absorption, faster electron transport and slower charge recombination than CdSe QDs.

  17. Rapid processing method for solution deposited YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Dawley, J.T.; Clem, P.G.; Boyle, T.J.; Ottley, L.M.; Overmyer, D.L.; Siegal, M.P

    2004-02-01

    YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO) films, deposited on buffered metal substrates, are the primary candidate for second-generation superconducting (SC) wires, with applications including expanded power grid transmission capability, compact motors, and enhanced sensitivity magnetic resonance imaging. Feasibility of manufacturing such superconducting wires is dependent on high processing speed, often a limitation of vapor and solution-based YBCO deposition processes. In this work, YBCO films were fabricated via a new diethanolamine-modified trifluoroacetic film solution deposition method. Modifying the copper chemistry of the YBCO precursor solution with diethanolamine enables a hundredfold decrease in the organic pyrolysis time required for MA/cm{sup 2} current density (J{sub c}) YBCO films, from multiple hours to {approx}20 s in atmospheric pressure air. High quality, {approx}0.2 {mu}m thick YBCO films with J{sub c} (77 K) values {>=}2 MA/cm{sup 2} at 77 K are routinely crystallized from these rapidly pyrolyzed films deposited on LaAlO{sub 3}. This process has also enabled J{sub c} (77 K)=1.1 MA/cm{sup 2} YBCO films via 90 m/h dip-coating on Oak Ridge National Laboratory RABiTS textured metal tape substrates. This new YBCO solution deposition method suggests a route toward inexpensive and commercializable {approx}$10/kA m solution deposited YBCO coated conductor wires.

  18. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  19. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  20. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  1. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  2. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  3. Fractal solutions of recirculation tubular chemical reactors

    International Nuclear Information System (INIS)

    Berezowski, Marek

    2003-01-01

    Three kinds of fractal solutions of model of recirculation non-adiabatic tubular chemical reactors are presented. The first kind concerns the structure of Feigenbaum's diagram on the limit of chaos. The second kind and the third one concern the effect of initial conditions on the dynamic solutions of models. In the course of computations two types of recirculation were considered, viz. the recirculation of mass (return of a part of products' stream) and recirculation of heat (heat exchange in the external heat exchanger)

  4. Chemical behaviour of plutonium in aqueous chloride solutions

    International Nuclear Information System (INIS)

    Bueppelmann, K.; Kim, J.I.

    1988-06-01

    The chemical behaviour of Plutonium has been investigated in concentrated NaCl solutions in the neutral pH range. The α-radiation induced radiolysis reactions oxidize the Cl - -ion to Cl 2 , HClO, ClO - and other species, which produce a strongly oxidizing medium. Under these conditions the Pu ions of lower oxidation states are readily oxidized to Pu(VI), which then undergo depending on the pH of the solution, various chemical reactions to produce PuO 2 Cl n , PuO 2 (ClO) m or PuO 2 (OH) x species. In addition to primary radiolysis reactions taking place in NaCl solutions, the reactions leading to the PuO 2 (Cl) n and PuO 2 (ClO) m species have been characterized and quantified systematically by spectroscopic and thermodynamic evaluation. The redox and complexation reactions of Pu ions under varying NaCl concentration, specific α-activity and pH are discussed. (orig.) [de

  5. Thermoelectric prospects of chemically deposited PbSe and SnSe thin films

    Science.gov (United States)

    Nair, P. K.; Martínez, Ana Karen; Rosa García Angelmo, Ana; Barrios Salgado, Enue; Nair, M. T. S.

    2018-03-01

    Thin films of PbSe of 400-600 nm in thickness, were obtained via chemical deposition from a solution containing lead nitrate, thiourea and selenosufate. SnSe thin films of 90-180 nm in thickness, were also obtained by chemical deposition from a solution containing selenosulfate. Optical and electrical properties of these thin films were significantly altered by heating them in selenium vapor at 300 °C. Thin film PbSe has a bandgap (Eg) of 1.17 eV (direct gap, forbidden transitions), which decreases to 0.77 eV when it has been heated. Its electrical conductivity (σ) is p-type: 0.18 Ω-1 cm-1 (as-prepared), and 6.4 Ω-1 cm-1 when heated. Thin film SnSe is of orthorhombic crystalline structure which remains stable when heated at 300 °C, but its Eg increases from 1.12 eV (indirect) in as-prepared film to 1.5 eV (direct, forbidden transitions) upon heating. Its electrical conductivity is p-type, which increases from 0.3 Ω-1 cm-1 (as-prepared) to 1 Ω-1 cm-1 when heated (without Se-vapor). When SnSe film is heated at 300 °C in the presence of Se-vapor, they transform to SnSe2, with Eg of 1.5 eV (direct, forbidden) with n-type electrical conductivity, 11 Ω-1 cm-1. The Seebeck coefficient for the PbSe films is: +0.55 mV K-1 (as prepared) and +0.275 mV K-1 (heated); for SnSe films it is: +0.3 mV K-1 (as prepared) and +0.20 mV K-1 (heated); and for SnSe2 film, - 0.35 mV K-1. A five-element PbSe-SnSe2-PbSe-SnSe2-PbSe thermoelectric device demonstrated 50 mV for a temperature difference ΔT = 20 °C (2.5 mV K-1). For SnSe-SnSe2-SnSe-SnSe2-SnSe device, the value is 15 mV for ΔT = 20 °C (0.75 mV K-1). Prospect of these thin films in thermoelectric devices of hybrid materials, in which the coatings may be applied on distinct substrate and geometries is attractive.

  6. Investigation of CdS/InP heterojunction prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Rakovics, V.; Horvath, Zs.J.; Horvath, Zs.E.; Barsony, I.; Frigeri, C.; Besagni, T.

    2007-01-01

    CdS thin films have been deposited on InP and glass substrates using the chemical bath deposition technique. Baths containing CdSO 4 , thiourea, and NH 3 were used. The temperature of the deposition process was 65 C and the duration of deposition varied between 20 and 160 minutes. The properties of the CdS/InP heterojunction were investigated by TEM, EDS and X-ray diffraction. TEM pictures, EDS and X-ray rocking curves indicate the formation of a β-In 2 S 3 transition layer at the InP-CdS interface, which may reduce the lattice mismatch between InP and CdS. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Chemical Controls of Ozone Dry Deposition to the Sea Surface Microlayer

    Science.gov (United States)

    Carpenter, L.; Chance, R.; Tinel, L.; Saint, A.; Sherwen, T.; Loades, D.; Evans, M. J.; Boxhall, P.; Hamilton, J.; Stolle, C.; Wurl, O.; Ribas-Ribas, M.; Pereira, R.

    2017-12-01

    Oceanic dry deposition of atmospheric ozone (O3) is both the largest and most uncertain O3 depositional sink, and is widely acknowledged to be controlled largely by chemical reactions in the sea surface microlayer (SML) involving iodide (I-) and dissolved organic material (DOM). These reactions not only determine how quickly O3 can be removed from the atmosphere, but also result in emissions of trace gases including volatile organic compounds and may constitute a source of secondary organic aerosols to the marine atmosphere. Iodide concentrations at the sea surface vary by approximately an order of magnitude spatially, leading to more than fivefold variation in ozone deposition velocities (and volatile iodine fluxes). Sea-surface temperature is a reasonable predictor of [I-], however two recent parameterisations for surface I- differ by a factor of two at low latitudes. The nature and reactivity of marine DOM to O3 is almost completely unknown, although studies have suggested approximately equivalent chemical control of I- and DOM on ozone deposition. Here we present substantial new measurements of oceanic I- in both bulk seawater and the overlying SML, and show improved estimates of the global sea surface iodide distribution. We also present analyses of water-soluble DOM isolated from the SML and bulk seawater, and corresponding laboratory studies of ozone uptake to bulk and SML seawater, with the aim of characterizing the reactivity of O3 towards marine DOM.

  8. Evaluation of physical and chemical properties and their interactions in fat, oil, and grease (FOG) deposits.

    Science.gov (United States)

    Gross, Martin A; Jensen, Jeanette L; Gracz, Hanna S; Dancer, Jens; Keener, Kevin M

    2017-10-15

    Fat, oil and grease (FOG) blockages in sewer systems are a substantial problem in the United States. It has been estimated that over 50% of sewer overflows are a result of FOG blockages. In this work, a thorough laboratory study was undertaken to examine key variables that contribute to FOG deposit formation under controlled conditions. Physical and chemical properties and their interactions were evaluated and conditions that generated deposits that mimicked field FOG deposits were identified. It was found that 96 of the of 128 reaction conditions tested in the laboratory formed FOG deposits with similar physical and chemical characteristics as field FOG deposits. It was also found that FOG deposits can be created through fatty acid crystallization and not just saponification. Furthermore FOG deposits were found to be more complex than previously documented and contain free fatty acids, fatty acid metal salts, triacylglycerol's, diacylglycerol's and, monoacylglycerol's. Lastly it was found that FOG deposits that only contained saturated fatty acids were on average 2.1 times higher yield strength than deposits that contained unsaturated fatty acids. Copyright © 2017 Elsevier Ltd. All rights reserved.

  9. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  10. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  11. Delay chemical master equation: direct and closed-form solutions.

    Science.gov (United States)

    Leier, Andre; Marquez-Lago, Tatiana T

    2015-07-08

    The stochastic simulation algorithm (SSA) describes the time evolution of a discrete nonlinear Markov process. This stochastic process has a probability density function that is the solution of a differential equation, commonly known as the chemical master equation (CME) or forward-Kolmogorov equation. In the same way that the CME gives rise to the SSA, and trajectories of the latter are exact with respect to the former, trajectories obtained from a delay SSA are exact representations of the underlying delay CME (DCME). However, in contrast to the CME, no closed-form solutions have so far been derived for any kind of DCME. In this paper, we describe for the first time direct and closed solutions of the DCME for simple reaction schemes, such as a single-delayed unimolecular reaction as well as chemical reactions for transcription and translation with delayed mRNA maturation. We also discuss the conditions that have to be met such that such solutions can be derived.

  12. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  13. Structures and electrical properties of Mn- and Co-doped lead-free ferroelectric K0.5Na0.5NbO3 films prepared by a chemical solution deposition method

    International Nuclear Information System (INIS)

    Wang, Lingyan; Ren, Wei; Goh, Phoi Chin; Yao, Kui; Shi, Peng; Wu, Xiaoqing; Yao, Xi

    2013-01-01

    The un-doped, 2 mol% Mn- and 2 mol% Co-doped K 0.5 Na 0.5 NbO 3 (KNN) films were prepared by a chemical solution deposition method. The crystalline phase and surface morphologies of KNN films hardly changed after Mn- and Co-doping. X-ray photoelectron spectrocopy analysis indicates that the introduction of both Mn and Co promoted the formation of KNN perovskite structure, and the most Mn 2+ and Co 2+ ions were oxidized into high-valence ions during thermal process. As a result, the leakage current density of the KNN films was decreased and the electrical properties were enhanced after the doping. - Highlights: • The leakage current density of K 0.5 Na 0.5 NbO 3 (KNN) films decreased after doping • The introduction of dopant promoted the formation of KNN perovskite structure • A portion of introduced doping ions became high-valent after heat-treatment

  14. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  15. Synthesis of few-layer graphene on a Ni substrate by using DC plasma enhanced chemical vapor deposition (PE-CVD)

    International Nuclear Information System (INIS)

    Kim, Jeong Hyuk; Castro, Edward Joseph; Hwang, Yong Gyoo; Lee, Choong Hun

    2011-01-01

    In this work, few-layer graphene (FLG) was successfully grown on polycrystalline Ni a large scale by using DC plasma enhanced chemical vapor deposition (DC PE-CVD), which may serve as an alternative route in large-scale graphene synthesis. The synthesis time had an effect on the quality of the graphene produced. The applied DC voltage, on the other hand, influenced the minimization of the defect densities in the graphene grown. We also present a method of producing a free-standing polymethyl methacrylate (PMMA)/graphene membrane on a FeCl 3(aq) solution, which could then be transferred to the desired substrate.

  16. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  17. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  18. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann; Stenchikov, Georgiy L.; Prakash, P. Jish; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-01-01

    ) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period

  19. Ions in solution basic principles of chemical interactions

    CERN Document Server

    Burgess, J

    1999-01-01

    This outline of the principles and chemical interactions in inorganic solution chemistry delivers a course module in an area of considerable complexity. Problems with solutions and tutorial hints to test comprehension have been added as a feature to check readers' understanding and assist self-study. Exercises and projects are also provided to help readers deepen and extend their knowledge and understanding. Inorganic solution chemistry is treated thoroughly Emphasis is placed upon NMR, UV-VIS, IR Raman spectroscopy, X-ray diffraction, and such topics as acid-base behaviour, stability constants and kinetics.

  20. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  1. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  2. 21 CFR 864.1850 - Dye and chemical solution stains.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Dye and chemical solution stains. 864.1850 Section 864.1850 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES HEMATOLOGY AND PATHOLOGY DEVICES Biological Stains § 864.1850 Dye and chemical...

  3. Radiation Dose Measurement Using Chemical Dosimeters

    International Nuclear Information System (INIS)

    Lee, Min Sun; Kim, Eun Hee; Kim, Yu Ri; Han, Bum Soo

    2010-01-01

    The radiation dose can be estimated in various ways. Dose estimates can be obtained by either experiment or theoretical analysis. In experiments, radiation impact is assessed by measuring any change caused by energy deposition to the exposed matter, in terms of energy state (physical change), chemical production (chemical change) or biological abnormality (biological change). The chemical dosimetry is based on the implication that the energy deposited to the matter can be inferred from the consequential change in chemical production. The chemical dosimetry usually works on the sample that is an aqueous solution, a biological matter, or an organic substance. In this study, we estimated absorbed doses by quantitating chemical changes in matter caused by radiation exposure. Two different chemical dosimeters, Fricke and ECB (Ethanol-Chlorobenzene) dosimeter, were compared in several features including efficacy as dose indicator and effective dose range

  4. Optimization of operating parameters in polysilicon chemical vapor deposition reactor with response surface methodology

    Science.gov (United States)

    An, Li-sha; Liu, Chun-jiao; Liu, Ying-wen

    2018-05-01

    In the polysilicon chemical vapor deposition reactor, the operating parameters are complex to affect the polysilicon's output. Therefore, it is very important to address the coupling problem of multiple parameters and solve the optimization in a computationally efficient manner. Here, we adopted Response Surface Methodology (RSM) to analyze the complex coupling effects of different operating parameters on silicon deposition rate (R) and further achieve effective optimization of the silicon CVD system. Based on finite numerical experiments, an accurate RSM regression model is obtained and applied to predict the R with different operating parameters, including temperature (T), pressure (P), inlet velocity (V), and inlet mole fraction of H2 (M). The analysis of variance is conducted to describe the rationality of regression model and examine the statistical significance of each factor. Consequently, the optimum combination of operating parameters for the silicon CVD reactor is: T = 1400 K, P = 3.82 atm, V = 3.41 m/s, M = 0.91. The validation tests and optimum solution show that the results are in good agreement with those from CFD model and the deviations of the predicted values are less than 4.19%. This work provides a theoretical guidance to operate the polysilicon CVD process.

  5. Chemical bath deposited PbS thin films on ZnO nanowires for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Gertman, Ronen [Dept of Chemistry, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Osherov, Anna; Golan, Yuval [Dept of Materials Engineering, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Visoly-Fisher, Iris, E-mail: irisvf@bgu.ac.il [Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Department of Solar Energy and Environmental Physics, Swiss Institute for Dryland Environmental and Energy Research, Jacob Blaustein Institutes for Desert Research, Ben Gurion University of the Negev, Sede Boqer Campus 84990 (Israel)

    2014-01-01

    Photovoltaic devices usually exploit mid-range band-gap semiconductors which absorb in the visible range of the solar spectrum. However, much energy is lost in the IR and near-IR range. We combined the advantages of small band-gap, bulk-like PbS deposited by facile, cheap and direct chemical bath deposition (CBD), with the good electronic properties of ZnO and the large surface area of nanowires, towards low cost photovoltaic devices utilizing IR and near-IR light. Surprisingly, CBD of PbS on ZnO, and particularly on ZnO nanowires, was not studied hitherto. Therefore, the mechanism of PbS growth by chemical bath deposition on ZnO nanowires was studied in details. A visible proof is shown for a growth mechanism starting from amorphous Pb(OH){sub 2} layer, that evolved into the ‘ion-by-ion’ growth mechanism. The growth mechanism and the resulting morphology at low temperatures were controlled by the thiourea concentration. The grain size affected the magnitude of the band-gap and was controlled by the deposition temperatures. Deposition above 40 °C resulted in bulk-like PbS with an optical band-gap of 0.4 eV. Methods were demonstrated for achieving complete PbS coverage of the complex ZnO NW architecture, a crucial requirement in optoelectronic devices to prevent shorts. Measurements of photocurrents under white and near-IR (784 nm) illumination showed that despite a 200 meV barrier for electron transfer at the PbS/ZnO interface, extraction of photo-electrons from PbS to the ZnO was feasible. The ability to harvest electrons from a narrow band-gap semiconductor deposited on a large surface-area electrode can advance the field towards high efficiency, low cost IR and near-IR sensors and third generation solar cells. - Highlights: • PbS was deposited on ZnO nanowires using chemical bath deposition. • At 50 °C the growth mechanism starts from an amorphous Pb(OH){sub 2} layer. • At 5 °C the growth mechanism of PbS can be controlled by thiourea concentrations

  6. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  7. The effect of reduced atmospheric deposition on soil and soil solution chemistry at a site subjected to long-term acidification, Nacetín, Czech Republic.

    Science.gov (United States)

    Oulehle, Filip; Hofmeister, Jenýk; Cudlín, Pavel; Hruska, Jakub

    2006-11-01

    During the 1990s the emissions of SO(2) fell dramatically by about 90% in the Czech Republic; the measured throughfall deposition of sulphur to a spruce forest at Nacetín in the Ore Mts. decreased from almost 50 kg ha(-1) in 1994 to 15 kg ha(-1) in 2005. The throughfall flux of Ca decreased from 17 kg ha(-1) in 1994 to 9 kg ha(-1) in 2005; no change was observed for Mg. The deposition of nitrogen ranged between 15 and 30 kg ha(-1) with no statistically significant trend in the period 1994-2005. The desorption of previously stored sulphur and the decrease of Ca deposition are the main factors controlling the recovery of soil solution. The pH of the soil solution at a depth of 30 cm remains unchanged, and the Al concentration decreased from 320 micromol l(-1) in 1997 to 140 micromol l(-1) in 2005. The enhanced leaching of base cations relative to no acidified conditions has continued, although the Ca concentration decreased from 110 microeq l(-1) in 1997 to 25 microeq l(-1) in 2005 in the mineral soil solution at 30 cm depth. This dramatic change was not observed for Mg concentration in soil solution, because its deposition remained stable during the observed period. Similar patterns were observed in the deeper soil solution at 90 cm. The reduction in Ca availability resulted in lower uptake by tree assimilatory tissues, measured as concentration in needles. Since 2005, the leaching of nitrate observed in soil solution at 30 cm depth has disappeared. By 2003 a similar situation occurred at 90 cm. Higher incorporation into the trees after 1997 could be an important factor. With respect to the formerly high sulphur deposition and consequently released aluminium, which could have negatively influenced the biotic immobilization driven by microbes and fungi, the recovery may have positively impacted and therefore improved retention in the ecosystem during recent years. The delay in the successful retention of nitrogen in the ecosystem was probably caused by the high

  8. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  9. Chemical analysis of bleach and hydroxide-based solutions after decontamination of the chemical warfare agent O-ethyl S-2-diisopropylaminoethyl methylphosphonothiolate (VX).

    Science.gov (United States)

    Hopkins, F B; Gravett, M R; Self, A J; Wang, M; Chua, Hoe-Chee; Hoe-Chee, C; Lee, H S Nancy; Sim, N Lee Hoi; Jones, J T A; Timperley, C M; Riches, J R

    2014-08-01

    Detailed chemical analysis of solutions used to decontaminate chemical warfare agents can be used to support verification and forensic attribution. Decontamination solutions are amongst the most difficult matrices for chemical analysis because of their corrosive and potentially emulsion-based nature. Consequently, there are relatively few publications that report their detailed chemical analysis. This paper describes the application of modern analytical techniques to the analysis of decontamination solutions following decontamination of the chemical warfare agent O-ethyl S-2-diisopropylaminoethyl methylphosphonothiolate (VX). We confirm the formation of N,N-diisopropylformamide and N,N-diisopropylamine following decontamination of VX with hypochlorite-based solution, whereas they were not detected in extracts of hydroxide-based decontamination solutions by nuclear magnetic resonance (NMR) spectroscopy or gas chromatography-mass spectrometry. We report the electron ionisation and chemical ionisation mass spectroscopic details, retention indices, and NMR spectra of N,N-diisopropylformamide and N,N-diisopropylamine, as well as analytical methods suitable for their analysis and identification in solvent extracts and decontamination residues.

  10. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  11. Study of the fluidized bed chemical vapor deposition process on very dense powder for nuclear applications

    International Nuclear Information System (INIS)

    Vanni, Florence

    2015-01-01

    This thesis is part of the development of low-enriched nuclear fuel, for the Materials Test Reactors (MTRs), constituted of uranium-molybdenum particles mixed with an aluminum matrix. Under certain conditions under irradiations, the U(Mo) particles interact with the aluminum matrix, causing unacceptable swelling of the fuel plate. To inhibit this phenomenon, one solution consists in depositing on the surface of the U(Mo) particles, a thin silicon layer to create a barrier effect. This thesis has concerned the study of the fluidized bed chemical vapor deposition (CVD) process to deposit silicon from silane, on the U(Mo) powder, which has an exceptional density of 17,500 kg/m 3 . To achieve this goal, two axes were treated during the thesis: the study and the optimization of the fluidization of a so dense powder, and then those of the silicon deposition process. For the first axis, a series of tests was performed on a surrogate tungsten powder in different columns made of glass and made of steel with internal diameters ranging from 2 to 5 cm, at room temperature and at high temperature (650 C) close to that of the deposits. These experiments helped to identify wall effects phenomena within the fluidized bed, which can lead to heterogeneous deposits or particles agglomeration. Some dimensions of the fluidization columns and operating conditions allowing a satisfactory fluidization of the powder were identified, paving the way for the study of silicon deposition. Several campaigns of deposition experiments on the surrogate powder and then on the U(Mo) powder were carried out in the second axis of the study. The influence of the bed temperature, the inlet molar fraction of silane diluted in argon, and the total gas flow of fluidization, was examined for different diameters of reactor and for various masses of powder. Morphological and structural characterization analyses (SEM, XRD..) revealed a uniform silicon deposition on all the powder and around each particle

  12. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  13. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  14. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  15. Chemical solution deposition method of fabricating highly aligned MgO templates

    Science.gov (United States)

    Paranthaman, Mariappan Parans [Knoxville, TN; Sathyamurthy, Srivatsan [Knoxville, TN; Aytug, Tolga [Knoxville, TN; Arendt, Paul N [Los Alamos, NM; Stan, Liliana [Los Alamos, NM; Foltyn, Stephen R [Los Alamos, NM

    2012-01-03

    A superconducting article includes a substrate having an untextured metal surface; an untextured barrier layer of La.sub.2Zr.sub.2O.sub.7 or Gd.sub.2Zr.sub.2O.sub.7 supported by and in contact with the surface of the substrate; a biaxially textured buffer layer supported by the untextured barrier layer; and a biaxially textured superconducting layer supported by the biaxially textured buffer layer. Moreover, a method of forming a buffer layer on a metal substrate includes the steps of: providing a substrate having an untextured metal surface; coating the surface of the substrate with a barrier layer precursor; converting the precursor to an untextured barrier layer; and depositing a biaxially textured buffer layer above and supported by the untextured barrier layer.

  16. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  17. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  18. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  19. Planar structured perovskite solar cells by hybrid physical chemical vapor deposition with optimized perovskite film thickness

    Science.gov (United States)

    Wei, Xiangyang; Peng, Yanke; Jing, Gaoshan; Cui, Tianhong

    2018-05-01

    The thickness of perovskite absorber layer is a critical parameter to determine a planar structured perovskite solar cell’s performance. By modifying the spin coating speed and PbI2/N,N-dimethylformamide (DMF) solution concentration, the thickness of perovskite absorber layer was optimized to obtain high-performance solar cells. Using a PbI2/DMF solution of 1.3 mol/L, maximum power conversion efficiency (PCE) of a perovskite solar cell is 15.5% with a perovskite film of 413 nm at 5000 rpm, and PCE of 14.3% was also obtained for a solar cell with a perovskite film of 182 nm thick. It is derived that higher concentration of PbI2/DMF will result in better perovskite solar cells. Additionally, these perovskite solar cells are highly uniform. In 14 sets of solar cells, standard deviations of 11 sets of solar cells were less than 0.50% and the smallest standard deviation was 0.25%, which demonstrates the reliability and effectiveness of hybrid physical chemical vapor deposition (HPCVD) method.

  20. Deposit heterogeneity and the dynamics of the organic semiconductors P3HT and PCBM solution under evaporation

    Science.gov (United States)

    Yu, H. P.; Luo, H.; Liu, T. T.; Jing, G. Y.

    2015-04-01

    The formation of organic semiconductor layer is the key procedure in the manufacture of organic photovoltaic solar cell, in which the natural evaporation of the solvent from the polymer solution plays the essential role for the conversion efficiency. Here, poly(3-hexylthiophene) (P3HT) and fullerene derivative [6,6]-phenyl-C61-butyric acid methyl ester (PCBM), as two types of semiconductor polymers, were selected as the active layer to form the deposit by drying the blend solution drops on the substrate. We explored the influences of droplet size and solute concentration on the homogeneity of the deposit. Additionally, the spatial distribution of molecular chains and grains and the instability of the droplet morphology during the drying were investigated. The results showed that the "coffee-ring" phenomenon occurred forming an annular deposit at the outermost edge and the width of the annular ring increased linearly with the concentration of the P3HT solution, until a saturation plateau is approached. On the other hand, the PCBM deposition presented a circular disk at low concentration, but displayed a sudden instability for an irregular perimeter at a critical concentration and there existed a second critical concentration above which the deposit exhibited the return of the stable circular shape. The results have an instructive impact on the performance of the device and the formation of fine structures during the process of printing, film preparation and painting.

  1. Ultrasonic filtration of industrial chemical solutions

    Science.gov (United States)

    Cosma, T.

    1974-01-01

    The practical results obtained as a result of filtering industrial chemical solutions under continuous flow conditions with the aid of an ultrasonic filter are presented. The main part of the assembly consists of an ultrasonic generator with an output power of about 400 W and the filtration assembly, in which there is a magnetostrictive amplifier constructed for 20.5 kHz. In addition to ensuring a continuous flow of filtered solution, ultrasonic filters can be replaced or cleaned at intervals of time that are 8-10 times greater than in the case of mechanical filters. They yield considerably better results as far as the size of the filtered particles is concerned. The parameters on which filtration quality depends are also presented.

  2. A New Topology of Solutions of Chemical Equations

    International Nuclear Information System (INIS)

    Risteski, Ice B.

    2013-01-01

    In this work is induced a new topology of solutions of chemical equations by virtue of point-set topology in an abstract stoichiometrical space. Subgenerators of this topology are the coefficients of chemical reaction. Complex chemical reactions, as those of direct reduction of hematite with a carbon, often exhibit distinct properties which can be interpreted as higher level mathematical structures. Here we used a mathematical model that exploits the stoichiometric structure, which can be seen as a topology too, to derive an algebraic picture of chemical equations. This abstract expression suggests exploring the chemical meaning of topological concept. Topological models at different levels of realism can be used to generate a large number of reaction modifications, with a particular aim to determine their general properties. The more abstract the theory is, the stronger the cognitive power is

  3. Mechanism of potentiostatic deposition of MnO2 and electrochemical characteristics of the deposit in relation to carbohydrate oxidation

    International Nuclear Information System (INIS)

    Das, Debasmita; Sen, Pratik Kumar; Das, Kaushik

    2008-01-01

    Cyclic voltammetric (CV) and chronoamperometric (CA) studies on potentiostatic deposition of MnO 2 on Pt from Mn(II) solution in very weakly alkaline media show the process to be controlled by a one-electron transfer step, which means that the deposition proceeds through the generation of Mn(III). The electrocatalytic activity of the deposited electrode towards carbohydrate oxidation is found to be maximum at an optimum amount of deposition. Chronopotentiometric (CP) and CV measurements show that the oxidation of carbohydrates on the deposited electrodes follows a catalytic EC (electrochemical-chemical) mechanism via electrolytic formation of Mn(V) and its subsequent consumption either by disproportionation or by chemical reaction in the presence of carbohydrates. The rate constants of the reaction of Mn(V) with dextrose and fructose have been obtained from CA results. The relative order of the oxidation currents for dextrose and fructose as well as their dependence on carbohydrate concentration has been discussed. Replacement of Pt by carbon as the electrode support material does not affect the electrocatalytic activity of the MnO 2 deposit. The observed linear variation of the steady state oxidation currents with carbohydrate concentration can be exploited for analytical application

  4. Preparation of SmBiO{sub 3} buffer layer on YSZ substrate by an improved chemical solution deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaolei [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Pu, Minghua, E-mail: mhpu@home.swjtu.edu.cn [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, NSW 2052 (Australia)

    2016-12-15

    Highlights: • The proper conditions for SBO growth are 794 °C for 60 min in flowing Ar gas, the temperature of epitaxial growth is relatively low. • The total time by SSD technique for organic solvent removing, salts decomposition and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. • SBO layer on YSZ prepared by SSD technique are suitable for the growth of YBCO, The results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes. - Abstract: A quick route for chemical solution deposition (CSD) has been developed to prepare SmBiO{sub 3} (SBO) layers on yttria stabilized zirconia (YSZ) substrates rapidly by using of solid state decomposition (SSD) technique. The proper conditions for volatilization of lactic acid, which as solvent in precursor coated layer, and SBO growth are 115°C for 30 min and 794°C for 60 min in flowing Ar gas. The coated layers are amorphous structure of mixture oxides and quasi-crystal structure of SBO before and after growth, respectively. The total time by this quick CSD route for organic solvent volatilization, salts decomposed and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. SBO layer is directly epitaxial growth on YSZ substrate without any lattice rotation. SBO layer prepared by this quick route as well as that by traditional route are suitable for the growth of YBCO. The superconducting transition temperature and critical current density of the coated YBCO layer on SBO/YSZ obtained by this quick route are up to 90 K and 1.66 MA/cm{sup 2}. These results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes.

  5. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  6. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  7. Radiation-chemical degradation of chloroform in water solutions

    International Nuclear Information System (INIS)

    Ahmadov, S.A.; Gurbanov, M.A.; Iskenderova, Z.I.; Abdullayev, E.T.; Ibadov, N.A.

    2006-01-01

    Full text: Chloroform is the major chlorine-containing compound forming at chlorination of drinking water. As our basic water resources of Kur and Araz rivers are mostly polluted along the territory of the neighbour republics their chlorination for the purpose of biological purification can result in forming of chloroform. Unfortunately, there are only poor data about containing of chloroform in drinking water in the Republic, however the particular problem is to develop new methods of drinking water purification from chloroform, taking into account the high toxicity of this compounds. Appropriate works indicate that radiation-chemical processing can mostly reduce the concentration of chloroform in drinking water. The purification degree can achieve 95-98 percent. This work studies the tendency of chloroform decomposition at its radiolysis processes in water solutions. The concentration of chloroform changed in the range of 0,03-1 weight percentage. Taking into account the dissolvability of chloroform in water solutions it can be said that examined water solutions are homogeneous. Following advancements are studied: 1) Determination of radiation-chemical yield of chloroform decomposition at its various initial concentrations; 2) Impact of adsorbed dose on pH of solutions; 3) Formation of by-products. It is set that radiation-chemical output of chloroform decomposition is equal to 3 * 10 - 3 - 125 mol/100 ev. The high yield of chloroform decomposition can be connected with the chain process of oxidation with presence of dissolved oxygen. However, taking into account the fact that at its water radiolysis the yield of active particles of OH, e - aq, H-atoms does not exceed 6-7 particles/100 ev, the observed high yield can be explained only with the chain process with presence of dissolved oxygen

  8. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  9. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  10. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  11. Preparation of YBa2Cu3O7-x superconducting solutions and films from alkoxide-based precursors using sol-gel method and investigation of their chemical reaction mechanisms

    International Nuclear Information System (INIS)

    Mutlu, Ibrahim Halil; Acun, Hediye; Celik, Erdal; Turkmen, Hasan

    2007-01-01

    In the development of coated superconductors sol-gel technique has been widely used as an effective processing method, especially in making long-length wires and tapes. However, one drawback associated with the deposition of YBa 2 Cu 3 O 7-x and Gd 2 O 3 buffer layer on Ni tape is the adhesion characteristic at interfaces YBCO-Gd 2 O 3 and Gd 2 O 3 -NiO and NiO-Ni substrate. In this paper, two strategies for adhesion enhancement of multilayered ceramic oxide coatings on Ni substrate were proposed: (1) formation of chemical bonds through surface condensation reactions, and development of ceramic networks through diffusion of alkoxide precursors. The current research has focused on the fabrication and adhesion of YBCO coatings on buffered Ni substrate using nine solutions prepared from Y, Ba and Cu alkoxides, solvent and chelating agent. Only two of YBCO solutions were successfully obtained while the rest of them were unsuccessful. Among these solutions we scrutinized chemical reaction mechanisms of a successful and an unsuccessful solution for comparison. How the chemical bonds and solubility were affected by the acids, base and solvents used in the solutions was demonstrated. It was shown that pH of the solution, homogeneity of the solution and gelation, steric affect of the chemicals in sol-gel solutions are significant issues to obtain high quality superconducting YBCO thin films. In addition, X-ray diffraction (XRD) was used to analyze phase structure of YBCO and compare results of chemical reactions obtained by a chemdraw programme. Scanning electron microscope (SEM) studies was carried out to examine microstructures of YBCO films produced from alkoxide precursors, solvent, chelating agent and modifying liquid chemical materials such as triethanolamine or ammonium hydroxide. It was found to be YBCO, Gd 2 O 3 , NiO and Ni phases in YBCO/Gd 2 O 3 /Ni sample from XRD analysis. That the solution prepared by using triethanolamine provided the best film quality and

  12. A chemical bath deposition route to facet-controlled Ag{sub 3}PO{sub 4} thin films with improved visible light photocatalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Gunjakar, Jayavant L.; Jo, Yun Kyung; Kim, In Young; Lee, Jang Mee; Patil, Sharad B. [Department of Chemistry and Nanoscience, College of Natural Sciences, Ewha Womans University, Seoul 03760 (Korea, Republic of); Pyun, Jae-Chul [Department of Materials Science and Engineering, College of Engineering, Yonsei University, Seoul (Korea, Republic of); Hwang, Seong-Ju, E-mail: hwangsju@ewha.ac.kr [Department of Chemistry and Nanoscience, College of Natural Sciences, Ewha Womans University, Seoul 03760 (Korea, Republic of)

    2016-08-15

    A facile, economic, and reproducible chemical bath deposition (CBD) method is developed for the fabrication of facet-controlled Ag{sub 3}PO{sub 4} thin films with enhanced visible light photocatalytic activity. The fine-control of bath temperature, precursor, complexing agent, substrate, and solution pH is fairly crucial in preparing the facet-selective thin film of Ag{sub 3}PO{sub 4} nanocrystal. The change of precursor from silver nitrate to silver acetate makes possible the tailoring of the crystal shape of Ag{sub 3}PO{sub 4} from cube to rhombic dodecahedron and also the bandgap tuning of the deposited films. The control of [Ag{sup +}]/[phosphate] ratio enables to maximize the loading amount of Ag{sub 3}PO{sub 4} crystals per the unit area of the deposited film. All the fabricated Ag{sub 3}PO{sub 4} thin films show high photocatalytic activity for visible light-induced degradation of organic molecules, which can be optimized by tailoring the crystal shape of the deposited crystals. This CBD method is also useful in preparing the facet-controlled hybrid film of Ag{sub 3}PO{sub 4}–ZnO photocatalyst. The present study clearly demonstrates the usefulness of the present CBD method for fabricating facet-controlled thin films of metal oxosalt and its nanohybrid. - Highlights: • The crystal facet of Ag{sub 3}PO{sub 4} films can be tuned by chemical bath deposition. • The crystal shape of Ag{sub 3}PO{sub 4} is tailorable from cube to rhombic dodecahedron. • Facet-tuned Ag{sub 3}PO{sub 4} film shows enhanced visible light photocatalyst activity.

  13. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  14. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  15. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  16. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  17. Radiation-chemical behaviour of Rh(4) in perchlorate and nitrate solutions

    International Nuclear Information System (INIS)

    Vladimirova, M.V.; Khalkina, E.V.

    1994-01-01

    Kinetic of rhodium(4) reduction in the process of radiolysis in solutions of perchloric (0.6-3.2 mol/l) and nitric (2-9 mol/l) acids with rhodium (4) concentration (0.4-5)x10 -3 mol/l has been studied. Irradiation of the solutions was carried out using a 60 Co source with dose rate of 3.5 Gy/s in the absorbed dose range up to 10 4 Gy. A mechanism of radiation-chemical reduction of rhodium(4) in perchloric and nitric acid solutions in suggested, the reason for high radiation-chemical yields of reduction is discussed. 7 refs.; 9 figs.; 2 tabs

  18. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  19. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  20. Physical and chemical stability of pemetrexed in infusion solutions.

    Science.gov (United States)

    Zhang, Yanping; Trissel, Lawrence A

    2006-06-01

    Pemetrexed is a multitargeted, antifolate, antineoplastic agent that is indicated for single-agent use in locally advanced or metastatic non-small-cell lung cancer after prior chemotherapy and in combination with cisplatin for the treatment of malignant pleural mesothelioma not treatable by surgery. Currently, there is no information on the long-term stability of pemetrexed beyond 24 hours. To evaluate the longer-term physical and chemical stability of pemetrexed 2, 10, and 20 mg/mL in polyvinyl chloride (PVC) bags of dextrose 5% injection and NaCl 0.9% injection. Triplicate samples of pemetrexed were prepared in the concentrations and infusion solutions required. Evaluations for physical and chemical stability were performed initially and over 2 days at 23 degrees C protected from light and exposed to fluorescent light, and over 31 days of storage at 4 degrees C protected from light. Physical stability was assessed using turbidimetric and particulate measurement as well as visual observation. Chemical stability was evaluated by HPLC. All pemetrexed solutions remained chemically stable, with little or no loss of pemetrexed over 2 days at 23 degrees C, protected from light and exposed to fluorescent light, and over 31 days of storage at 4 degrees C, protected from light. The room temperature samples were physically stable throughout the 48 hour test period. However, pemetrexed admixtures developed large numbers of microparticulates during refrigerated storage exceeding 24 hours. Pemetrexed is chemically stable for 2 days at room temperature and 31 days refrigerated in dextrose 5% injection and NaCl 0.9% injection. However, substantial numbers of microparticulates may form in pemetrexed diluted in the infusion solutions in PVC bags, especially during longer periods of refrigerated storage. Limiting the refrigerated storage period to the manufacturer-recommended 24 hours will limit particulate formation.

  1. Cd{sub 1−x}Zn{sub x}S thin films with low Zn content obtained by an ammonia-free chemical bath deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Carreón-Moncada, I. [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Saltillo, Av. Industria Metalúrgica 1062, CP. 25900, Ramos Arizpe, Coah., México (Mexico); González, L.A., E-mail: luis.gonzalez@cinvestav.edu.mx [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Saltillo, Av. Industria Metalúrgica 1062, CP. 25900, Ramos Arizpe, Coah., México (Mexico); Pech-Canul, M.I. [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Saltillo, Av. Industria Metalúrgica 1062, CP. 25900, Ramos Arizpe, Coah., México (Mexico); Ramírez-Bon, R. [Centro de Investigación y de Estudios Avanzados del IPN, Unidad Querétaro, Apartado Postal 1-798, CP. 76001 Querétaro, Qro., México (Mexico)

    2013-12-02

    Cd{sub 1−x}Zn{sub x}S films with low Zn content were obtained on glass substrates by an ammonia-free chemical bath deposition process. Alkaline reaction solutions were prepared using cadmium chloride, zinc chloride, sodium citrate, thiourea and potassium hydroxide. As a result of varying the mixture ratio between Cd and Zn precursors, microstructural studies from X-ray diffraction reveal that the resulting films have hexagonal, wurzite type, crystalline structure with changes in the preferential growth orientation. Important changes on the surface morphology and thickness of the Cd{sub 1−x}Zn{sub x}S films were also observed as effects of adding Zn to the CdS lattice. Optical studies show that Cd{sub 1−x}Zn{sub x}S thin films with energy band gaps in the range from 2.48 to 2.65 eV were obtained. - Highlights: • Cd{sub 1−x}Zn{sub x}S layers were grown on glass by ammonia-free chemical bath deposition • Films with low Zn content were obtained using reaction solutions with pH11.5 • Zn addition produced changes on the orientation growth and morphology of the films • Cd{sub 1−x}Zn{sub x}S films have energy band gap values from 2.48 to 2.65 eV.

  2. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    Science.gov (United States)

    Engelbrecht, Johann P.; Stenchikov, Georgiy; Jish Prakash, P.; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-09-01

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m-2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of particle size fractions in the dust deposits.X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the mineralogical and chemical results alone. These aerosol data are the first of their kind from the Red Sea region. They will help assess their potential

  3. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  4. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  5. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  6. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  7. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  8. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  9. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  10. Inkjet-Printed Chemical Solution Y2O3 Layers for Planarization of Technical Substrates

    Directory of Open Access Journals (Sweden)

    Marta Vilardell

    2017-12-01

    Full Text Available The implementation of the Chemical Solution Deposition (CSD methodology with the Drop on Demand (DoD inkjet printing (IJP technology has been successfully employed to develop a Solution Deposition Planarization (SDP method. We have used nanocrystalline yttrium oxide (Y2O3 to decrease the roughness of technical metallic substrates by filling the surface imperfections and thus avoiding costly polishing steps. This alternative process represents an outstanding methodology to reduce the final cost of the second-generation coated conductors manufacturing. Two Y2O3 metalorganic precursor ink formulations were successfully developed and tested to obtain surfaces as smooth as possible with adequate mechanical properties to hold the internal stress developed during the growth of the subsequent layers. By using these inks as precursors for IJP and after a proper tuning of the rheological and wetting parameters, we firstly obtained centimeter length uniform 100 nm-thick SDP-Y2O3 films on unpolished stainless-steel substrate from Bruker HTS. The scalability of the roll to roll (R2R-IJP process to 100 m is then demonstrated on metallic substrates as well. A complete characterization of the prepared SDP-Y2O3 inkjet-printed layers was carried out using optical microscopy, FIB-SEM (Focus Ion Beam coupled to Scanning Electron Microscopy, XRD (X-ray Diffraction, AFM (Atomic Force Microscopy, reflectometry and nanoindentation techniques. Then, the morphology, thickness, crystallinity and mechanical properties were evaluated, together with the surface roughness in order to assess the resulting layer planarity. The impact of planarity was additionally studied via growth of biaxially textured buffer layers as well as further functional layers. 1.1 µm-thick YSZ layers with in-plane textures better than the stainless steel (SS polished reference were successfully deposited on top of 100 nm SDP-Y2O3 films yielding 50% of Ic in contrast to the standard SS reference.

  11. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  12. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  13. Electrospray ionization deposition of BSA under vacuum conditions

    Science.gov (United States)

    Hecker, Dominic; Gloess, Daniel; Frach, Peter; Gerlach, Gerald

    2015-05-01

    Vacuum deposition techniques like thermal evaporation and CVD with their precise layer control and high layer purity often cannot be applied for the deposition of chemical or biological molecules. The molecules are usually decomposed by heat. To overcome this problem, the Electrospray ionization (ESI) process known from mass spectroscopy is employed to transfer molecules into vacuum and to deposit them on a substrate. In this work, a homemade ESI tool was used to deposit BSA (Bovine serum albumin) layers with high deposition rates. Solutions with different concentrations of BSA were prepared using a methanol:water (MeOH:H2O) mixture (1:1) as solvent. The influence of the substrate distance on the deposition rate and on the transmission current was analyzed. Furthermore, the layer thickness distribution and layer adhesion were investigated.

  14. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann

    2017-09-27

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m−2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of  < 10 and  < 2.5 µm dust deposition rates, and it is suggested that these represent proxies for PM10 (coarse) and PM2. 5 (fine) particle size fractions in the dust deposits. X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the

  15. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  16. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  17. Synthesis of layered birnessite-type manganese oxide thin films on plastic substrates by chemical bath deposition for flexible transparent supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Hu Yu; Zhu Hongwei; Wang Jun [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China); Chen Zhenxing, E-mail: chenzx65@mail.sysu.edu.cn [School of Chemistry and Chemical Engineering, Sun Yat-sen University, Guangzhou 510275 (China)

    2011-10-20

    Highlights: > Layered birnessite-type MnO{sub 2} thin films are fabricated on ITO/PET substrates through a facile chemical bath deposition at room temperature. > The transmittance of the MnO{sub 2} thin films at 550 nm is up to 77.4%. > MnO{sub 2} thin films exhibit a special capacitance of 229.2 F g{sup -1} and 9.2 mF cm{sup -2}. > MnO{sub 2} thin films show a capacitance retention ratio of 83% after 1000 CV cycles. > MnO{sub 2} thin film electrodes show great mechanical flexibility and electrochemical stability even after 200 tensile and compressive bending cycles. - Abstract: Layered birnessite-type manganese oxide thin films are successfully fabricated on indium tin oxide coated polyethylene terephthalate substrates for flexible transparent supercapacitors by a facile, effective and inexpensive chemical bath deposition technology from an alkaline KMnO{sub 4} aqueous solution at room temperature. The effects of deposition conditions, including KMnO{sub 4} concentration, initial molar ratio of NH{sub 3}.H{sub 2}O and KMnO{sub 4}, bath temperature, and reaction time, on the electrochemical properties of MnO{sub 2} thin films are investigated. Layered birnessite-type MnO{sub 2} thin films deposited under optimum conditions display three-dimensional porous morphology, high hydrophilicity, and a transmittance of 77.4% at 550 nm. A special capacitance of 229.2 F g{sup -1} and a capacitance retention ratio of 83% are obtained from the films after 1000 cycles at 10 mV s{sup -1} in 1 M Na{sub 2}SO{sub 4}. Compressive and tensile bending tests show that as-prepared MnO{sub 2} thin film electrodes possess excellent mechanical flexibility and electrochemical stability.

  18. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  19. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Laser-induced chemical liquid deposition of discontinuous and continuous copper films

    Czech Academy of Sciences Publication Activity Database

    Ouchi, A.; Bastl, Zdeněk; Boháček, Jaroslav; Šubrt, Jan; Pola, Josef

    2007-01-01

    Roč. 201, č. 8 (2007), s. 4728-4733 ISSN 0257-8972 R&D Projects: GA AV ČR 1ET400400413 Institutional research plan: CEZ:AV0Z40400503; CEZ:AV0Z40320502; CEZ:AV0Z40720504 Keywords : copper films * laser photolysis * Cu(II) acetylacetonate * chemical liquid deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.678, year: 2007

  2. Role of VI/II ratio on the growth of ZnO nanostructures using chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Urgessa, Z.N., E-mail: zelalem.urgessa@nmmu.ac.za [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Oluwafemi, O.S. [Department of Chemistry and Chemical Technology, Walter Sisulu University, Mthatha Campus, Private Bag XI, 5117 (South Africa); Botha, J.R. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2012-05-15

    In this paper the growth process and morphological evolution of ZnO nanostructures were investigated in a series of experiments using chemical bath deposition. The experimental results indicate that the morphological evolution depends on the reaction conditions, particularly on OH{sup -} to Zn{sup 2+} ratio (which directly affects the pH). For low VI/II ratios, quasi-spherical nanoparticles of an average diameter 30 nm are obtained, whereas for larger VI/II ratios, nanorods with an average diameter less than 100 nm are produced, which indicates that by systematically controlling the VI/II ratio, it is possible to produce different shapes and sizes of ZnO nanostructures. A possible mechanism for the nanostructural change of the as-synthesized ZnO from particle to rod was elucidated based on the relative densities of H{sup +} and OH{sup -} in the solution.

  3. Role of VI/II ratio on the growth of ZnO nanostructures using chemical bath deposition

    International Nuclear Information System (INIS)

    Urgessa, Z.N.; Oluwafemi, O.S.; Botha, J.R.

    2012-01-01

    In this paper the growth process and morphological evolution of ZnO nanostructures were investigated in a series of experiments using chemical bath deposition. The experimental results indicate that the morphological evolution depends on the reaction conditions, particularly on OH − to Zn 2+ ratio (which directly affects the pH). For low VI/II ratios, quasi-spherical nanoparticles of an average diameter 30 nm are obtained, whereas for larger VI/II ratios, nanorods with an average diameter less than 100 nm are produced, which indicates that by systematically controlling the VI/II ratio, it is possible to produce different shapes and sizes of ZnO nanostructures. A possible mechanism for the nanostructural change of the as-synthesized ZnO from particle to rod was elucidated based on the relative densities of H + and OH − in the solution.

  4. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Cheng-Yang; Hong, Shao-Chyang; Hwang, Fu-Tsai; Lai, Li-Wen; Lin, Tan-Wei; Liu, Day-Shan

    2011-01-01

    The effect of a nickel oxide (NiO x ) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO x ) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO x films, with and without a NiO x seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO x film, deposited on a NiO x seed layer, was found to be lower than that of a pure TiO x film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO x film deposited onto the NiO x seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO x /TiO x system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  5. Effect of nickel oxide seed layers on annealed-amorphous titanium oxide thin films prepared using plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Cheng-Yang; Hong, Shao-Chyang [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Hwang, Fu-Tsai [Department of Electro-Optical Engineering, National United University, Miao-Li, 36003, Taiwan (China); Lai, Li-Wen [ITRI South, Industrial Technology Research Institute, Liujia, Tainan, 73445, Taiwan (China); Lin, Tan-Wei [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China); Liu, Day-Shan, E-mail: dsliu@sunws.nfu.edu.tw [Institute of Electro-Optical and Materials Science, National Formosa University, Huwei, Yunlin, 63201, Taiwan (China)

    2011-10-31

    The effect of a nickel oxide (NiO{sub x}) seed layer on the crystallization and photocatalytic activity of the sequentially plasma-enhanced chemical vapor deposited amorphous titanium oxide (TiO{sub x}) thin film processed by a post-annealing process was investigated. The evolution of the crystalline structures, chemical bond configurations, and surface/cross-sectional morphologies of the annealed TiO{sub x} films, with and without a NiO{sub x} seed layer, was examined using X-ray diffractometer, Fourier transform infrared spectrometry, X-ray photoelectron spectroscopy, atomic force microscopy, and field emission scanning electron microscope measurements. Thermo- and photo-induced hydrophilicity was determined by measuring the contact angle of water droplet. Photocatalytic activity after UV light irradiation was evaluated from the decolorization of a methylene blue solution. The crystallization temperature of the TiO{sub x} film, deposited on a NiO{sub x} seed layer, was found to be lower than that of a pure TiO{sub x} film, further improving the thermo- and photo-induced surface super-hydrophilicity. The TiO{sub x} film deposited onto the NiO{sub x} seed layer, resulting in significant cluster boundaries, showed a rough surface morphology and proved to alleviate the anatase crystal growth by increasing the post-annealing temperature, which yielded a more active surface area and prohibited the recombination of photogenerated electrons and holes. The photocatalytic activity of the NiO{sub x}/TiO{sub x} system with such a textured surface therefore was enhanced and optimized through an adequate post-annealing process.

  6. Synthesis of Nanocrystalline SnOx (x = 1–2 Thin Film Using a Chemical Bath Deposition Method with Improved Deposition Time, Temperature and pH

    Directory of Open Access Journals (Sweden)

    Zulkarnain Zainal

    2011-09-01

    Full Text Available Nanocrystalline SnOx (x = 1–2 thin films were prepared on glass substrates by a simple chemical bath deposition method. Triethanolamine was used as complexing agent to decrease time and temperature of deposition and shift the pH of the solution to the noncorrosive region. The films were characterized for composition, surface morphology, structure and optical properties. X-ray diffraction analysis confirms that SnOx thin films consist of a polycrystalline structure with an average grain size of 36 nm. Atomic force microscopy studies show a uniform grain distribution without pinholes. The elemental composition was evaluated by energy dispersive X-ray spectroscopy. The average O/Sn atomic percentage ratio is 1.72. Band gap energy and optical transition were determined from optical absorbance data. The film was found to exhibit direct and indirect transitions in the visible spectrum with band gap values of about 3.9 and 3.7 eV, respectively. The optical transmittance in the visible region is 82%. The SnOx nanocrystals exhibit an ultraviolet emission band centered at 392 nm in the vicinity of the band edge, which is attributed to the well-known exciton transition in SnOx. Photosensitivity was detected in the positive region under illumination with white light.

  7. Prospects of chemically deposited CoS-CU2S coatings for solar ...

    African Journals Online (AJOL)

    The thin films of Cu2S deposited on CoS-precoated glass substrates from chemical baths and annealed at 100oC were found to have desirable solar control characteristics superior to commercial tinted glass and magnetron sputtered multilayer metallic solar control coatings. These include: transmission spectra in the ...

  8. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  9. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  10. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  11. Active control of evaporative solution deposition by means of modulated gas phase convection

    NARCIS (Netherlands)

    Wedershoven, H.M.J.M.; Deuss, K.R.M.; Fantin, C.; Zeegers, J.C.H.; Darhuber, A.A.

    2018-01-01

    In solution processing, functional materials are dissolved or dispersed in a solvent and deposited typically as a thin liquid film on a substrate. After evaporation of the solvent, a dry layer remains. We propose an ‘active’, non-contact technique for evaporative pattern formation that does not

  12. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  13. Chemical milling solution produces smooth surface finish on aluminum

    Science.gov (United States)

    Lorenzen, H. C.

    1966-01-01

    Elementary sulfur mixed into a solution of caustic soda and salts produces an etchant which will chemically mill end-grain surfaces on aluminum plate. This composition results in the least amount of thickness variation and pitting.

  14. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  15. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  16. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  18. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  19. Preparation and characterization of Bi2Sr2CaCu2O8+δ thin films on MgO single crystal substrates by chemical solution deposition

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Kepa, Katarzyna; Hlásek, T.

    2013-01-01

    Bi2Sr2CaCu2O8 thin films have been deposited on MgO single crystal substrates by spin-coating a solution based on 2-ethylhexanoate precursors. Pyrolysis takes place between 200°C and 450°C and is accompanied by the release of 2-ethylhexanoic acid, CO2 and H2O vapour. Highly c-axis oriented Bi2Sr2Ca...

  20. A decade of monitoring at Swiss Long-Term Forest Ecosystem Research (LWF) sites: can we observe trends in atmospheric acid deposition and in soil solution acidity?

    Science.gov (United States)

    Pannatier, Elisabeth Graf; Thimonier, Anne; Schmitt, Maria; Walthert, Lorenz; Waldner, Peter

    2011-03-01

    Trends in atmospheric acid deposition and in soil solution acidity from 1995 or later until 2007 were investigated at several forest sites throughout Switzerland to assess the effects of air pollution abatements on deposition and the response of the soil solution chemistry. Deposition of the major elements was estimated from throughfall and bulk deposition measurements at nine sites of the Swiss Long-Term Forest Ecosystem Research network (LWF) since 1995 or later. Soil solution was measured at seven plots at four soil depths since 1998 or later. Trends in the molar ratio of base cations to aluminum (BC/Al) in soil solutions and in concentrations and fluxes of inorganic N (NO(3)-N + NH(4)-N), sulfate (SO(4)-S), and base cations (BC) were used to detect changes in soil solution chemistry. Acid deposition significantly decreased at three out of the nine study sites due to a decrease in total N deposition. Total SO(4)-S deposition decreased at the nine sites, but due to the relatively low amount of SO(4)-S load compared to N deposition, it did not contribute to decrease acid deposition significantly. No trend in total BC deposition was detected. In the soil solution, no trend in concentrations and fluxes of BC, SO(4)-S, and inorganic N were found at most soil depths at five out of the seven sites. This suggests that the soil solution reacted very little to the changes in atmospheric deposition. A stronger reduction in base cations compared to aluminum was detected at two sites, which might indicate that acidification of the soil solution was proceeding faster at these sites.

  1. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  2. On the structure, morphology, and optical properties of chemical bath deposited Sb2S3 thin films

    International Nuclear Information System (INIS)

    Krishnan, B.; Arato, A.; Cardenas, E.; Roy, T.K. Das; Castillo, G.A.

    2008-01-01

    In the present paper, we have reported the room temperature growth of antimony sulphide (Sb 2 S 3 ) thin films by chemical bath deposition and detailed characterization of these films. The films were deposited from a chemical bath containing SbCl 3 and Na 2 S 2 O 3 at 27 deg. C. We have analysed the structure, morphology, composition and optical properties of as deposited Sb 2 S 3 films as well as those subjected to annealing in nitrogen atmosphere or in air. As-deposited films are amorphous to X-ray diffraction (XRD). However, the diffused rings in the electron diffraction pattern revealed the existence of nanocrystalline grains in these films. XRD analysis showed that upon annealing in nitrogen atmosphere these films transformed into polycrystalline with orthorhombic structure. Also, we have observed that during heating in air, Sb 2 S 3 first converts into orthorhombic form and then further heating results in the formation of Sb 2 O 3 crystallites. Optical bandgap energy of as deposited and annealed films was evaluated from UV-vis absorption spectra. The values obtained were 2.57 and 1.73 eV for the as-deposited and the annealed films respectively

  3. Deposition and reentrainment of Brownian particles in porous media under unfavorable chemical conditions: some concepts and applications.

    Science.gov (United States)

    Hahn, Melinda W; O'Meliae, Charles R

    2004-01-01

    The deposition and reentrainment of particles in porous media have been examined theoretically and experimentally. A Brownian Dynamics/Monte Carlo (MC/BD) model has been developed that simulates the movement of Brownian particles near a collector under "unfavorable" chemical conditions and allows deposition in primary and secondary minima. A simple Maxwell approach has been used to estimate particle attachment efficiency by assuming deposition in the secondary minimum and calculating the probability of reentrainment. The MC/BD simulations and the Maxwell calculations support an alternative view of the deposition and reentrainment of Brownian particles under unfavorable chemical conditions. These calculations indicate that deposition into and subsequent release from secondary minima can explain reported discrepancies between classic model predictions that assume irreversible deposition in a primary well and experimentally determined deposition efficiencies that are orders of magnitude larger than Interaction Force Boundary Layer (IFBL) predictions. The commonly used IFBL model, for example, is based on the notion of transport over an energy barrier into the primary well and does not address contributions of secondary minimum deposition. A simple Maxwell model based on deposition into and reentrainment from secondary minima is much more accurate in predicting deposition rates for column experiments at low ionic strengths. It also greatly reduces the substantial particle size effects inherent in IFBL models, wherein particle attachment rates are predicted to decrease significantly with increasing particle size. This view is consistent with recent work by others addressing the composition and structure of the first few nanometers at solid-water interfaces including research on modeling water at solid-liquid interfaces, surface speciation, interfacial force measurements, and the rheological properties of concentrated suspensions. It follows that deposition under these

  4. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  5. Hydrochemical uranium mining at the Straz ore deposit and its hydrogeological consequences

    International Nuclear Information System (INIS)

    Hanzlik, J.; Moravec, J.; Macak, P.

    1992-01-01

    The uranium ore deposit at Straz is situated in the North Bohemian Cretaceous Massif. Uranium is extracted from the deposit by underground chemical leaching by means of drills from the ground. Relevant to this kind of extraction, from the hydrogeological and environmental aspects, are the hydrogeological location of the deposit, the kind and amounts of the leaching solution and ways of its injection. The following amounts, in thousand tons, have been injected underground throughout the entire period of practicing chemical extraction of uranium (till 1990): sulfuric acid 3700, nitric acid 270, ammonia 100, hydrofluoric acid 25. The overall area of the leaching fields is 630 hectares, which accommodate 9300 technological boreholes. The environmental burden of the Cenomanian rocks and Turonian water reservoir was analyzed, and significantly elevated heavy metal contents from the recirculation of the technological solutions were found. The solutions expand beyond the leaching fields, causing a contamination of underground water within wider surroundings. The volume of contaminated water in the Turonian reservoir is currently estimated at 2 - 20 million m 3 . Uranium extraction by leaching is harmful at this deposit, having far-reaching impacts on the hydrosphere and ecosystem (increased dust, deforestation of slopes of the Ralsko hill, contamination of water and soil, etc.). Abandoning the ore extraction appears to be the sole feasible approach to this problem. (Z.S.). 2 tabs., 3 figs

  6. Novel chemical route for deposition of Cu{sub 2}ZnSnS{sub 4} photovoltaic absorbers

    Energy Technology Data Exchange (ETDEWEB)

    Gordillo, Gerardo; Becerra, Raul A.; Calderón, Clara L., E-mail: ggordillog@unal.edu.co [Universidad Nacional de Colombia, Bogota (Colombia)

    2018-05-01

    This work reports results of a study carried out to optimize the preparation conditions of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by sequential deposition of Cu{sub 2}SnS{sub 3} (CTS) and ZnS layers, where the Cu{sub 2}SnS{sub 3} compound was grown using a novel procedure consisting of simultaneous precipitation of Cu{sub 2}S and SnS{sub 2} performed by diffusion membrane assisted chemical bath deposition (CBD) technique. The precipitation across the diffusion membranes allows achieving moderate control of release of metal ions into the work solution favoring the heterogeneous growth mainly through an ion-ion mechanism. Through a parameters study, conditions were found to grow Cu{sub 2}SnS{sub 3} thin films which were used as precursors for the formation of Cu{sub 2}ZnSnS{sub 4} films. The formation of CZTS thin films grown in the Cu{sub 2}ZnSnS{sub 4} phase was verified through measurements of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Solar cells with efficiencies of 4.9% were obtained using CZTS films prepared by membrane assisted CBD technique as absorber layer. (author)

  7. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  8. Chemical Gel for Surface Decontamination

    International Nuclear Information System (INIS)

    Jung, Chong Hun; Moon, J. K.; Won, H. J.; Lee, K. W.; Kim, C. K.

    2010-01-01

    Many chemical decontamination processes operate by immersing components in aggressive chemical solutions. In these applications chemical decontamination technique produce large amounts of radioactive liquid waste. Therefore it is necessary to develop processes using chemical gels instead of chemical solutions, to avoid the well-known disadvantages of chemical decontamination techniques while retaining their high efficiency. Chemical gels decontamination process consists of applying the gel by spraying it onto the surface of large area components (floors, walls, etc) to be decontaminated. The gel adheres to any vertical or complex surface due to their thixotropic properties and operates by dissolving the radioactive deposit, along with a thin layer of the gel support, so that the radioactivity trapped at the surface can be removed. Important aspects of the gels are that small quantities can be used and they show thixitropic properties : liquid during spraying, and solid when stationary, allowing for strong adherence to surfaces. This work investigates the decontamination behaviors of organic-based chemical gel for SS 304 metallic surfaces contaminated with radioactive materials

  9. Nucleation and growth of copper phthalocyanine aggregates deposited from solution on planar surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Ghani, Fatemeh [Department of Theory & Bio-Systems, Max Planck Institute of Colloids and Interfaces, Am Mühlenberg 1 Golm, 14476 Potsdam (Germany); Gojzewski, Hubert, E-mail: hubert.gojzewski@put.poznan.pl [Department of Theory & Bio-Systems, Max Planck Institute of Colloids and Interfaces, Am Mühlenberg 1 Golm, 14476 Potsdam (Germany); Institute of Physics, Poznan University of Technology, Piotrowo 3, 60-965 Poznan (Poland); Riegler, Hans [Department of Theory & Bio-Systems, Max Planck Institute of Colloids and Interfaces, Am Mühlenberg 1 Golm, 14476 Potsdam (Germany)

    2015-10-01

    Graphical abstract: - Highlights: • Copper phthalocyanine deposited on planar surfaces by 3 solution process methods. • Aggregate morphology examined for coverage extending over 3 orders of magnitude. • Morphologies vary from small individual domains to mesh-like multilayers. • Nucleation and growth model explains the observed deposit morphologies. - Abstract: Copper phthalocyanine (CuPc) dissolved in trifluoroacetic acid (TFA) is deposited on solid SiO{sub 2} surfaces by solvent evaporation. The deposited CuPc aggregates are investigated by atomic force microscopy (AFM). The CuPc deposits were prepared by spin casting, dip coating, and spray deposition. Depending on the amount of deposited CuPc the aggregate morphology ranges from small individual domains to mesh-like multilayers. Each domain/layer consists of many parallel stacks of CuPc molecules with the square, plate-like molecules piled face-wise within each stack. The parallel stacks are attached sideways (i.e., edgewise attachment molecularly) to the substrate forming “nanoribbons” with uniform thickness of about 1 nm and varying width. The thickness reflects the length of a molecular edge, the width the number of stacks. A nucleation and growth model is presented that explains the observed aggregate and multilayer morphologies as result of the combination of nucleation, transport processes and a consequence of the anisotropic intermolecular interactions due to the shape of the CuPc molecule.

  10. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  11. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  12. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  13. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  14. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  15. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  16. Microstructures and photocatalytic properties of porous ZnO films synthesized by chemical bath deposition method

    International Nuclear Information System (INIS)

    Wang Huihu; Dong, Shijie; Chang Ying; Zhou Xiaoping; Hu Xinbin

    2012-01-01

    Different porous ZnO film structures on the surface of alumina substrates were prepared through a simple chemical bath deposition method in the methanolic zinc acetate solution. The surface morphology and phase structure of porous ZnO film were determined by scanning electron microscopy (SEM) and X-ray diffraction (XRD), respectively. Both initial zinc acetate concentration and sintering temperature have great impact on the final film structures. With the increase of initial zinc acetate concentration, the porous structures can be finely tuned from circular nest like assemblies composed film into successive nest like film, and finally to globular aggregates composed film. By increasing the sintering temperature, the porous structure of successive nest like film can be further controlled. Furthermore, the crystallinity of photocatalysts also can be greatly improved. The photodegradation results of Methyl Orange revealed that porous ZnO film with successive nest like structure sintered at 500 °C exhibited the highest photocatalytic activity under UV illumination.

  17. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  18. Chemicals in Household Products: Problems with Solutions

    Science.gov (United States)

    Glegg, Gillian A.; Richards, Jonathan P.

    2007-12-01

    The success of a regulatory regime in decreasing point-source emissions of some harmful chemicals has highlighted the significance of other sources. A growing number of potentially harmful chemicals have been incorporated into an expanding range of domestic household products and are sold worldwide. Tighter regulation has been proposed, and the European Commission has introduced the Regulation on the Registration, Evaluation, and Authorisation of Chemicals to address this concern. However, it is clear that in addition to the regulation, there is a potential to effect change through retailer and consumer attitudes and behaviours. Interviews were conducted with 7 key stakeholder groups to identify critical issues, which were then explored using a public survey questionnaire (1,008 respondents) and 8 subsequent focus groups. The findings demonstrated that the issue of chemicals in products is of concern to consumers for reasons of personal health rather than environmental protection. Key obstacles to the wider purchase of “green-alternative” products included perceived high cost and poor performance, lack of availability of products, and poor information concerning such products. Although improved regulation was seen as part of the solution, consumers must also play a role. It was clear from this study that consumers are not currently able to make informed choices about the chemicals they use but that they would be receptive to moving toward a more sustainable use of chemicals in the future if empowered to do so.

  19. A comparison of chemical reference materials for solution calorimeters.

    Science.gov (United States)

    Ramos, Rita; Gaisford, Simon; Buckton, Graham; Royall, Paul G; Yff, Barbara T S; O'Neill, Michael A A

    2005-08-11

    Solution calorimeters are based on semi-adiabatic or isothermal heat-conduction principles and differ in the way they record data. They also have different measuring sensitivities and require different quantities of solute and solvent. As such, the choice of chemical test substance is not straightforward. Usually the dilution of KCl is recommended; it is possible to purchase a reference sample of KCl that has a certified enthalpy of solution and this standard material is usually used to test semi-adiabatic instruments. Here, we review the suitability of a range of chemical test substances (KCl, sucrose and Tris) for an isothermal heat-conduction solution calorimeter. It was found that KCl was not the best test material because its relatively high enthalpy of solution (DeltasolH) necessitated the use of small samples (2 mg), resulting in a relatively large standard deviation (sigman-1) in the values recorded (DeltasolH=17.14+/-0.49 kJ mol-1); furthermore, KCl data must be corrected to account for the effect of dilution, although the correction was found to be small (0.07 kJ mol-1) under the experimental conditions employed here. Sucrose appears to be a much more robust test material for isothermal heat-conduction instruments because its lower enthalpy of solution allows the use of much larger samples (20 mg), which minimises experimental errors. The DeltasolH value returned (6.14+/-0.08 kJ mol-1) is in excellent agreement with the literature. It is also cheap, readily available and requires minimal preparation although its widespread use would require the preparation of a certified reference sample.

  20. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  1. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  2. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  3. Characterization of ZnS thin films synthesized through a non-toxic precursors chemical bath

    Energy Technology Data Exchange (ETDEWEB)

    Rodríguez, C.A. [Department of Materials Engineering, Faculty of Engineering, University of Concepción, Edmundo Larenas 270, Concepción 4070409 (Chile); Sandoval-Paz, M.G. [Department of Physics, Faculty of Physics and Mathematics, University of Concepción, Concepción (Chile); Cabello, G. [Department of Basic Sciences, Faculty of Sciences, University of Bío-Bío, Campus Fernando May, Chillán (Chile); Flores, M.; Fernández, H. [Department of Physics, Faculty of Physics and Mathematics, University of Chile, Beauchef 850, Santiago (Chile); Carrasco, C., E-mail: ccarrascoc@udec.cl [Department of Materials Engineering, Faculty of Engineering, University of Concepción, Edmundo Larenas 270, Concepción 4070409 (Chile)

    2014-12-15

    Highlights: • High quality ZnS thin films have been deposited by chemical bath deposition technique from a non-toxic precursor’s solution. • Nanocrystalline ZnS thin films with large band gap energy were synthesized without using ammonia. • Evidence that the growing of the thin films is carried out by means of hydroxide mechanism was found. • The properties of these ZnS thin films are similar and in some cases better than the corresponding ones produced using toxic precursors such as ammonia. - Abstract: In solar cells, ZnS window layer deposited by chemical bath technique can reach the highest conversion efficiency; however, precursors used in the process normally are materials highly volatile, toxic and harmful to the environment and health (typically ammonia and hydrazine). In this work the characterization of ZnS thin films deposited by chemical bath in a non-toxic alkaline solution is reported. The effect of deposition technique (growth in several times) on the properties of the ZnS thin film was studied. The films exhibited a high percentage of optical transmission (greater than 80%); as the deposition time increased a decreasing in the band gap values from 3.83 eV to 3.71 eV was observed. From chemical analysis, the presence of ZnS and Zn(OH){sub 2} was identified and X-ray diffraction patterns exhibited a clear peak corresponding to ZnS hexagonal phase (1 0 3) plane, which was confirmed by electron diffraction patterns. From morphological studies, compact samples with well-defined particles, low roughness, homogeneous and pinhole-free in the surface were observed. From obtained results, it is evident that deposits of ZnS–CBD using a non-toxic solution are suitable as window layer for TFSC.

  4. Fluctuation theory of solutions applications in chemistry, chemical engineering, and biophysics

    CERN Document Server

    Smith, Paul E

    2013-01-01

    There are essentially two theories of solutions that can be considered exact: the McMillan-Mayer theory and Fluctuation Solution Theory (FST). The first is mostly limited to solutes at low concentrations, while FST has no such issue. It is an exact theory that can be applied to any stable solution regardless of the number of components and their concentrations, and the types of molecules and their sizes. Fluctuation Theory of Solutions: Applications in Chemistry, Chemical Engineering, and Biophysics outlines the general concepts and theoretical basis of FST and provides a range of applications

  5. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  6. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  7. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  8. On the physical and chemical details of alumina atomic layer deposition: A combined experimental and numerical approach

    International Nuclear Information System (INIS)

    Pan, Dongqing; Ma, Lulu; Xie, Yuanyuan; Yuan, Chris; Jen, Tien Chien

    2015-01-01

    Alumina thin film is typically studied as a model atomic layer deposition (ALD) process due to its high dielectric constant, high thermal stability, and good adhesion on various wafer surfaces. Despite extensive applications of alumina ALD in microelectronics industries, details on the physical and chemical processes are not yet well understood. ALD experiments are not able to shed adequate light on the detailed information regarding the transient ALD process. Most of current numerical approaches lack detailed surface reaction mechanisms, and their results are not well correlated with experimental observations. In this paper, the authors present a combined experimental and numerical study on the details of flow and surface reactions in alumina ALD using trimethylaluminum and water as precursors. Results obtained from experiments and simulations are compared and correlated. By experiments, growth rate on five samples under different deposition conditions is characterized. The deposition rate from numerical simulation agrees well with the experimental results. Details of precursor distributions in a full cycle of ALD are studied numerically to bridge between experimental observations and simulations. The 3D transient numerical model adopts surface reaction kinetics and mechanisms based on atomic-level studies to investigate the surface deposition process. Surface deposition is shown as a strictly self-limited process in our numerical studies. ALD is a complex strong-coupled fluid, thermal and chemical process, which is not only heavily dependent on the chemical kinetics and surface conditions but also on the flow and material distributions

  9. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  10. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  11. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  12. Effects of annealing schedule on orientation of Bi3.2Nd0.8Ti3O12 ferroelectric film prepared by chemical solution deposition process

    International Nuclear Information System (INIS)

    He, H.Y.; Huang, J.F.; Cao, L.Y.; Wang, L.S.

    2006-01-01

    Fatigue-free Bi 3.2 Nd 0.8 Ti 3 O 12 ferroelectric thin films were successfully prepared on p-Si(1 1 1) substrate using chemical solution deposition process. The orientation and formation of thin film under different annealing schedules were studied. XRD analysis indicated that (2 0 0)-oriented films with degree of orientation of I (200) /I (117) = 2.097 and 0.466 were obtained by preannealing for 10 min at 400 deg. C followed by rapid thermal annealing for 3, 10 and 20 min at 700 deg. C, respectively (0 0 8)-oriented films with degree of orientation of I (008) /I (117) = 1.706 were obtained by rapid thermal annealing for 3 min at 700 deg. C without preannealing, and (0 0 8)-oriented films with degree of orientation of I (008) /I (117) = 0.719 were obtained by preheating the film from room temperature at 20 deg. C/min followed by annealing for 10 min at 700 deg. C. The a-axis and c-axis orientation decreased as increase of annealing time due to effects of (1 1 1)-oriented substrate. AFM analysis further indicated that preannealing at 400 deg. C for 10 min followed by rapid thermal annealing for 3 min at 700 deg. C resulted in formation of platelike crystallite parallel to substrate surface, however rapid thermal annealing for 3 min at 700 deg. C without preannealing resulted in columnar crystallite perpendicular to substrate surface

  13. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  14. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  15. Monitoring Approach to Evaluate the Performances of a New Deposition Nozzle Solution for DED Systems

    Directory of Open Access Journals (Sweden)

    Federico Mazzucato

    2017-05-01

    Full Text Available Abstract: In order to improve the process efficiency of a direct energy deposition (DED system, closed loop control systems can be considered for monitoring the deposition and melting processes and adjusting the process parameters in real-time. In this paper, the monitoring of a new deposition nozzle solution for DED systems is approached through a simulation-experimental comparison. The shape of the powder flow at the exit of the nozzle outlet and the spread of the powder particles on the deposition plane are analyzed through 2D images of the powder flow obtained by monitoring the powder depositions with a high-speed camera. These experimental results are then compared with data obtained through a Computational Fluid Dynamics model. Preliminary tests are carried out by varying powder, carrier, and shielding mass flow, demonstrating that the last parameter has a significant influence on the powder distribution and powder flow geometry.

  16. Dielectric properties of Ca(Zr0.05Ti0.95)O3 thin films prepared by chemical solution deposition

    International Nuclear Information System (INIS)

    Cavalcante, L.S.; Simoes, A.Z.; Santos, L.P.S.; Santos, M.R.M.C.; Longo, E.; Varela, J.A.

    2006-01-01

    Ca(Zr 0.05 Ti 0.95 )O 3 (CZT) thin films were grown on Pt(111)/Ti/SiO 2 /Si(100) substrates by the soft chemical method. The films were deposited from spin-coating technique and annealed at 928K for 4h under oxygen atmosphere. CZT films present orthorhombic structure with a crack free and granular microstructure. Atomic force microscopy and field-emission scanning electron microscopy showed that CZT present grains with about 47nm and thickness about 450nm. Dielectric constant and dielectric loss of the films was approximately 210 at 100kHz and 0.032 at 1MHz. The Au/CZT/Pt capacitor shows a hysteresis loop with remnant polarization of 2.5μC/cm 2 , and coercive field of 18kV/cm, at an applied voltage of 6V. The leakage current density was about 4.6x10 -8 A/cm 2 at 3V. Dielectric constant-voltage curve is located at zero bias field suggesting the absence of internal electric fields

  17. High performance solution-deposited amorphous indium gallium zinc oxide thin film transistors by oxygen plasma treatment

    KAUST Repository

    Nayak, Pradipta K.

    2012-05-16

    Solution-deposited amorphous indium gallium zinc oxide (a-IGZO) thin film transistors(TFTs) with high performance were fabricated using O2-plasma treatment of the films prior to high temperature annealing. The O2-plasma treatment resulted in a decrease in oxygen vacancy and residual hydrocarbon concentration in the a-IGZO films, as well as an improvement in the dielectric/channel interfacial roughness. As a result, the TFTs with O2-plasma treated a-IGZO channel layers showed three times higher linear field-effect mobility compared to the untreated a-IGZO over a range of processing temperatures. The O2-plasma treatment effectively reduces the required processing temperature of solution-deposited a-IGZO films to achieve the required performance.

  18. Preparation and characterization of thin organosilicon films deposited on SPR chip

    Energy Technology Data Exchange (ETDEWEB)

    Szunerits, Sabine [Laboratoire d' Electrochimie et de Physicochimie des Materiaux et des Interfaces (LEPMI), CNRS-INPG-UJF, 1130 rue de la piscine, BP 75, 38402 St. Martin d' Heres Cedex (France)], E-mail: sabine.szunerits@lepmi.inpg.fr; Rich, Sami Abou [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Coffinier, Yannick [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France); Languille, Marie-Angelique [Unite de Catalyse et de Chimie du Solide, UCCS UMR CNRS-8181, Universite des Sciences et Technologies de Lille, Bat. C3, 59655 Villeneuve d' Ascq (France); Supiot, Philippe [Laboratoire de Genie des Procedes d' Interaction de Fluides Reactifs-Materiaux U.S.T.L., Cite Scientifique, 59655 Villeneuve d' Ascq (France); Boukherroub, Rabah [Institut de Recherche Interdisciplinaire (IRI), FRE CNRS 2963, Institut d' lectronique, de Microelectronique et de Nanotechnologie (IEMN), UMR CNRS-8520, Cite Scientifique, Avenue Poincare, BP 60069, 59652 Villeneuve d' Ascq (France)], E-mail: rabah.boukherroub@iemn.univ-lille1.fr

    2008-04-20

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N{sub 2} plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  19. Preparation and characterization of thin organosilicon films deposited on SPR chip

    International Nuclear Information System (INIS)

    Szunerits, Sabine; Rich, Sami Abou; Coffinier, Yannick; Languille, Marie-Angelique; Supiot, Philippe; Boukherroub, Rabah

    2008-01-01

    The paper reports on the preparation and characterization of organosilicon thin polymer films deposited on glass slides coated with 5 nm adhesion layer of titanium and 50 nm of gold. The polymer was obtained by the decomposition of 1,1,3,3-tetramethyldisiloxane precursor (TMDSO) premixed with oxygen induced in a N 2 plasma afterglow using remote plasma-enhanced chemical vapor deposition (PECVD) technique. The film thickness was controlled by laser interferometry and was 9 nm. The chemical stability of the gold substrate coated with the organosilicon polymer film (p-TMDSO) was studied in different acidic and basic solutions (pH 1-14). While the gold/polymer interface showed a high stability in acidic media, the film was almost completely removed in basic solutions. The resulting surfaces were characterized using atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), water contact angle measurements, cyclic voltammetry, and surface plasmon resonance (SPR)

  20. Accessing the Impact of Sea-Salt Emissions on Aerosol Chemical Formation and Deposition Over Pearl River Delta, China

    Science.gov (United States)

    Fan, Q.; Wang, X.; Liu, Y.; Wu, D.; Chan, P. W.; Fan, S.; Feng, Y.

    2015-12-01

    Sea-salt aerosol (SSA) emissions have a significant impact on aerosol pollution and haze formation in the coastal areas. In this study, Models-3/CMAQ modeling system was utilized to access the impact of SSA emissions on aerosol chemical formation and deposition over Pearl River Delta (PRD), China in July 2006. More SSAs were transported inland from the open-ocean under the southeast wind in summertime. Two experiments (with and without SSA emissions in the CMAQ model) were set up to compare the modeling results with each other. The results showed that the increase of sulfate concentrations were more attributable to the primary emissions of coarse SO42- particles in SSA, while the increase of nitrate concentrations were more attributable to secondary chemical formations, known as the mechanisms of chloride depletion in SSA. In the coastal areas, 17.62 % of SO42-, 26.6% of NO3- and 38.2% of PM10 were attributed to SSA emissions, while those portions were less than 1% in the inland areas. The increases of PM10 and its components due to SSA emissions resulted in higher deposition fluxes over PRD, particularly in the coastal areas, except for the wet deposition of nitrate. Nitrate was more sensitive to SSA emissions in chemical formations than sulfate and dry deposition of aerosol was also more sensitive than that for wet deposition. Process analysis of sulfate and nitrate was applied to find out the difference of physical and chemical mechanisms between Guangzhou (the inland areas) and Zhuhai (the coastal areas). The negative contributions of dry deposition process to both sulfate and nitrate concentrations increased if SSA emissions were taken into account in the model, especially for Zhuhai. The negative contributions of cloud process also increased due to cloud scavenging and wet deposition process. In the coastal area, the gas-to-particle conversions became more active with high contributions of aerosol process to nitrate concentrations.

  1. Chemical, mechanical, and tribological properties of pulsed-laser-deposited titanium carbide and vanadium carbide

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1997-01-01

    The chemical, mechanical, and tribological properties of pulsed-laser-deposited TiC and VC films are reported in this paper. Films were deposited by ablating carbide targets using a KrF (λ = 248 nm) laser. Chemical analysis of the films by XPS revealed oxygen was the major impurity; the lowest oxygen concentration obtained in a film was 5 atom%. Oxygen was located primarily on the carbon sublattice of the TiC structure. The films were always substoichiometric, as expected, and the carbon in the films was identified primarily as carbidic carbon. Nanoindentation hardness tests gave values of 39 GPa for TiC and 26 GPa for VC. The friction coefficient for the TiC films was 0.22, while the VC film exhibited rapid material transfer from the steel ball to the substrate resulting in steel-on-steel tribological behavior

  2. Influence of chemical heterogeneity of solid solutions on brittleness in chromium steels

    International Nuclear Information System (INIS)

    Madyanov, S.A.; Sedov, V.K.; Apaev, B.A.

    1985-01-01

    The role of chemical heterogeneity of solid solutions in formation of mechanical properties of Kh09, Kh15, Kh20, Kh19N2G5T chromium steels has been investigated. It is established that besides the known regioA of chemical heterogeneity in the vicinity of 475 deg C exists a high-temperature region (1000-1050 deg C), where maximum heteroge=- neity of chromium distribution in solid solution, is observed. Both types of chemical heterogeneity cause essential hardening of alloys, which becomes apparent in abrupt change of capability to microplastic deformation The mechanism of occurrence of the given temper brittleness consists in carbon diffusion into microvolunes enriched in carbide-forming elements

  3. Investigation of radiation-chemical behaviour of divalent palladium in perchloric acid solutions

    International Nuclear Information System (INIS)

    Vladimirova, M.V.; Kalinina, S.V.

    1988-01-01

    Gamma-radiolysis of divalent palladium in perchloric acid solutions is studied. Absorption spectra of intermediate palladium compounds formed in the irradiated solution are taken. The analysis of literature data as well as comparative analysis of the absorption spectra obtained under irradiation of palladium (2) perchloric acid solutions with absorption spectra of palladium chlorocomplexes allows to suppose that the mentioned compounds are chlorocomplexes of palladium (2) of different composition depending on HClO 4 concentration in the initial solution and absorbed radiation dose. Radiation-chemical reduction of palladium (2) up to metal is stated to take place in the whole studied range of initial concentrations of components of the system and dose rates. Kinetic dependences of metallic palladium formation are obtained. Values of radiation-chemical yields of metallic palladium formation depending on the initial concentrations of palladium (2) and perchloric acid are given. A mechanism of radiolytic reduction of palladium (2) in the investigated system is suggested based on the experimental data, and a theoretical value of the radiation-chemical yield of palladium (2) reduction being in a good agreement with experimentally found values is calculated

  4. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  5. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  6. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  7. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  8. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  9. Characterization of CuInS2 thin films prepared by chemical bath deposition and their implementation in a solar cell

    International Nuclear Information System (INIS)

    Lugo, S.; López, I.; Peña, Y.; Calixto, M.; Hernández, T.; Messina, S.

    2014-01-01

    CuInS 2 thin films were formed by the sequential deposition of In 2 S 3 –CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS 2 thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In 2 S 3 , respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10 −3 Ω −1 cm −1 for the samples of 15 and 24 h of In 2 S 3 deposition bath, respectively. The obtained CuInS 2 films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO 2 :F/CdS/Sb 2 S 3 /CuInS 2 /PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In 2 S 3 deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V oc ) of 530 mV, short circuit current density (J sc ) of 2.43 mA cm −2 , and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In 2 S 3 bath, η = 0.43% was measured with the following parameters: V oc = 330 mV, J sc = 4.78 mA cm −2 and FF = 0.27. - Highlights: • CuInS 2 films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS 2 thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method

  10. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  11. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  12. WC-Co coatings deposited by the electro-thermal chemical spray method

    Energy Technology Data Exchange (ETDEWEB)

    Zhitomirsky, V.N. [Tel Aviv Univ. (Israel). Faculty of Engineering; Wald, S.; Rabani, L.; Zoler, D. [Propulsion Physics Division, SOREQ NRC, 81800, Yavne (Israel); Factor, M.; Roman, I. [School of Applied Sciences, The Hebrew University, 91904, Jerusalem (Israel); Cuperman, S.; Bruma, C. [School of Physics and Astronomy, Tel-Aviv University, 69978, Tel-Aviv (Israel)

    2000-10-02

    A novel thermal spray technology - an electro-thermal chemical spray (ETCS) for producing hard coatings is presented. The experimental coating apparatus consists of a machine gun barrel, a cartridge containing the coating material in powder form, a solid propellant, and a plasma ignition system. The plasma ignition system produces plasma in pulsed mode to ignite the solid propellant. On ignition, the drag force exerted by the combustion gases accelerates the powder particles towards the substrate. Using the ETCS technique, the process of single-shot WC-Co coating deposition on stainless steel substrate was studied. The influence of process parameters (plasma energy, mass of the solid propellant and the coated powder, distance between the gun muzzle and the substrate) on the coating structure and some of its properties were investigated. It was shown that ECTS technique effectively deposited the WC-Co coating with deposition thicknesses of 100-200 {mu}m per shot, while deposition yield of {proportional_to}70% was attained. The WC-Co coatings consisted of carbide particles distributed in amorphous matrix. The powder particle velocity was found to depend on the solid propellant mass and was weakly dependent on the plasma energy, while the particle processing temperature was strongly dependent on the plasma energy and almost independent of the solid propellant mass. Whilst increasing the solid propellant mass from 5 to 7 g, the deposition rate and yield correspondingly increased. When increasing the plasma energy, the temperature of the powder particles increased, the average carbide particle size decreased and their shape became more rounded. The deposition yield and microhardness at first increased and then achieved saturation by increasing the plasma energy. (orig.)

  13. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  14. Deposition and characterization of CuInSe2 films for solar cells using an optimized chemical route

    International Nuclear Information System (INIS)

    Berruet, M.; Schreiner, W.H.; Cere, S.; Vazquez, M.

    2011-01-01

    Research highlights: → CuInSe 2 has been deposited on glass by optimizing some parameters in the SILAR method. → Renewing the precursors after 40 cycles improves the composition of the deposit. → Photoelectrochemical tests and Mott-Schottky analysis confirm p-type conduction. → The quality of the material shows potential for application in solar cell devices. - Abstract: CuInSe 2 (CISe) thin films have been deposited on glass using successive ionic layer adsorption and reaction (SILAR). The as-deposited films are treated at 400 deg. C in argon atmosphere and etched in KCN solution to remove detrimental secondary phases. The preparation and temperature of the precursor solutions, the duration of the reaction cycles and the duration of the annealing stage have been optimized. The films have been characterized employing grazing incident X-ray diffraction, Raman spectroscopy, X-ray photoelectron spectroscopy, scanning electron microscopy and energy dispersive scanning spectroscopy. Relevant semiconductor parameters have been calculated. Photoelectrochemical tests confirm p-type conduction. The films are crystalline and the stoichiometry can be improved by renewing the precursor solution after completing half of the cycles, annealing for 90 min and later etching in KCN. The quality of the material seems to be promising for application in solar cell devices.

  15. Acid Deposition Phenomena

    International Nuclear Information System (INIS)

    Ramadan, A.E.K.

    2004-01-01

    Acid deposition, commonly known as acid rain, occurs when emissions from the combustion of fossil fuels and other industrial processes undergo complex chemical reactions in the atmosphere and fall to the earth as wet deposition (rain, snow, cloud, fog) or dry deposition (dry particles, gas). Rain and snow are already naturally acidic, but are only considered problematic when less than a ph of 5.0 The main chemical precursors leading to acidic conditions are atmospheric concentrations of sulfur dioxide (SO 2 ) and nitrogen oxides (NO x ). When these two compounds react with water, oxygen, and sunlight in the atmosphere, the result is sulfuric (H 2 SO 4 ) and nitric acids (HNO 3 ), the primary agents of acid deposition which mainly produced from the combustion of fossil fuel and from petroleum refinery. Airborne chemicals can travel long distances from their sources and can therefore affect ecosystems over broad regional scales and in locations far from the sources of emissions. According to the concern of petroleum ministry with the environment and occupational health, in this paper we will discussed the acid deposition phenomena through the following: Types of acidic deposition and its components in the atmosphere Natural and man-made sources of compounds causing the acidic deposition. Chemical reactions causing the acidic deposition phenomenon in the atmosphere. Factors affecting level of acidic deposition in the atmosphere. Impact of acid deposition. Procedures for acidic deposition control in petroleum industry

  16. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  17. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  18. Chemical Changes in Nonthermal Plasma-Treated N-Acetylcysteine (NAC) Solution and Their Contribution to Bacterial Inactivation.

    Science.gov (United States)

    Ercan, Utku K; Smith, Josh; Ji, Hai-Feng; Brooks, Ari D; Joshi, Suresh G

    2016-02-02

    In continuation of our previous reports on the broad-spectrum antimicrobial activity of atmospheric non-thermal dielectric barrier discharge (DBD) plasma treated N-Acetylcysteine (NAC) solution against planktonic and biofilm forms of different multidrug resistant microorganisms, we present here the chemical changes that mediate inactivation of Escherichia coli. In this study, the mechanism and products of the chemical reactions in plasma-treated NAC solution are shown. UV-visible spectrometry, FT-IR, NMR, and colorimetric assays were utilized for chemical characterization of plasma treated NAC solution. The characterization results were correlated with the antimicrobial assays using determined chemical species in solution in order to confirm the major species that are responsible for antimicrobial inactivation. Our results have revealed that plasma treatment of NAC solution creates predominantly reactive nitrogen species versus reactive oxygen species, and the generated peroxynitrite is responsible for significant bacterial inactivation.

  19. Deposition and characterization of ZnS thin films using chemical bath deposition method in the presence of sodium tartrate as complexing agent

    International Nuclear Information System (INIS)

    Kassim, A.; Tee, T.W.; Min, H.S.; Nagalingam, S.

    2011-01-01

    ZnS thin films were deposited on indium tin oxide glass substrate using the chemical bath deposition method. The deposited films were characterized by X-ray diffraction and atomic force microscopy. The influence of bath temperature on the structure and morphology of the thin films was investigated at three different bath temperatures of 60, 70 and 80 deg. C in the presence of sodium tartrate as a complexing agent. The XRD results indicated that the deposited ZnS thin films exhibited a polycrystalline cubic structure. The number of ZnS peaks increased from three to four peaks as the bath temperature was increased from 60 to 80 deg. C based on the XRD patterns. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the bath temperature. The grain size increased as the bath temperature was increased from 60 to 80 deg. C. (author)

  20. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  1. Deposition and characterization of noble metal onto surfaces of 304l stainless steel

    International Nuclear Information System (INIS)

    Contreras R, A.; Arganis J, C. R.; Aguilar T, J. A.; Medina A, A. L.

    2010-10-01

    Noble metal chemical addition (NMCA) plus hydrogen water chemistry is an industry-wide accepted approach for potential intergranular stress corrosion cracking mitigation of BWR internals components. NMCA is a method of applying noble metal onto BWR internals surfaces using reactor water as the transport medium that causes the deposition of noble metal from the liquid onto surfaces. In this work different platinum concentration solutions were deposited onto pre-oxidized surfaces of 304l steel at 180 C during 48 hr in an autoclave. In order to simulate the zinc water conditions, deposits of Zn and Pt-Zn were also carried out. The solutions used to obtain the deposits were: sodium hexahydroxyplatinate (IV), zinc nitrate hydrate and zinc oxide. The deposits obtained were characterized by scanning electron microscopy and X-ray diffraction. Finally, the electrochemical corrosion potential of pre-oxidized samples with Pt deposit were obtained and compared with the electrochemical corrosion potential of only pre-oxidized samples. (Author)

  2. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  3. Physical and chemical stability of proflavine contrast agent solutions for early detection of oral cancer.

    Science.gov (United States)

    Kawedia, Jitesh D; Zhang, Yan-Ping; Myers, Alan L; Richards-Kortum, Rebecca R; Kramer, Mark A; Gillenwater, Ann M; Culotta, Kirk S

    2016-02-01

    Proflavine hemisulfate solution is a fluorescence contrast agent to visualize cell nuclei using high-resolution optical imaging devices such as the high-resolution microendoscope. These devices provide real-time imaging to distinguish between normal versus neoplastic tissue. These images could be helpful for early screening of oral cancer and its precursors and to determine accurate margins of malignant tissue for ablative surgery. Extemporaneous preparation of proflavine solution for these diagnostic procedures requires preparation in batches and long-term storage to improve compounding efficiency in the pharmacy. However, there is a paucity of long-term stability data for proflavine contrast solutions. The physical and chemical stability of 0.01% (10 mg/100 ml) proflavine hemisulfate solutions prepared in sterile water was determined following storage at refrigeration (4-8℃) and room temperature (23℃). Concentrations of proflavine were measured at predetermined time points up to 12 months using a validated stability-indicating high-performance liquid chromatography method. Proflavine solutions stored under refrigeration were physically and chemically stable for at least 12 months with concentrations ranging from 95% to 105% compared to initial concentration. However, in solutions stored at room temperature increased turbidity and particulates were observed in some of the tested vials at 9 months and 12 months with peak particle count reaching 17-fold increase compared to baseline. Solutions stored at room temperature were chemically stable up to six months (94-105%). Proflavine solutions at concentration of 0.01% were chemically and physically stable for at least 12 months under refrigeration. The solution was chemically stable for six months when stored at room temperature. We recommend long-term storage of proflavine solutions under refrigeration prior to diagnostic procedure. © The Author(s) 2014.

  4. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  5. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  6. Fahlore and Sphalerite from the Darasun Gold Deposit in the Eastern Transbaikal Region, Russia: I. Mineral Assemblages and Intergrowths, Chemical Composition, and Its Evolution

    Science.gov (United States)

    Lyubimtseva, N. G.; Bortnikov, N. S.; Borisovsky, S. E.; Prokofiev, V. Yu.; Vikent'eva, O. V.

    2018-03-01

    The mineral assemblages, mode of occurrence, and chemical compositions of coexisting fahlore and sphalerite from the Darasun gold deposit have been described. Three generations of fahlore and three generations of sphalerite have been recognized. The FeS content in sphalerite coexisting with fahlore ranges from 0.8 to 9.4 mol %. The complete solid solution series Fe-tetrahedrite-Zn-tetrahedrite-Fe-tennantite-Zn-tennantite reflected in Sb/(Sb + As) and Fe/(Fe + Zn) ratios ranging from 0 to 0.97 and from 0.07 to 1.00, respectively, with a predominant negative relationship between these ratios has been identified for the first time at the deposit. Stepped, oscillatory, and combined stepped-oscillatory growth zonings within fahlore grains and heterogeneous aggregates of fahlore have been found. Fahlore is enriched in As with respect to Sb, and Zn-tetrahedrite is followed by Fe- and Zn-tennantite from early to late generation; Zn-tetrahedrite is followed by Fe-tennantite in zoned grains and overgrown rims; sphalerite crystallized at decreased temperature and sulfur fugacity. The evolution of the chemical composition of fahlores was caused by the evolving temperature, fluid salinity, and conditions of metal migration.

  7. Optimization of chemical displacement deposition of copper on porous silicon.

    Science.gov (United States)

    Bandarenka, Hanna; Redko, Sergey; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly

    2012-11-01

    Copper (II) sulfate was used as a source of copper to achieve uniform distribution of Cu particles deposited on porous silicon. Layers of the porous silicon were formed by electrochemical anodization of Si wafers in a mixture of HF, C3H7OH and deionized water. The well-known chemical displacement technique was modified to grow the copper particles of specific sizes. SEM and XRD analysis revealed that the outer surface of the porous silicon was covered with copper particles of the crystal orientation inherited from the planes of porous silicon skeleton. The copper crystals were found to have the cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were identified. The dimensions of Cu particles were determined by the Feret's analysis of the SEM images. The sizes of the particles varied widely from a few to hundreds of nanometers. A phenomenological model of copper deposition was proposed.

  8. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  9. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  10. Thin film composites in the BiFeO3–Bi4Ti3O12 system obtained by an aqueous solution-gel deposition methodology

    Directory of Open Access Journals (Sweden)

    Carlos Gumiel

    2018-01-01

    Full Text Available Thin film multiferroic composites, with a high quantity of interfaces between the different materials, represent a more feasible alternative to single phase systems in which the multifunctional response is usually hampered due to intrinsic physical constraints. Nowadays some of these composites can be produced by applying deposition techniques such as PLD, CVD, MBE or the like, which allow a high degree of crystallographic control. However, despite their effectiveness, all these techniques also involve a high consumption of energy in terms of temperature and/or vacuum. Within this frame, the present contribution proposes a sustainable chemical solution deposition process to prepare thin films of the multiferroic BiFeO3–Bi4Ti3O12 composite system. More specifically an aqueous solution-gel plus spin-coating methodology is employed which also avoids the organic solvents typically used in a conventional sol–gel method, so further keeping an eye on the environmentally friendly conditions. Attempts are conducted that demonstrate how by systematically controlling the processing parameters it is possible to obtain thin film composites with a promising 3-3 type connectivity at temperatures as low as 600 °C.

  11. Thin film composites in the BiFeO3–Bi4Ti3O12 system obtained by an aqueous solution-gel deposition methodology

    International Nuclear Information System (INIS)

    Gumiel, C.; Vranken, T.; Bernardo, M.S.; Jardiel, T.; Hardy, A.; Van Bael, M.K.; Peiteado, M.

    2018-01-01

    Thin film multiferroic composites, with a high quantity of interfaces between the different materials, represent a more feasible alternative to single phase systems in which the multifunctional response is usually hampered due to intrinsic physical constraints. Nowadays some of these composites can be produced by applying deposition techniques such as PLD, CVD, MBE or the like, which allow a high degree of crystallographic control. However, despite their effectiveness, all these techniques also involve a high consumption of energy in terms of temperature and/or vacuum. Within this frame, the present contribution proposes a sustainable chemical solution deposition process to prepare thin films of the multiferroic BiFeO3–Bi4Ti3O12 composite system. More specifically an aqueous solution-gel plus spin-coating methodology is employed which also avoids the organic solvents typically used in a conventional sol–gel method, so further keeping an eye on the environmentally friendly conditions. Attempts are conducted that demonstrate how by systematically controlling the processing parameters it is possible to obtain thin film composites with a promising 3-3 type connectivity at temperatures as low as 600°C. [es

  12. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  13. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  14. Deposition of highly oriented (K,Na)NbO3 films on flexible metal substrates

    DEFF Research Database (Denmark)

    Grivel, Jean-Claude; Thydén, Karl; Bowen, Jacob R.

    2018-01-01

    In view of developing flexible, highly textured Pb-free piezoelectric thin films, (K,Na)NbO3 was deposited by chemical solution deposition on cube-textured Ni-W alloy substrates. After heat treatment, a strong (001)pc out-of-plane preferential orientation is created in the (K,Na)NbO3 layer, which...

  15. Uranium solution mining cost estimating technique: means for rapid comparative analysis of deposits

    International Nuclear Information System (INIS)

    Anon.

    1978-01-01

    Twelve graphs provide a technique for determining relative cost ranges for uranium solution mining projects. The use of the technique can provide a consistent framework for rapid comparative analysis of various properties of mining situations. The technique is also useful to determine the sensitivities of cost figures to incremental changes in mining factors or deposit characteristics

  16. Facile Deposition of Ultrafine Silver Particles on Silicon Surface Not Submerged in Precursor Solutions for Applications in Antireflective Layer

    Directory of Open Access Journals (Sweden)

    Bing Jiang

    2014-01-01

    Full Text Available Using a facile deposition method, the ultrafine silver particles are successfully deposited on the Si surface that is not submerged in precursor solutions. The ultrafine silver particles have many advantages, such as quasiround shape, uniformity in size, monodisperse distribution, and reduction of agglomeration. The internal physical procedure in the deposition is also investigated. The results show that there are more particles on the rough Si surface due to the wetting effect of solid-liquid interface. The higher concentration of ethanol solvent can induce the increase of quantity and size of particles on Si surface not in solutions. The ultrafine particles can be used to prepare porous Si antireflective layer in solar cell applications.

  17. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  18. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  19. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  20. Highly sensitive methanol chemical sensor based on undoped silver oxide nanoparticles prepared by a solution method

    International Nuclear Information System (INIS)

    Rahman, M.M.; Khan, S.B.; Asiri, A.M.; Jamal, A.; Faisal, M.

    2012-01-01

    We have prepared silver oxide nanoparticles (NPs) by a simple solution method using reducing agents in alkaline medium. The resulting NPs were characterized by UV-vis and FT-IR spectroscopy, X-ray powder diffraction, and field-emission scanning electron microscopy. They were deposited on a glassy carbon electrode to give a sensor with a fast response towards methanol in liquid phase. The sensor also displays good sensitivity and long-term stability, and enhanced electrochemical response. The calibration plot is linear (r 2 = 0.8294) over the 0.12 mM to 0.12 M methanol concentration range. The sensitivity is ∼ 2.65 μAcm -2 mM -1 , and the detection limit is 36.0 μM (at a SNR of 3). We also discuss possible future prospective uses of this metal oxide semiconductor nanomaterial in terms of chemical sensing. (author)

  1. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  2. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  3. Characteristics of zinc oxide nanorod array/titanium oxide film heterojunction prepared by aqueous solution deposition

    Science.gov (United States)

    Lee, Ming-Kwei; Hong, Min-Hsuan; Li, Bo-Wei

    2016-07-01

    The characteristics of a ZnO nanorod array/TiO2 film heterojunction were investigated. A TiO2 film was prepared on glass by aqueous solution deposition with precursors of ammonium hexafluorotitanate and boric acid at 40 °C. Then, a ZnO seed layer was prepared on a TiO2 film/glass substrate by RF sputtering. A vertically oriented ZnO nanorod array was grown on a ZnO seed layer/TiO2 film/glass substrate by aqueous solution deposition with precursors of zinc nitrate and hexamethylenetetramine (HMT) at 70 °C. After thermal annealing in N2O ambient at 300 °C, this heterojunction used as an oxygen gas sensor shows much better rise time, decay time, and on/off current ratio than as-grown and annealed ZnO nanorods.

  4. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  5. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  6. Synthesis of V-doped TiO{sub 2} films by chemical bath deposition and the effect of post-annealing on their properties

    Energy Technology Data Exchange (ETDEWEB)

    Shopova-Gospodinova, Denitsa [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Jeurgens, Lars P.H.; Welzel, Udo [Max-Planck-Institut fuer Intelligente Systeme (formerly MPI for Metals Research), Department Mittemeijer, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Bauermann, Luciana Pitta; Hoffmann, Rudolf C. [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany); Bill, Joachim, E-mail: mwishopova@imw.uni-stuttgart.de [Institut fuer Materialwissenschaft, Universitaet Stuttgart, Heisenbergstrasse 3, D-70569 Stuttgart (Germany)

    2012-07-01

    Amorphous composite films, composed of a Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase and a V{sub 2}O{sub 5} phase, were produced by chemical bath deposition and subsequently air-annealed at various temperatures up to 550 Degree-Sign C. The microstructure and chemical composition of the as-prepared and annealed films were investigated by a combinatorial experimental approach using Scanning electron microscopy, X-ray powder diffraction and X-ray photoelectron spectroscopy. Ultraviolet-Visible Spectrometry was applied to determine the optical band gap of the as-prepared and annealed films. It followed that the incorporation of vanadium in the as-deposited films reduces the optical band gap of TiO{sub 2} from about 3.8 eV to 3.2 eV. Annealing of the films up to 350 Degree-Sign C leads to slight increase of band gap, as attributed to a reduction of the defect density in the initially amorphous oxide films due to the gradual development of long-range order and a concurrent reduction of the V{sup 4+}-dopant concentration in the Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase. The films crystallized upon annealing in air at 550 Degree-Sign C, which resulted in drastic changes of the phase constitution, optical absorbance and surface morphology. Due to the lower solubility of V{sup 4+} in crystalline TiO{sub 2}, V{sup 4+} segregates out of the crystallizing Ti{sub 1-x}V{sub x}O{sub 2} solid-solution phase, forming crystalline V{sub 2}O{sub 5} at the film surface. - Highlights: Black-Right-Pointing-Pointer Incorporation of vanadium in TiO2 thin film reduces its optical band gap. Black-Right-Pointing-Pointer Amorphous V-doped TiO2 and TiO2-V2O5 composite films were air-annealed up to 550 Masculine-Ordinal-Indicator C. Black-Right-Pointing-Pointer Annealing of the films up to 350 Degree-Sign C leads to slight increase of the band gap.

  7. Importance of deposit information in the design and execution of steam generator chemical cleaning

    International Nuclear Information System (INIS)

    Flores, O.; Remark, J.

    1997-01-01

    During the planning stages of the chemical cleaning of the San Onofre Nuclear Generating Station (SONGS) units 2 and 3 steam generators, it was determined that an understanding of the steam generator deposit loading and composition was essential to the design and success of the project. It was also determined that qualification testing, preferably with actual deposits from the SONGS steam generators, was also essential. SONGS units 2 and 3 have Combustion Engineering (CE)-designed pressurized water reactors. Each unit has two CE model 3410 steam generators. Each steam generator has 9350 alloy 600 tubes with 1.9-cm (3/4 in.) outside diameter. Unit 2 began commercial operation in 1983, and unit 3, in 1984. The purpose of this technical paper is to explain the effort and methodology for deposit composition, characterization, and quantification. In addition, the deposit qualification testing and design of the cleaning are discussed

  8. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  9. Impurities in chromium deposits produced by electroplating and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dini, J.W.

    1994-05-01

    Impurity contents in electrodeposited (hexavalent and trivalent) chromium deposits and physically vapor deposited (thermal evaporation, electron beam evaporation and rf-sputtering) were compared. Oxygen is the key impurity obtained in electrodeposited films but it can be minimized in hexavalent plating solutions by operating at high temperature, e. g., 85 C. Electrodeposits produced in trivalent chromium plating solutions and physically vapor deposited films have much higher oxygen contents than electrodeposits produced in hexavalent chromium solutions operated at temperatures around 85 C. Depending on the target material used for physically vapor deposited films, these films can also have high amounts of other impurities.

  10. NASA evaluation of Type 2 chemical depositions. [effects of deicer deposition on aircraft tire friction performance

    Science.gov (United States)

    Yager, Thomas J.; Stubbs, Sandy M.; Howell, W. Edward; Webb, Granville L.

    1993-01-01

    Recent findings from NASA Langley tests to define effects of aircraft Type 2 chemical deicer depositions on aircraft tire friction performance are summarized. The Aircraft Landing Dynamics Facility (ALDF) is described together with the scope of the tire cornering and braking friction tests conducted up to 160 knots ground speed. Some lower speed 32 - 96 km/hr (20 - 60 mph) test run data obtained using an Instrumented Tire Test Vehicle (ITTV) to determine effects of tire bearing pressure and transverse grooving on cornering friction performance are also discussed. Recommendations are made concerning which parameters should be evaluated in future testing.

  11. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  12. Solution-deposited CIGS thin films for ultra-low-cost photovoltaics

    Science.gov (United States)

    Eldada, Louay A.; Hersh, Peter; Stanbery, Billy J.

    2010-09-01

    We describe the production of photovoltaic modules with high-quality large-grain copper indium gallium selenide (CIGS) thin films obtained with the unique combination of low-cost ink-based precursors and a reactive transfer printing method. The proprietary metal-organic inks contain a variety of soluble Cu-, In- and Ga- multinary selenide materials; they are called metal-organic decomposition (MOD) precursors, as they are designed to decompose into the desired precursors. Reactive transfer is a two-stage process that produces CIGS through the chemical reaction between two separate precursor films, one deposited on the substrate and the other on a printing plate in the first stage. In the second stage, these precursors are rapidly reacted together under pressure in the presence of heat. The use of two independent thin films provides the benefits of independent composition and flexible deposition technique optimization, and eliminates pre-reaction prior to the synthesis of CIGS. In a few minutes, the process produces high quality CIGS films, with large grains on the order of several microns, and preferred crystallographic orientation, as confirmed by compositional and structural analysis by XRF, SIMS, SEM and XRD. Cell efficiencies of 14% and module efficiencies of 12% were achieved using this method. The atmospheric deposition processes include slot die extrusion coating, ultrasonic atomization spraying, pneumatic atomization spraying, inkjet printing, direct writing, and screen printing, and provide low capital equipment cost, low thermal budget, and high throughput.

  13. Improved growth of solution-deposited thin films on polycrystalline Cu(In,Ga)Se{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), 70565, Stuttgart (Germany); Abou-Ras, Daniel [Helmholtz-Zentrum Berlin fuer Materialien und Energie, 14109, Berlin (Germany)

    2016-04-15

    CdS and Zn(O,S) grown by chemical bath deposition (CBD) are well established buffer materials for Cu(In,Ga)Se{sub 2} (CIGS) solar cells. As recently reported, a non-contiguous coverage of CBD buffers on CIGS grains with {112} surfaces can be detected, which was explained in terms of low surface energies of the {112} facets, leading to deteriorated wetting of the chemical solution on the CIGS surface. In the present contribution, we report on the effect of air annealing of CIGS thin films prior to the CBD of CdS and Zn(O,S) layers. In contrast to the growth on the as-grown CIGS layers, these buffer lay- ers grow densely on the annealed CIGS layer, even on grains with {112} surfaces. We explain the different growth behavior by increased surface energies of CIGS grains due to the annealing step, i.e., due to oxidation of the CIGS surface. Reference solar cells were processed and completed by i-ZnO/ZnO:Al layers for CdS and by (Zn,Mg)O/ZnO:Al for Zn(O,S) buffers. For solar cells with both, CdS and Zn(O,S) buffers, air-annealed CIGS films with improved buffer coverage resulted in higher power-conversion efficiencies, as compared with the devices containing as-grown CIGS layers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  14. The response of soil solution chemistry in European forests to decreasing acid deposition.

    Science.gov (United States)

    Johnson, James; Graf Pannatier, Elisabeth; Carnicelli, Stefano; Cecchini, Guia; Clarke, Nicholas; Cools, Nathalie; Hansen, Karin; Meesenburg, Henning; Nieminen, Tiina M; Pihl-Karlsson, Gunilla; Titeux, Hugues; Vanguelova, Elena; Verstraeten, Arne; Vesterdal, Lars; Waldner, Peter; Jonard, Mathieu

    2018-03-31

    Acid deposition arising from sulphur (S) and nitrogen (N) emissions from fossil fuel combustion and agriculture has contributed to the acidification of terrestrial ecosystems in many regions globally. However, in Europe and North America, S deposition has greatly decreased in recent decades due to emissions controls. In this study, we assessed the response of soil solution chemistry in mineral horizons of European forests to these changes. Trends in pH, acid neutralizing capacity (ANC), major ions, total aluminium (Al tot ) and dissolved organic carbon were determined for the period 1995-2012. Plots with at least 10 years of observations from the ICP Forests monitoring network were used. Trends were assessed for the upper mineral soil (10-20 cm, 104 plots) and subsoil (40-80 cm, 162 plots). There was a large decrease in the concentration of sulphate (SO42-) in soil solution; over a 10-year period (2000-2010), SO42- decreased by 52% at 10-20 cm and 40% at 40-80 cm. Nitrate was unchanged at 10-20 cm but decreased at 40-80 cm. The decrease in acid anions was accompanied by a large and significant decrease in the concentration of the nutrient base cations: calcium, magnesium and potassium (Bc = Ca 2+  + Mg 2+  + K + ) and Al tot over the entire dataset. The response of soil solution acidity was nonuniform. At 10-20 cm, ANC increased in acid-sensitive soils (base saturation ≤10%) indicating a recovery, but ANC decreased in soils with base saturation >10%. At 40-80 cm, ANC remained unchanged in acid-sensitive soils (base saturation ≤20%, pHCaCl2 ≤ 4.5) and decreased in better-buffered soils (base saturation >20%, pHCaCl2 > 4.5). In addition, the molar ratio of Bc to Al tot either did not change or decreased. The results suggest a long-time lag between emission abatement and changes in soil solution acidity and underline the importance of long-term monitoring in evaluating ecosystem response to decreases in deposition. © 2018 John Wiley & Sons

  15. Chemical fluxes in time through forest ecosystems in the UK - Soil response to pollution recovery

    International Nuclear Information System (INIS)

    Vanguelova, E.I.; Benham, S.; Pitman, R.; Moffat, A.J.; Broadmeadow, M.; Nisbet, T.; Durrant, D.; Barsoum, N.; Wilkinson, M.; Bochereau, F.; Hutchings, T.; Broadmeadow, S.; Crow, P.; Taylor, P.; Durrant Houston, T.

    2010-01-01

    Long term trend analysis of bulk precipitation, throughfall and soil solution elemental fluxes from 12 years monitoring at 10 ICP Level II forest sites in the UK reveal coherent national chemical trends indicating recovery from sulphur deposition and acidification. Soil solution pH increased and sulphate and aluminium decreased at most sites. Trends in nitrogen were variable and dependant on its form. Dissolved organic nitrogen increased in bulk precipitation, throughfall and soil solution at most sites. Nitrate in soil solution declined at sites receiving high nitrogen deposition. Increase in soil dissolved organic carbon was detected - a response to pollution recovery, changes in soil temperature and/or increased microbial activity. An increase of sodium and chloride was evident - a possible result of more frequent storm events at exposed sites. The intensive and integrated nature of monitoring enables the relationships between climate/pollutant exposure and chemical/biological response in forestry to be explored. - Forest soils are recovering from acid and sulphur pollution in the UK, but soil responses to nitrogen deposition and climatic changes are still uncertain.

  16. Chemical fluxes in time through forest ecosystems in the UK - Soil response to pollution recovery

    Energy Technology Data Exchange (ETDEWEB)

    Vanguelova, E.I., E-mail: elena.vanguelova@forestry.gsi.gov.u [Centre of Forestry and Climate Change, Forest Research, Alice Holt Lodge, Farnham, Surrey GU10 4LH (United Kingdom); Benham, S.; Pitman, R.; Moffat, A.J. [Centre of Forestry and Climate Change, Forest Research, Alice Holt Lodge, Farnham, Surrey GU10 4LH (United Kingdom); Broadmeadow, M. [Forestry Commission, England, Alice Holt, Farnham, Surrey GU10 4LH (United Kingdom); Nisbet, T.; Durrant, D.; Barsoum, N.; Wilkinson, M.; Bochereau, F.; Hutchings, T.; Broadmeadow, S.; Crow, P.; Taylor, P. [Centre of Forestry and Climate Change, Forest Research, Alice Holt Lodge, Farnham, Surrey GU10 4LH (United Kingdom); Durrant Houston, T. [DG Joint Research Centre - European Commission, Institute for Environment and Sustainability, Land Management and Natural Hazards Unit - TP 261, Ispra, I-21027 (Italy)

    2010-05-15

    Long term trend analysis of bulk precipitation, throughfall and soil solution elemental fluxes from 12 years monitoring at 10 ICP Level II forest sites in the UK reveal coherent national chemical trends indicating recovery from sulphur deposition and acidification. Soil solution pH increased and sulphate and aluminium decreased at most sites. Trends in nitrogen were variable and dependant on its form. Dissolved organic nitrogen increased in bulk precipitation, throughfall and soil solution at most sites. Nitrate in soil solution declined at sites receiving high nitrogen deposition. Increase in soil dissolved organic carbon was detected - a response to pollution recovery, changes in soil temperature and/or increased microbial activity. An increase of sodium and chloride was evident - a possible result of more frequent storm events at exposed sites. The intensive and integrated nature of monitoring enables the relationships between climate/pollutant exposure and chemical/biological response in forestry to be explored. - Forest soils are recovering from acid and sulphur pollution in the UK, but soil responses to nitrogen deposition and climatic changes are still uncertain.

  17. Preparation of nanocrystalline ZnS by a new chemical bath deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Sartale, S.D. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Sankapal, B.R. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Lux-Steiner, M. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Ennaoui, A. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany)]. E-mail: ennaoui@hmi.de

    2005-06-01

    We report a new chemical bath deposition route for the preparation of dense, compact and uniform nanocrystalline ZnS thin films, where thiourea acts as a complexing agent as well as a source of sulfide ions. The structural and morphological characterizations suggest that the film and the residual powder in the bath are formed by the aggregation of clusters of ZnS, namely cluster-by-cluster growth mechanism. X-ray diffraction (XRD) and HRTEM analyses indicate that the film and powder formed in the bath have cubic zinkblende structure. The films have high transmittance of about 75% in the visible region. Post-deposition annealing in Ar slightly improves the crystallinity and decreases the optical bandgap with increasing the annealing temperature.

  18. Preparation of nanocrystalline ZnS by a new chemical bath deposition route

    International Nuclear Information System (INIS)

    Sartale, S.D.; Sankapal, B.R.; Lux-Steiner, M.; Ennaoui, A.

    2005-01-01

    We report a new chemical bath deposition route for the preparation of dense, compact and uniform nanocrystalline ZnS thin films, where thiourea acts as a complexing agent as well as a source of sulfide ions. The structural and morphological characterizations suggest that the film and the residual powder in the bath are formed by the aggregation of clusters of ZnS, namely cluster-by-cluster growth mechanism. X-ray diffraction (XRD) and HRTEM analyses indicate that the film and powder formed in the bath have cubic zinkblende structure. The films have high transmittance of about 75% in the visible region. Post-deposition annealing in Ar slightly improves the crystallinity and decreases the optical bandgap with increasing the annealing temperature

  19. Development of a suppression method for deposition of radioactive cobalt after chemical decontamination: Confirmation of the Suppression Mechanism with Preoxidized Ferrite Film for Deposition of Radioactive Cobalt

    International Nuclear Information System (INIS)

    Ito, Tsuyoshi; Hosokawa, Hideyuki; Nagase, Makoto; Aizawa, Motohiro; Fuse, Motomasa

    2012-09-01

    Recently, chemical decontamination at the beginning of a periodical inspection is applied to many Japanese boiling water reactor (BWR) plants in order to reduce radiation exposure. In the chemical decontamination, the oxides that have incorporated 60 Co are dissolved using reductive and oxidative chemical reagents. Some of the piping stainless steel (SS) base metal is exposed to the reactor water after this decontamination. The oxide film growth rate of the piping during plant operation just after the decontamination is higher than that just before it. Therefore, there is a possibility that the deposition amount of 60 Co on the piping just after decontamination is higher than that just before the chemical decontamination. The Hi-F Coat (Hitachi ferrite coating) process has been developed to lower recontamination after the chemical decontamination. In this process, a fine Fe 3 O 4 coating film is formed on the piping SS base metal in aqueous solution at 363 K using three chemical reagents: ferrous ion, oxidant, and pH adjuster. The growth rate of the corrosion oxide film that incorporated 60 Co on the piping during plant operation is suppressed by the fine ferrite film that blocks both diffusion of oxidant in the reactor water to the SS base metal and metal ions in the oxide film to the reactor water. As a result, the amount of 60 Co deposition is suppressed by the Hi-F coating film. In a previous report, we found that the Hi-F Coat process lowered the amount of 60 Co to 1/3 that for non-coated specimens. To improve the suppression of 60 Co deposition further, we combined the Hi-F Coat process with a pre-oxidation step which we named the pre-oxidized Hi-F Coat process. In laboratory experiments, using the pre-oxidized Hi-F Coat process we found the deposited amount of 60 Co was 1/10 that for non-coated specimens. By combining the Hi-F Coat process with the pre-oxidation step, the suppression effect of 60 Co deposition was three times higher than that of the Hi

  20. Electrochromic and electrochemical capacitive properties of tungsten oxide and its polyaniline nanocomposite films obtained by chemical bath deposition method

    CSIR Research Space (South Africa)

    Nwanya, AC

    2014-05-01

    Full Text Available Polyanine and its nanocomposite WO3/PANI films were deposited on fluorine doped tin oxide (FTO) glassslides by simple chemical bath deposition method. The morphology structure of the composite film wasstudied using atomic force microscopy (AFM...