WorldWideScience

Sample records for chemical liquid deposition

  1. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  2. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  3. Laser-induced chemical liquid deposition of discontinuous and continuous copper films

    Czech Academy of Sciences Publication Activity Database

    Ouchi, A.; Bastl, Zdeněk; Boháček, Jaroslav; Šubrt, Jan; Pola, Josef

    2007-01-01

    Roč. 201, č. 8 (2007), s. 4728-4733 ISSN 0257-8972 R&D Projects: GA AV ČR 1ET400400413 Institutional research plan: CEZ:AV0Z40400503; CEZ:AV0Z40320502; CEZ:AV0Z40720504 Keywords : copper films * laser photolysis * Cu(II) acetylacetonate * chemical liquid deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 1.678, year: 2007

  4. Liquid assisted plasma enhanced chemical vapour deposition with a non-thermal plasma jet at atmospheric pressure

    Czech Academy of Sciences Publication Activity Database

    Schäfer, J.; Fricke, K.; Mika, Filip; Pokorná, Zuzana; Zajíčková, L.; Foest, R.

    2017-01-01

    Roč. 630, MAY 30 (2017), s. 71-78 ISSN 0040-6090 R&D Projects: GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : plasma jet * liquid assisted plasma enhanced chemical * vapour deposition * silicon oxide Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Coating and films Impact factor: 1.879, year: 2016

  5. Catalytic conversion of biomass pyrolysis-derived compounds with chemical liquid deposition (CLD) modified ZSM-5.

    Science.gov (United States)

    Zhang, Huiyan; Luo, Mengmeng; Xiao, Rui; Shao, Shanshan; Jin, Baosheng; Xiao, Guomin; Zhao, Ming; Liang, Junyu

    2014-03-01

    Chemical liquid deposition (CLD) with KH550, TEOS and methyl silicone oil as the modifiers was used to modify ZSM-5 and deposit its external acid sites. The characteristics of modified catalysts were tested by catalytic conversion of biomass pyrolysis-derived compounds. The effects of different modifying conditions (deposited amount, temperature, and time) on the product yields and selectivities were investigated. The results show KH550 modified ZSM-5 (deposited amount of 4%, temperature of 20°C and time of 6h) produced the maximum yields of aromatics (24.5%) and olefins (16.5%), which are much higher than that obtained with original ZSM-5 catalyst (18.8% aromatics and 9.8% olefins). The coke yield decreased from 44.1% with original ZSM-5 to 26.7% with KH550 modified ZSM-5. The selectivities of low-molecule-weight hydrocarbons (ethylene and benzene) decreased, while that of higher molecule-weight hydrocarbons (propylene, butylene, toluene, and naphthalene) increased comparing with original ZSM-5. Copyright © 2013 Elsevier Ltd. All rights reserved.

  6. Evaporation of liquids on chemically patterned surfaces

    NARCIS (Netherlands)

    Vieyra Salas, J.A.; Darhuber, A.A.

    2011-01-01

    We studied evaporation rates of volatile liquids deposited onto chemically patterned surfaces by means of experiments and numerical simulations. We quantified the influence of the droplet geometry, in particular circular, triangular, rectangular and square shapes, as well as the influence of contact

  7. Ballistic transport in graphene grown by chemical vapor deposition

    NARCIS (Netherlands)

    Calado, V.E.; Zhu, S.E.; Goswami, S.; Xu, Q.; Watanabe, K.; Taniguchi, T.; Janssen, G.C.A.M.; Vandersypen, L.M.K.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be

  8. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  9. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  10. Processing method for chemical cleaning liquid on the secondary side of steam generator

    International Nuclear Information System (INIS)

    Nishihara, Yukio; Inagaki, Yuzo.

    1993-01-01

    Upon processing nitrilotriacetate (NTA), Fe liquid wastes mainly comprising Fe and Cu liquid wastes mainly comprising ethylene diamine and Cu generated upon chemical cleaning on the secondary side of a steam generator, pH of the Fe liquid wastes is lowered to deposit and separate NTA. Then, Fe ions in a filtrates are deposited on a cathode by electrolysis, as well as remaining NTA is decomposed by oxidation at an anode by O 2 gas. Cu liquid wastes are reacted with naphthalene disulfate and Ba ions and the reaction products are separated by deposition as sludges. Remaining Cu ions in the filtrates are deposited on the cathode by electrolysis. With such procedures, concentration of COD(NTA), Fe ions and Cu ions can greatly be reduced. Further, since capacity of the device can easily be increased in this method, a great amount of liquid wastes can be processed in a relatively short period of time. (T.M.)

  11. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  12. Dry transfer of chemical-vapor-deposition-grown graphene onto liquid-sensitive surfaces for tunnel junction applications

    International Nuclear Information System (INIS)

    Feng, Ying; Chen, Ke

    2015-01-01

    We report a dry transfer method that can tranfer chemical vapor deposition (CVD) grown graphene onto liquid-sensitive surfaces. The graphene grown on copper (Cu) foil substrate was first transferred onto a freestanding 4 μm thick sputtered Cu film using the conventional wet transfer process, followed by a dry transfer process onto the target surface using a polydimethylsiloxane stamp. The dry-transferred graphene has similar properties to traditional wet-transferred graphene, characterized by scanning electron microscopy, atomic force microscopy, Raman spectroscopy, and electrical transport measurements. It has a sheet resistance of 1.6 ∼ 3.4 kΩ/□, hole density of (4.1 ∼ 5.3) × 10 12 cm −2 , and hole mobility of 460 ∼ 760 cm 2 V −1 s −1 without doping at room temperature. The results suggest that large-scale CVD-grown graphene can be transferred with good quality and without contaminating the target surface by any liquid. Mg/MgO/graphene tunnel junctions were fabricated using this transfer method. The junctions show good tunneling characteristics, which demonstrates the transfer technique can also be used to fabricate graphene devices on liquid-sensitive surfaces. (paper)

  13. Effect of a novel amphipathic ionic liquid on lithium deposition in gel polymer electrolytes

    International Nuclear Information System (INIS)

    Choi, Nam-Soon; Koo, Bonjae; Yeon, Jin-Tak; Lee, Kyu Tae; Kim, Dong-Won

    2011-01-01

    Highlights: · Synthesis of a dimeric ionic liquid. · Gel polymer electrolytes providing uniform lithium deposit pathway. · An amphipathic ionic liquid locates at the interface between an electrolyte-rich phase and a polymer matrix in a gel polymer electrolyte. · The presence of PDMITFSI ionic liquid leads to the suppression of dendritic lithium formation on a lithium metal electrode. - Abstract: A novel dimeric ionic liquid based on imidazolium cation and bis(trifluoromethanesulfonyl) imide (TFSI) anion has been synthesized through a metathesis reaction. Its chemical shift values and thermal properties are identified via 1 H nuclear magnetic resonance (NMR) imaging and differential scanning calorimetry (DSC). The effect of the synthesized dimeric ionic liquid on the interfacial resistance of gel polymer electrolytes is described. Differences in the SEM images of lithium electrodes after lithium deposition with and without the 1,1'-pentyl-bis(2,3-dimethylimidazolium) bis(trifluoromethane-sulfonyl)imide (PDMITFSI) ionic liquid in gel polymer electrolytes are clearly discernible. This occurs because the PDMITFSI ionic liquid with hydrophobic moieties and polar groups modulates lithium deposit pathways onto the lithium metal anode. Moreover, high anodic stability for a gel polymer electrolyte with the PDMITFSI ionic liquid was clearly observed.

  14. Silicon deposition in nanopores using a liquid precursor

    Science.gov (United States)

    Masuda, Takashi; Tatsuda, Narihito; Yano, Kazuhisa; Shimoda, Tatsuya

    2016-11-01

    Techniques for depositing silicon into nanosized spaces are vital for the further scaling down of next-generation devices in the semiconductor industry. In this study, we filled silicon into 3.5-nm-diameter nanopores with an aspect ratio of 70 by exploiting thermodynamic behaviour based on the van der Waals energy of vaporized cyclopentasilane (CPS). We originally synthesized CPS as a liquid precursor for semiconducting silicon. Here we used CPS as a gas source in thermal chemical vapour deposition under atmospheric pressure because vaporized CPS can fill nanopores spontaneously. Our estimation of the free energy of CPS based on Lifshitz van der Waals theory clarified the filling mechanism, where CPS vapour in the nanopores readily undergoes capillary condensation because of its large molar volume compared to those of other vapours such as water, toluene, silane, and disilane. Consequently, a liquid-specific feature was observed during the deposition process; specifically, condensed CPS penetrated into the nanopores spontaneously via capillary force. The CPS that filled the nanopores was then transformed into solid silicon by thermal decomposition at 400 °C. The developed method is expected to be used as a nanoscale silicon filling technology, which is critical for the fabrication of future quantum scale silicon devices.

  15. Synthesis of diamond films by pulsed liquid injection chemical vapor deposition using a mixture of acetone and water as precursor

    International Nuclear Information System (INIS)

    Apatiga, L.M.; Morales, J.

    2009-01-01

    A chemical vapor deposition reactor based on the flash evaporation of an organic liquid precursor was used to grow diamond films on Si substrates. An effective pulsed liquid injection mechanism consisting of an injector, normally used for fuel injection in internal combustion engines, injects micro-doses of the precursor to the evaporation zone at 280 o C and is instantly evaporated. The resulting vapor mixture is transported by a carrier gas to the high-temperature reaction chamber where the diamond nucleates and grows on the substrate surface at temperatures ranging from 750 to 850 o C. The injection frequency, opening time, number of pulses and other injector parameters are controlled by a computer-driven system. The diamond film morphology and structure were characterized by scanning electron microscopy and Raman spectroscopy. The as-deposited diamond films show a ball-shaped morphology with a grain size that varies from 100 to 400 nm, as well as the characteristic diamond Raman band at 1332 cm -1 . The effects of the experimental parameters and operation principle on the diamond films quality are analyzed and discussed in terms of crystallinity, composition, structure, and morphology.

  16. Quantifying Chemical and Electrochemical Reactions in Liquids by in situ Electron Microscopy

    DEFF Research Database (Denmark)

    Canepa, Silvia

    and developing a robust imaging analysis method for quantitatively understand chemical and electrochemical process during in situ liquid electron microscopy. By using two custom-made liquid cells (an electrochemical scanning electron microscopy (EC-SEM) platform and Liquid Flow S/TEM holder) beam...... of electrochemical deposition of copper (Cu) by electrochemical liquid scanning electron microscopy (EC-SEM) was done in order to direct observe the formation of dendritic structures. Finally the shape evolution from solid to hollow structures through galvanic replacement reactions were observed for different silver...

  17. Physically and chemically stable ionic liquid-infused textured surfaces showing excellent dynamic omniphobicity

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, Daniel F.; Urata, Chihiro; Masheder, Benjamin; Dunderdale, Gary J.; Hozumi, Atsushi, E-mail: a.hozumi@aist.go.jp [National Institute of Advanced Industrial Science and Technology (AIST), 2266-98, Anagahora, Shimo-Shidami, Moriyama-ku, Nagoya, Aichi 463-8560 (Japan); Yagihashi, Makoto [Nagoya Municipal Industrial Research Institute, Rokuban, Atsuta-ku, Nagoya 456-0058 (Japan)

    2014-05-01

    A fluorinated and hydrophobic ionic liquid (IL), 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl) imide, effectively served as an advantageous lubricating liquid for the preparation of physically and chemically stable omniphobic surfaces based on slippery liquid-infused porous surfaces. Here, we used particulate microstructures as supports, prepared by the chemical vapor deposition of 1,3,5,7-tetramethylcyclotetrasiloxane and subsequent surface modification with (3-aminopropyl)triethoxysilane. Confirmed by SEM and contact angle measurements, the resulting IL-infused microtextured surfaces are smooth and not only water but also various low surface tension liquids can easily slide off at low substrate tilt angles of <5°, even after exposure to high temperature, vacuum, and UV irradiation.

  18. Physically and chemically stable ionic liquid-infused textured surfaces showing excellent dynamic omniphobicity

    Directory of Open Access Journals (Sweden)

    Daniel F. Miranda

    2014-05-01

    Full Text Available A fluorinated and hydrophobic ionic liquid (IL, 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl imide, effectively served as an advantageous lubricating liquid for the preparation of physically and chemically stable omniphobic surfaces based on slippery liquid-infused porous surfaces. Here, we used particulate microstructures as supports, prepared by the chemical vapor deposition of 1,3,5,7-tetramethylcyclotetrasiloxane and subsequent surface modification with (3-aminopropyltriethoxysilane. Confirmed by SEM and contact angle measurements, the resulting IL-infused microtextured surfaces are smooth and not only water but also various low surface tension liquids can easily slide off at low substrate tilt angles of <5°, even after exposure to high temperature, vacuum, and UV irradiation.

  19. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  20. Solid on liquid deposition, a review of technological solutions

    OpenAIRE

    Homsy, Alexandra; Laux, Edith; Jeandupeux, Laure; Charmet, Jérôme; Bitterli, Roland; Botta, Chiara; Rebetez, Yves; Banakh, Oksana; Keppner, Herbert

    2015-01-01

    Solid-on-liquid deposition (SOLID) techniques are of great interest to the MEMS and NEMS (Micro- and Nano Electro Mechanical Systems) community because of potential applications in biomedical engineering, on-chip liquid trapping, tunable micro-lenses, and replacements of gate oxides. However, depositing solids on liquid with subsequent hermetic sealing is difficult because liquids tend to have a lower density than solids. Furthermore, current systems seen in nature lack thermal, mechanical or...

  1. 12 CFR 965.3 - Liquidity reserves for deposits.

    Science.gov (United States)

    2010-01-01

    ... 12 Banks and Banking 7 2010-01-01 2010-01-01 false Liquidity reserves for deposits. 965.3 Section 965.3 Banks and Banking FEDERAL HOUSING FINANCE BOARD FEDERAL HOME LOAN BANK LIABILITIES SOURCE OF FUNDS § 965.3 Liquidity reserves for deposits. Each Bank shall at all times have at least an amount...

  2. Ballistic transport in graphene grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K.; Zhu, Shou-En; Janssen, G. C. A. M.; Watanabe, K.; Taniguchi, T.

    2014-01-01

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene

  3. Ballistic transport in graphene grown by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Calado, V. E.; Goswami, S.; Xu, Q.; Vandersypen, L. M. K., E-mail: l.m.k.vandersypen@tudelft.nl [Kavli Institute of Nanoscience, Delft University of Technology, 2600 GA Delft (Netherlands); Zhu, Shou-En; Janssen, G. C. A. M. [Micro and Nano Engineering Laboratory, Precision and Microsystems Engineering, Delft University of Technology, 2628 CD Delft (Netherlands); Watanabe, K.; Taniguchi, T. [Advanced Materials Laboratory, National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan)

    2014-01-13

    In this letter, we report the observation of ballistic transport on micron length scales in graphene synthesised by chemical vapour deposition (CVD). Transport measurements were done on Hall bar geometries in a liquid He cryostat. Using non-local measurements, we show that electrons can be ballistically directed by a magnetic field (transverse magnetic focussing) over length scales of ∼1 μm. Comparison with atomic force microscope measurements suggests a correlation between the absence of wrinkles and the presence of ballistic transport in CVD graphene.

  4. Ferroelectric properties of Bi3.25Ce0.75Ti3O12 thin films prepared by a liquid source misted chemical deposition

    International Nuclear Information System (INIS)

    Jeon, M.K.; Chung, H.J.; Kim, K.W.; Oh, K.S.; Woo, S.I.

    2005-01-01

    Cerium-substituted bismuth titanate (Bi 3.25 Ce 0.75 Ti 3 O 12 (BCT)) films were deposited on the Pt(111)/SiO 2 /Si(100) substrates by a liquid source misted chemical deposition technique. This film showed X-ray diffraction patterns that crystallization along the (006) direction was suppressed and did not contain any other oxides. The remnant polarization of this film increased with increase in annealing temperature. The 2P r and 2E c values of the BCT film annealed at 700 deg. C were 19.72 μC/cm 2 and 357 kV/cm, respectively. 2P r value of this film decreased by less than 5% of the initial value after 7 x 10 9 read/write switching cycles at a frequency of 1 MHz

  5. Laser-induced chemical vapor deposition reactions

    International Nuclear Information System (INIS)

    Teslenko, V.V.

    1990-01-01

    The results of investigation of chemical reactions of deposition of different substances from the gas phase when using the energy of pulse quasicontinuous and continuous radiation of lasers in the wave length interval from 0.193 to 10.6 μm are generalized. Main attetion is paid to deposition of inorganic substances including nonmetals (C, Si, Ge and others), metals (Cu, Au, Zn, Cd, Al, Cr, Mo, W, Ni) and some simple compounds. Experimental data on the effect of laser radiation parameters and reagent nature (hydrides, halogenides, carbonyls, alkyl organometallic compounds and others) on the deposition rate and deposit composition are described in detail. Specific features of laser-chemical reactions of deposition and prospects of their application are considered

  6. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  7. Aluminium Electrodeposition from Ionic Liquid: Effect of Deposition Temperature and Sonication †

    Directory of Open Access Journals (Sweden)

    Enrico Berretti

    2016-08-01

    Full Text Available Since their discovery, ionic liquids (ILs have attracted a wide interest for their potential use as a medium for many chemical processes, in particular electrochemistry. As electrochemical media they allow the electrodeposition of elements that are impossible to reduce in aqueous media. We have investigated the electrodeposition of aluminium from 1-butyl-3-methyl-imidazolium chloride ((BmimCl/AlCl3 (40/60 mol % as concerns the effect of deposition parameters on the quality of the deposits. Thick (20 μm aluminium coatings were electrodeposited on brass substrates at different temperatures and mixing conditions (mechanical stirring and sonication. These coatings were investigated by means of scanning electron microscope, roughness measurements, and X-ray diffraction to assess the morphology and the phase composition. Finally, electrochemical corrosion tests were carried out with the intent to correlate the deposition parameters to the anti-corrosion properties.

  8. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  9. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  10. Fuel gas production by microwave plasma in liquid

    International Nuclear Information System (INIS)

    Nomura, Shinfuku; Toyota, Hiromichi; Tawara, Michinaga; Yamashita, Hiroshi; Matsumoto, Kenya

    2006-01-01

    We propose to apply plasma in liquid to replace gas-phase plasma because we expect much higher reaction rates for the chemical deposition of plasma in liquid than for chemical vapor deposition. A reactor for producing microwave plasma in a liquid could produce plasma in hydrocarbon liquids and waste oils. Generated gases consist of up to 81% hydrogen by volume. We confirmed that fuel gases such as methane and ethylene can be produced by microwave plasma in liquid

  11. Chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Arendse, CJ

    2006-02-01

    Full Text Available , effective, more versatile and easily scalable to large substrate sizes. In this paper, we present a design of the hot-wire CVD system constructed at the CSIR for the deposition of CNTs. Additionally, we will report on the structure of CNTs deposited... exhibit exceptional chemical and physical properties related to toughness, chemical inertness, magnetism, and electrical and thermal conductivity. A variety of preparation methods to synthesise CNTs are known, e.g. carbon-arc discharge, laser ablation...

  12. Liquid films and droplet deposition in a BWR fuel element

    International Nuclear Information System (INIS)

    Damsohn, M.

    2011-01-01

    In the upper part of boiling water reactors (BWR) the flow regime is dominated by a steam-water droplet flow with liquid films on the nuclear fuel rod, the so called (wispy) annular flow regime. The film thickness and liquid flow rate distribution around the fuel rod play an important role especially in regard to so called dryout, which is the main phenomenon limiting the thermal power of a fuel assembly. The deposition of droplets in the liquid film is important, because this process sustains the liquid film and delays dryout. Functional spacers with different vane shapes have been used in recent decades to enhance droplet deposition and thus create more favorable conditions for heat removal. In this thesis the behavior of liquid films and droplet deposition in the annular flow regime in BWR bundles is addressed by experiments in an adiabatic flow at nearly ambient pressure. The experimental setup consists of a vertical channel with the cross-section resembling a pair of neighboring subchannels of a fuel rod bundle. Within this double subchannel an annular flow is established with a gas-water mixture. The impact of functional spacers on the annular flow behavior is studied closely. Parameter variations comprise gas and liquid flow rates, gas density and spacer shape. The setup is instrumented with a newly developed liquid film sensor that measures the electrical conductance between electrodes flush to the wall with high temporal and spatial resolution. Advanced post-processing methods are used to investigate the dynamic behavior of liquid films and droplet deposition. The topic is also assessed numerically by means of single-phase Reynolds-Averaged-Navier-Stokes CFD simulations of the flow in the gas core. For this the commercial code STAR-CCM+ is used coupled with additional models for the liquid film distribution and droplet motion. The results of the experiments show that the liquid film is quite evenly distributed around the circumference of the fuel rods. The

  13. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  14. Electrochemical deposition of Cu and Nb from pyrrolidinium based ionic liquid

    Energy Technology Data Exchange (ETDEWEB)

    Mascia, Michele, E-mail: michele.mascia@unica.it [Dipartimento di Ingegneria Meccanica, Chimica e dei Materiali Università degli Studi di Cagliari, via Marengo 2, 09123 Cagliari (Italy); Vacca, Annalisa; Mais, Laura; Palmas, Simonetta [Dipartimento di Ingegneria Meccanica, Chimica e dei Materiali Università degli Studi di Cagliari, via Marengo 2, 09123 Cagliari (Italy); Musu, Elodia [Laboratorio Telemicroscopia Industriale, Sardegna Ricerche, Polaris, Parco Tecnologico della Sardegna, Edificio 3, Loc. Piscinamanna, 09010 Pula, CA (Italy); Delogu, Francesco [Dipartimento di Ingegneria Meccanica, Chimica e dei Materiali Università degli Studi di Cagliari, via Marengo 2, 09123 Cagliari (Italy)

    2014-11-28

    A study on the electrochemical deposition of Cu/Nb composites is presented in this work. The electrodeposition tests were performed using 1-butyl-1-methylpyrrolidinium bis (trifluoromethylsulphonyl) imide as solvent. The electrochemical behaviour of copper and niobium ions was investigated by cyclic and linear sweep voltammetries, allowing to obtain information on potentials and mechanisms of deposition. Firstly, the electrodeposition of Nb on Cu substrate and of Cu on Nb substrate was investigated; then, the deposition of Cu and Nb in dual bath mode was considered. All the experimental tests were carried out at 125 °C under inert atmosphere, in order to avoid interference of water and oxygen. According to the electrochemistry of the metals considered and based on the experimental results, the possible reaction path for the oxidation/reduction was proposed. Deposition tests were carried out at different potentials and the related samples were analysed by scanning electron microscopy and energy dispersive X-ray spectroscopy. Structural and chemical analyses indicate that the obtained deposits cover uniformly the electrode surface and exhibit individual layers with a characteristic size ranging between 50 and 100 nm. - Highlights: • Cu/Nb composites were obtained by electro-deposition from ionic liquid. • The electrochemical behaviour was studied by cyclic and linear sweep voltammetries. • Anodic dissolution of Cu and cathodic deposition of Cu, Nb and Cu/Nb were studied. • The Cu, Nb and Cu/Nb deposits were characterised through SEM and EDX analyses. • The characteristic length of the deposits ranges between 50 and 100 nm.

  15. Direct Fabrication of Carbon Nanotubes STM Tips by Liquid Catalyst-Assisted Microwave Plasma-Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Fa-Kuei Tung

    2009-01-01

    Full Text Available Direct and facile method to make carbon nanotube (CNT tips for scanning tunneling microscopy (STM is presented. Cobalt (Co particles, as catalysts, are electrochemically deposited on the apex of tungsten (W STM tip for CNT growth. It is found that the quantity of Co particles is well controlled by applied DC voltage, concentration of catalyst solution, and deposition time. Using optimum growth condition, CNTs are successfully synthesized on the tip apex by catalyst-assisted microwave-enhanced chemical vapor deposition (CA-MPECVD. A HOPG surface is clearly observed at an atomic scale using the present CNT-STM tip.

  16. Hot-wire chemical vapour deposition of carbon nanotubes

    CSIR Research Space (South Africa)

    Cummings, FR

    2006-07-01

    Full Text Available ablation of graphite, carbon-arc discharge and chemical vapour deposition (CVD). However, some of these techniques have been shown to be expensive due to high deposition temperatures and are not easily controllable. Recently hot-wire chemical vapour...

  17. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  18. Electrochemical lithiation of thin silicon based layers potentiostatically deposited from ionic liquid

    International Nuclear Information System (INIS)

    Vlaic, Codruta Aurelia; Ivanov, Svetlozar; Peipmann, Ralf; Eisenhardt, Anja; Himmerlich, Marcel; Krischok, Stefan; Bund, Andreas

    2015-01-01

    Thin silicon layers containing about 20% carbon and 20% oxygen were deposited on copper substrates by potentiostatic electroreduction from a 1 M SiCl 4 1-butyl-1-methyl-pyrrolidinium bis (trifluoromethyl) sulfonylimide [BMP][TFSI] electrolyte. The electrodeposition process was investigated by means of voltammetric techniques, coupled with in-situ microgravimetry (quartz crystal microbalance, QCM). The electrochemical and QCM data suggest a possible contribution of a partial Si 4+ to Si 2+ reduction and/or a restructuring of the metallic substrate. Considerable impact of side reactions parallel to the deposition process was indicated by QCM measurements performed under potentiostatic and potentiodynamic conditions. The deposition of silicon-based films was confirmed by energy dispersive X-ray analysis (EDX). Analysis of the chemical composition of the deposit and its elemental distribution were achieved by depth profiling X-ray photoelectron spectroscopy (XPS). The electrodeposited silicon containing layers showed stable lithiation and delithiation with capacity values of about 1200 mAhg −1 and 80% capacity retention after 300 cycles in standard EC/DMC electrolytes. In ionic liquid (IL) the material displayed lower capacity of ca. 500 mAhg −1 , which can be attributed to the higher viscosity of this electrolyte and deposition of IL decomposition products during lithiation

  19. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  20. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  1. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  2. CHEMICAL VAPOUR DEPOSITION FROM A RADIATION-SENSITIVE PRECURSOR

    DEFF Research Database (Denmark)

    2017-01-01

    The present invention relates in one aspect to a method of depositing a thin film on a substrate by chemical vapour deposition (CVD) from a radiation-sensitive precursor substance. The method comprises the steps of: (i) placing the substrate in a reaction chamber of a CVD system; (ii) heating...... heating pulse followed by an idle period; (iii) during at least one of the idle periods, providing a pressure pulse of precursor substance inside the reaction chamber by feeding at least one precursor substance to the reaction chamber so as to establish a reaction partial pressure for thin film deposition...... is formed. According to a further aspect, the invention relates to a chemical vapour deposition (CVD) system for depositing a thin film onto a substrate using precursor substances containing at least one radiation sensitive species....

  3. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  4. Electrochemical deposition of magnesium from analogous ionic liquid based on dimethylformamide

    International Nuclear Information System (INIS)

    Wang, Huaiyou; Jia, Yongzhong; Wang, Xiaohua; Yao, Ying; Yue, Duyuan; Jing, Yan

    2013-01-01

    In this paper, a homogeneous, colorless analogous ionic liquid containing dimethylformamide (DMF) and magnesium chloride hexahydrate is synthesized. The conductivity of analogous ionic liquid is measured as a function of temperature and composition. Irreversible electrochemical behavior was generally observed by cyclic voltammetry (CV) in the analogous ionic liquid based electrolytes containing simple Mg salt. CV also shows that the reducing reaction of Mg 2+ is a diffusion control process. Electrochemical impedance spectroscopy (EIS) of analogous ionic liquid was measured at 20 °C, 40 °C and 60 °C. Electrodeposition of magnesium metal from analogous ionic liquid has been studied. The Mg deposits are also systematically characterized by the techniques of powder X-ray diffraction (XRD), scanning electron microscope (SEM) and energy dispersive spectroscopy (EDS). Results showed that magnesium was found in the deposited film

  5. Acoustic sensors for the control of liquid-solid interface evolution and chemical reactivity

    International Nuclear Information System (INIS)

    Ferrandis, J.Y.; Tingry, S.; Attal, J.; Seta, P.

    2006-01-01

    Less classical than far-field acoustic investigations of solid materials and/or solid-liquid interfaces, near-field acoustic properties of an acoustic solid wave guide (tip), thin enough at its termination to present an external diameter smaller than the excitation acoustic wave wavelength, is shown to be able to probe interface properties. As a result of that, these near-field acoustic probes can play the role of chemical sensors, if chemical modifications or chemical reactions are concerned at their surface. In that context, a chemical sensor was realized by electrochemical deposition of an electron-conducting polymer (polypyrrole-biotin) on a metal tip, followed by enzyme attachment by molecular recognition process involving the biotin-avidin-specific interaction. Results from near-field acoustic showed that the enzyme modification of the polymer layer can be detected by this new acoustic sensor

  6. Determinants of deposit potential as inverse liquidity indicator of commercial banks in Serbia

    Directory of Open Access Journals (Sweden)

    Milošević-Avdalović Snežana

    2016-01-01

    Full Text Available The aim of this paper is to identify determinants of liquidity of commercial banks in the Republic of Serbia, observing the macroeconomic and banking-specific indicators, or micro-economic indicators which were analyzed by descriptive statistics, correlation and regression analysis from 2008 to 2014. The correlation for the observed variables is calculated from 140 samples for internal and external independent variables of impact to the dependent variable - liquidity measured by indicator of deposits. The subject of research is the process of optimization model reducing the factors of liquidity to variables that have the most significant impact on liquidity indicator measured by deposit potential. Results of the model show that liquidity of banks is dominantly determined by the size of banks assets. With growth of the assets, banks are exposed to a greater risk of liquidity. The increase in capital adequacy ratio has a positive effect on the liquidity of banks. Net interest margin is positively correlated with the indicator of deposit potential which indicates a negative impact on the liquidity of banks as well as the ratio of operating expenses to operating income.

  7. Study of liquid deposition during laser printing of liquids

    Energy Technology Data Exchange (ETDEWEB)

    Duocastella, M.; Patrascioiu, A. [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain); Dinca, V. [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain); National Institute for Lasers, Plasma and Radiation Physics, Atomistilor No. 409, PO Box MG 16, 077125 Bucharest (Romania); Fernandez-Pradas, J.M.; Morenza, J.L. [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain); Serra, P., E-mail: pserra@ub.edu [Universitat de Barcelona, Departament de Fisica Aplicada i Optica, Marti i Franques 1, E-08028 Barcelona (Spain)

    2011-04-01

    Laser-induced forward transfer (LIFT) is a direct-writing technique which can be used to successfully print various complex and sensitive materials with a high degree of spatial resolution. However, the optimization of its performances requires a deep understanding of the LIFT dynamics. Such understanding should allow correlating the phenomena underlying the liquid transfer process with the morphology of the obtained deposits. To this end, in this work it is presented a study related to two aspects: first, the correlation of the morphological characteristics of the transferred droplets with the variation of the film thickness combined with laser fluence; and second, a correlation of the dependences observed with the dynamics of the transfer process. The work is focused on the understanding of the observed dependences for which the information provided by time-resolved analysis on liquid transfer dynamics has proved to be crucial.

  8. Study of liquid deposition during laser printing of liquids

    International Nuclear Information System (INIS)

    Duocastella, M.; Patrascioiu, A.; Dinca, V.; Fernandez-Pradas, J.M.; Morenza, J.L.; Serra, P.

    2011-01-01

    Laser-induced forward transfer (LIFT) is a direct-writing technique which can be used to successfully print various complex and sensitive materials with a high degree of spatial resolution. However, the optimization of its performances requires a deep understanding of the LIFT dynamics. Such understanding should allow correlating the phenomena underlying the liquid transfer process with the morphology of the obtained deposits. To this end, in this work it is presented a study related to two aspects: first, the correlation of the morphological characteristics of the transferred droplets with the variation of the film thickness combined with laser fluence; and second, a correlation of the dependences observed with the dynamics of the transfer process. The work is focused on the understanding of the observed dependences for which the information provided by time-resolved analysis on liquid transfer dynamics has proved to be crucial.

  9. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  10. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  11. Chemical deposition methods using supercritical fluid solutions

    Science.gov (United States)

    Sievers, Robert E.; Hansen, Brian N.

    1990-01-01

    A method for depositing a film of a desired material on a substrate comprises dissolving at least one reagent in a supercritical fluid comprising at least one solvent. Either the reagent is capable of reacting with or is a precursor of a compound capable of reacting with the solvent to form the desired product, or at least one additional reagent is included in the supercritical solution and is capable of reacting with or is a precursor of a compound capable of reacting with the first reagent or with a compound derived from the first reagent to form the desired material. The supercritical solution is expanded to produce a vapor or aerosol and a chemical reaction is induced in the vapor or aerosol so that a film of the desired material resulting from the chemical reaction is deposited on the substrate surface. In an alternate embodiment, the supercritical solution containing at least one reagent is expanded to produce a vapor or aerosol which is then mixed with a gas containing at least one additional reagent. A chemical reaction is induced in the resulting mixture so that a film of the desired material is deposited.

  12. Liquid phase deposition of silica: Thin films, colloids and fullerenes

    Science.gov (United States)

    Whitsitt, Elizabeth A.

    Little research has been done to explore liquid phase deposition (LPD) of silica on non-planar substrates. This thesis proves that the seeded growth of silica colloids from fullerene and surfactant micelles is possible via LPD, as is the coating of individual single walled carbon nanotubes (SWNTs) and carbon fibers. Working on the premise that a molecular growth mechanism (versus colloidal/gel deposition) is valid for LPD, nanostructured substrates and specific chemical functional groups should act as "seeds," or templates, for silica growth. Seeded growth is confirmed by reactions of the growth solution with a range of surfactants and with materials with distinctive surface moieties. LPD promises lower production costs and environmental impact as compared to present methods of coating technology, because it is an inherently simple process, using low temperatures and inexpensive air-stable reactants. Silica is ubiquitous in materials science. Its applications range from thixotropic additives for paint to gate dielectrics in the semiconductor industry. Nano-structured coatings and thin films are integral in today's electronics industry and will become more vital as the size of electronics shrinks. With the incorporation of nanoparticles in future devices, the ability to deposit quality coatings with finely tuned properties becomes paramount. The methods developed herein have applications in fabricating insulators for use in the future molecular scale electronics industry. Additionally, these silica nanoparticles have applications as templates for use in photonics and fuel cell membrane production and lend strength and durability to composites.

  13. Modeling of liquid-metal corrosion/deposition in a fusion reactor blanket

    International Nuclear Information System (INIS)

    Malang, S.; Smith, D.L.

    1984-04-01

    A model has been developed for the investigation of the liquid-metal corrosion and the corrosion product transport in a liquid-metal-cooled fusion reactor blanket. The model describes the two-dimensional transport of wall material in the liquid-metal flow and is based on the following assumptions: (1) parallel flow in a straight circular tube; (2) transport of wall material perpendicular to the flow direction by diffusion and turbulent exchange; in flow direction by the flow motion only; (3) magnetic field causes uniform velocity profile with thin boundary layer and suppresses turbulent mass exchange; and (4) liquid metal at the interface is saturated with wall material. A computer code based on this model has been used to analyze the corrosion of ferritic steel by lithium lead and the deposition of wall material in the cooler part of a loop. Three cases have been investigated: (1) ANL forced convection corrosion experiment (without magnetic field); (2) corrosion in the MARS liquid-metal-cooled blanket (with magnetic field); and (3) deposition of wall material in the corrosion product cleanup system of the MARS blanket loop

  14. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  15. Deposition of copper coatings in a magnetron with liquid target

    Energy Technology Data Exchange (ETDEWEB)

    Tumarkin, A. V., E-mail: sanyahrustal@mail.ru; Kaziev, A. V.; Kolodko, D. V.; Pisarev, A. A.; Kharkov, M. M.; Khodachenko, G. V. [National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) (Russian Federation)

    2015-12-15

    Copper coatings were deposited on monocrystalline Si substrates using a magnetron discharge with a liquid cathode in the metal vapour plasma. During the deposition, the bias voltage in the range from 0 V to–400 V was applied to the substrate. The prepared films were investigated by a scanning electron microscope, and their adhesive properties were studied using a scratch tester. It was demonstrated that the adhesion of the deposited films strongly depends on the bias voltage and varies in a wide range.

  16. Liquid flow deposited spinel (Ni,Mn){sub 3}O{sub 4} thin films for microbolometer applications

    Energy Technology Data Exchange (ETDEWEB)

    Le, Duc Thang, E-mail: ducthang36@skku.edu [Intelligent Electronic Component Team, Electronic Materials Convergence Division, Korea Institute of Ceramic Engineering and Technology, Seoul 153-801 (Korea, Republic of); School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Jeon, Chang Jun; Lee, Kui Woong; Jeong, Young Hun; Yun, Ji Sun [Intelligent Electronic Component Team, Electronic Materials Convergence Division, Korea Institute of Ceramic Engineering and Technology, Seoul 153-801 (Korea, Republic of); Yoon, Dae Ho, E-mail: dhyoon@skku.edu [School of Advanced Materials Science & Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Cho, Jeong Ho, E-mail: goedc@kicet.re.kr [Intelligent Electronic Component Team, Electronic Materials Convergence Division, Korea Institute of Ceramic Engineering and Technology, Seoul 153-801 (Korea, Republic of)

    2015-03-01

    Highlights: • Highly quality (Ni,Mn){sub 3}O{sub 4} thin films were grown using liquid flow deposited (LFD) technique. • It is possible to deposit multi–component manganite–oxide thin films by LFD at low temperatures. • Nickel–manganite films showed a good negative temperature coefficient (NTC) characteristic. • Liquid flow deposited (Ni,Mn){sub 3}O{sub 4} thin films are very potential for microbolometer applications. - Abstract: A liquid flow deposition (LFD) technique was initially used for the fabrication of single-component Mn{sub 3}O{sub 4} thin films onto Si wafer substrates at a range of substrate temperatures of 30–80 °C, with the introduction of an oxidizing reagent (H{sub 2}O{sub 2}). As a result, solid thin films were well formed from an aqueous solution. An X-ray diffraction (XRD) analysis showed typical characteristics of hausmannite Mn{sub 3}O{sub 4} with a spinel tetragonal phase. Field-emission scanning electron microscopy (FE-SEM) observations revealed nano-sized grains arranged uniformly on a dense and smooth surface for all of the as-deposited films. On the other hand, the LFD method was then extended to prepare two-component nickel–manganite films according to the binary chemical composition of Ni{sub x}Mn{sub 3−x}O{sub 4} with x = 0.02–0.2. The as-grown nickel–manganite films showed a surface with a good quality with a spherical bead-like architecture when x ≤ 0.10, while a conversion from spherical grains into highly porous nanowalls in the microstructure was noted in films when x ≥ 0.12. These results signify that it is possible to fabricate various multi-component manganite-oxide thin films at a low temperature. In addition, the dependences of the room-temperature electrical resistivity (ρ) and the temperature coefficient of resistance (TCR) on the Ni substitution level (x) were investigated on films annealed at 400 °C.

  17. Perspective: Chemical reactions in ionic liquids monitored through the gas (vacuum)/liquid interface.

    Science.gov (United States)

    Maier, F; Niedermaier, I; Steinrück, H-P

    2017-05-07

    This perspective analyzes the potential of X-ray photoelectron spectroscopy under ultrahigh vacuum (UHV) conditions to follow chemical reactions in ionic liquids in situ. Traditionally, only reactions occurring on solid surfaces were investigated by X-ray photoelectron spectroscopy (XPS) in situ. This was due to the high vapor pressures of common liquids or solvents, which are not compatible with the required UHV conditions. It was only recently realized that the situation is very different when studying reactions in Ionic Liquids (ILs), which have an inherently low vapor pressure, and first studies have been performed within the last years. Compared to classical spectroscopy techniques used to monitor chemical reactions, the advantage of XPS is that through the analysis of their core levels all relevant elements can be quantified and their chemical state can be analyzed under well-defined (ultraclean) conditions. In this perspective, we cover six very different reactions which occur in the IL, with the IL, or at an IL/support interface, demonstrating the outstanding potential of in situ XPS to gain insights into liquid phase reactions in the near-surface region.

  18. Structural and chemical transformations in SnS thin films used in chemically deposited photovoltaic cells

    International Nuclear Information System (INIS)

    Avellaneda, David; Delgado, Guadalupe; Nair, M.T.S.; Nair, P.K.

    2007-01-01

    Chemically deposited SnS thin films possess p-type electrical conductivity. We report a photovoltaic structure: SnO 2 :F-CdS-SnS-(CuS)-silver print, with V oc > 300 mV and J sc up to 5 mA/cm 2 under 850 W/m 2 tungsten halogen illumination. Here, SnO 2 :F is a commercial spray-CVD (Pilkington TEC-8) coating, and the rest deposited from different chemical baths: CdS (80 nm) at 333 K, SnS (450 nm) and CuS (80 nm) at 293-303 K. The structure may be heated in nitrogen at 573 K, before applying the silver print. The photovoltaic behavior of the structure varies with heating: V oc ∼ 400 mV and J sc 2 , when heated at 423 K in air, but V oc decreases and J sc increases when heated at higher temperatures. These photovoltaic structures have been found to be stable over a period extending over one year by now. The overall cost of materials, simplicity of the deposition process, and possibility of easily varying the parameters to improve the cell characteristics inspire further work. Here we report two different baths for the deposition of SnS thin films of about 500 nm by chemical deposition. There is a considerable difference in the nature of growth, crystalline structure and chemical stability of these films under air-heating at 623-823 K or while heating SnS-CuS layers, evidenced in XRF and grazing incidence angle XRD studies. Heating of SnS-CuS films results in the formation of SnS-Cu x SnS y . 'All-chemically deposited photovoltaic structures' involving these materials are presented

  19. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  20. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  1. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  2. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  3. Electrophoretic Deposition of Gallium with High Deposition Rate

    Directory of Open Access Journals (Sweden)

    Hanfei Zhang

    2014-12-01

    Full Text Available In this work, electrophoretic deposition (EPD is reported to form gallium thin film with high deposition rate and low cost while avoiding the highly toxic chemicals typically used in electroplating. A maximum deposition rate of ~0.6 μm/min, almost one order of magnitude higher than the typical value reported for electroplating, is obtained when employing a set of proper deposition parameters. The thickness of the film is shown to increase with deposition time when sequential deposition is employed. The concentration of Mg(NO32, the charging salt, is also found to be a critical factor to control the deposition rate. Various gallium micropatterns are obtained by masking the substrate during the process, demonstrating process compatibility with microfabrication. The reported novel approach can potentially be employed in a broad range of applications with Ga as a raw material, including microelectronics, photovoltaic cells, and flexible liquid metal microelectrodes.

  4. Chemical modifications of liquid natural rubber

    Science.gov (United States)

    Azhar, Nur Hanis Adila; Rasid, Hamizah Md; Yusoff, Siti Fairus M.

    2016-11-01

    Liquid natural rubber (LNR) was synthesized via photosentisized degradation of natural rubber (NR). LNR was modified into epoxidized liquid natural rubber (LENR) and hydroxylated liquid natural rubber (LNR-OH) using Na2WO4/CH3COOH/H2O2 catalytic system. Chemical structures of LNR and modified LNRs were characterized using Attenuated Total Reflectance Fourier Transform Infrared (ATR-FTIR) and 1H Nuclear Magnetic Resonance (NMR) spectroscopies. Integration of 1H NMR was used to calculate the epoxy content (%) of LENR. 1H NMR detected the formation of LNR-OH after prolonged heating and increased of catalyst in oxidation reaction.

  5. Droplet deposition measurement with high-speed camera and novel high-speed liquid film sensor with high spatial resolution

    International Nuclear Information System (INIS)

    Damsohn, M.; Prasser, H.-M.

    2011-01-01

    Highlights: → Development of a sensor for time- and space-resolved droplet deposition in annular flow. → Experimental measurement of droplet deposition in horizontal annular flow to compare readings of the sensor with images of a high-speed camera when droplets are depositing unto the liquid film. → Self-adaptive signal filter based on autoregression to separate droplet impacts in the sensor signal from waves of liquid films. - Abstract: A sensor based on the electrical conductance method is presented for the measurement of dynamic liquid films in two-phase flow. The so called liquid film sensor consists of a matrix with 64 x 16 measuring points, a spatial resolution of 3.12 mm and a time resolution of 10 kHz. Experiments in a horizontal co-current air-water film flow were conducted to test the capability of the sensor to detect droplet deposition from the gas core onto the liquid film. The experimental setup is equipped with the liquid film sensor and a high speed camera (HSC) recording the droplet deposition with a sampling rate of 10 kHz simultaneously. In some experiments the recognition of droplet deposition on the sensor is enhanced by marking the droplets with higher electrical conductivity. The comparison between the HSC and the sensor shows, that the sensor captures the droplet deposition above a certain droplet diameter. The impacts of droplet deposition can be filtered from the wavy structures respectively conductivity changes of the liquid film using a filter algorithm based on autoregression. The results will be used to locally measure droplet deposition e.g. in the proximity of spacers in a subchannel geometry.

  6. Structural and Optical Properties of Chemical Bath Deposited Silver Oxide Thin Films: Role of Deposition Time

    Directory of Open Access Journals (Sweden)

    A. C. Nwanya

    2013-01-01

    Full Text Available Silver oxide thin films were deposited on glass substrates at a temperature of 50°C by chemical bath deposition technique under different deposition times using pure AgNO3 precursor and triethanolamine as the complexing agent. The chemical analysis based on EDX technique shows the presence of Ag and O at the appropriate energy levels. The morphological features obtained from SEM showed that the AgxO structures varied as the deposition time changes. The X-ray diffraction showed the peaks of Ag2O and AgO in the structure. The direct band gap and the refractive index increased as the deposition time increased and was in the range of 1.64–1.95 eV and 1.02–2.07, respectively. The values of the band gap and refractive index obtained indicate possible applications in photovoltaic and photothermal systems.

  7. Deposition and reentrainment of Brownian particles in porous media under unfavorable chemical conditions: some concepts and applications.

    Science.gov (United States)

    Hahn, Melinda W; O'Meliae, Charles R

    2004-01-01

    The deposition and reentrainment of particles in porous media have been examined theoretically and experimentally. A Brownian Dynamics/Monte Carlo (MC/BD) model has been developed that simulates the movement of Brownian particles near a collector under "unfavorable" chemical conditions and allows deposition in primary and secondary minima. A simple Maxwell approach has been used to estimate particle attachment efficiency by assuming deposition in the secondary minimum and calculating the probability of reentrainment. The MC/BD simulations and the Maxwell calculations support an alternative view of the deposition and reentrainment of Brownian particles under unfavorable chemical conditions. These calculations indicate that deposition into and subsequent release from secondary minima can explain reported discrepancies between classic model predictions that assume irreversible deposition in a primary well and experimentally determined deposition efficiencies that are orders of magnitude larger than Interaction Force Boundary Layer (IFBL) predictions. The commonly used IFBL model, for example, is based on the notion of transport over an energy barrier into the primary well and does not address contributions of secondary minimum deposition. A simple Maxwell model based on deposition into and reentrainment from secondary minima is much more accurate in predicting deposition rates for column experiments at low ionic strengths. It also greatly reduces the substantial particle size effects inherent in IFBL models, wherein particle attachment rates are predicted to decrease significantly with increasing particle size. This view is consistent with recent work by others addressing the composition and structure of the first few nanometers at solid-water interfaces including research on modeling water at solid-liquid interfaces, surface speciation, interfacial force measurements, and the rheological properties of concentrated suspensions. It follows that deposition under these

  8. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  9. Multiwalled carbon nanotubes sensor for organic liquid detection at room temperature

    Science.gov (United States)

    Chaudhary, Deepti; Khare, Neeraj; Vankar, V. D.

    2016-04-01

    We have explored the possibility of using multiwalled carbon nanotubes (MWCNTs) as room temperature chemical sensor for the detection of organic liquids such as ethanol, propanol, methanol and toluene. MWCNTs were synthesized by thermal chemical vapor deposition (TCVD) technique. The interdigitated electrodes were fabricated by conventional photolithography technique. The sensor was fabricated by drop depositing MWCNT suspension onto the interdigitated electrodes. The sensing properties of MWCNTs sensor was studied for organic liquids detection. The resistance of sensor was found to increase upon exposure to these liquids. Sensor shows good reversibility and fast response at room temperature. Charge transfer between the organic liquid and sensing element is the dominant sensing mechanism.

  10. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  11. Qualification of a sublimation tool applied to the case of metalorganic chemical vapor deposition of In{sub 2}O{sub 3} from In(tmhd){sub 3} as a solid precursor

    Energy Technology Data Exchange (ETDEWEB)

    Szkutnik, P. D., E-mail: pierre.szkutnik@cea.fr; Jiménez, C. [Université Grenoble Alpes, CNRS, LMGP, 3 Parvis Louis Néel, Minatec CS 50257, 38016 Grenoble Cedex 1 (France); Angélidès, L.; Todorova, V. [Air Liquide Electronics Systems, 8 rue des Méridiens–Sud Galaxie BP 228, 38433 Échirolles Cedex (France)

    2016-02-15

    A solid delivery system consisting of a source canister, a gas management, and temperature controlled enclosure designed and manufactured by Air Liquide Electronics Systems was tested in the context of gas-phase delivery of the In(tmhd){sub 3} solid precursor. The precursor stream was delivered to a thermal metalorganic chemical vapor deposition reactor to quantify deposition yield under various conditions of carrier gas flow and sublimation temperature. The data collected allowed the determination of characteristic parameters such as the maximum precursor flow rate (18.2 mg min{sup −1} in specified conditions) and the critical mass (defined as the minimum amount of precursor able to attain the maximum flow rate) found to be about 2.4 g, as well as an understanding of the influence of powder distribution inside the canister. Furthermore, this qualification enabled the determination of optimal delivery conditions which allowed for stable and reproducible precursor flow rates over long deposition times (equivalent to more than 47 h of experiment). The resulting In{sub 2}O{sub 3} layers was compared with those elaborated via pulsed liquid injection obtained in the same chemical vapor deposition chamber and under the same deposition conditions.

  12. Chemical solution deposition: a path towards low cost coated conductors

    International Nuclear Information System (INIS)

    Obradors, X; Puig, T; Pomar, A; Sandiumenge, F; Pinol, S; Mestres, N; Castano, O; Coll, M; Cavallaro, A; Palau, A; Gazquez, J; Gonzalez, J C; Gutierrez, J; Roma, N; Ricart, S; Moreto, J M; Rossell, M D; Tendeloo, G van

    2004-01-01

    The achievement of low cost deposition techniques for high critical current YBa 2 Cu 3 O 7 coated conductors is one of the major objectives to achieve a widespread use of superconductivity in power applications. Chemical solution deposition techniques are appearing as a very promising methodology to achieve epitaxial oxide thin films at a low cost, so an intense effort is being carried out to develop routes for all chemical coated conductor tapes. In this work recent achievements will be presented towards the goal of combining the deposition of different type of buffer layers on metallic substrates based on metal-organic decomposition with the growth of YBa 2 Cu 3 O 7 layers using the trifluoroacetate route. The influence of processing parameters on the microstructure and superconducting properties will be stressed. High critical currents are demonstrated in 'all chemical' multilayers

  13. Study on chemical reactivity control of liquid sodium. Research program

    International Nuclear Information System (INIS)

    Saito, Jun-ichi; Ara, Kuniaki; Sugiyama, Ken-ichiro; Kitagawa, Hiroshi; Oka, Nobuki; Yoshioka, Naoki

    2007-01-01

    Liquid sodium has the excellent properties as coolant of the fast breeder reactor (FBR). On the other hand, it reacts high with water and oxygen. So an innovative technology to suppress the reactivity is desired. The purpose of this study is to control the chemical reactivity of liquid sodium by dispersing the nanometer-size metallic particles (we call them Nano-particles) into liquid sodium. We focus on the atomic interaction between Nano-particles and sodium atoms. And we try to apply it to suppress the chemical reactivity of liquid sodium. Liquid sodium dispersing Nano-particles is named 'Nano-fluid'. Research programs of this study are the Nano-particles production, the evaluation of reactivity suppression of liquid sodium and the feasibility study to FBR plant. In this paper, the research programs and status are described. The important factors for particle production were understood. In order to evaluate the chemical reactivity of Nano-fluid the research programs were planned. The feasibility of the application of Nano-fluid to the coolant of FBR plant was evaluated preliminarily from the viewpoint of design and operation. (author)

  14. How deposition parameters control growth dynamics of nc-Si deposited by hot-wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Moutinho, H.R.; To, B.; Jiang, C.-S.; Xu, Y.; Nelson, B.P.; Teplin, C.W.; Jones, K.M.; Perkins, J.; Al-Jassim, M.M.

    2006-01-01

    We studied the growth of silicon films deposited by hot-wire chemical vapor deposition under different values of filament current, substrate temperature, and hydrogen dilution ratio. The physical and electrical properties of the films were studied by Raman spectroscopy, x-ray diffraction, atomic force microscopy, conductive-atomic force microscopy, and transmission electron microscopy. There is an interdependence of the growth parameters, and films grown with different parameters can have similar structures. We discuss why this interdependence occurs and how it influences the properties of the deposited films, as well as the deposition rate. In general, the films have a complex structure, with a mixture of amorphous (220)-oriented crystalline and nanocrystalline phases present in most cases. The amount of each phase can be controlled by the variation of one or more of the growth parameters at a time

  15. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  16. Radiation chemical effects of X-rays on liquids

    International Nuclear Information System (INIS)

    Holroyd, R.A.; Preses, J.M.

    1998-01-01

    This review describes some of the chemical changes induced by photoelectrons which are released in liquids when X-rays are absorbed. Both experimental studies and theory are discussed. In part 1, the basic processes occurring upon absorption of X-rays are described. Parts 2 and 3 deal with hydrocarbon liquids; in part 2 the ion yields, including effects at K-edges, and in part 3, the yields of excited states. Part 4 discusses chemical effects of X-rays in aqueous solutions. The authors end with a summary of future needs and directions

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  19. Chemical effect on ozone deposition over seawater

    Science.gov (United States)

    Surface layer resistance plays an important role in determining ozone deposition velocity over seawater. Recent studies suggest that surface layer resistance over sea-water is influenced by wind-speed and chemical interaction at the air-water interface. Here, we investigate the e...

  20. Calculation of liquid-liquid equilibrium of aqueous two-phase systems using a chemical-theory-based excess Gibbs energy model

    Directory of Open Access Journals (Sweden)

    Pessôa Filho P. A.

    2004-01-01

    Full Text Available Mixtures containing compounds that undergo hydrogen bonding show large deviations from ideal behavior. These deviations can be accounted for through chemical theory, according to which the formation of a hydrogen bond can be treated as a chemical reaction. This chemical equilibrium needs to be taken into account when applying stability criteria and carrying out phase equilibrium calculations. In this work, we illustrate the application of the stability criteria to establish the conditions under which a liquid-phase split may occur and the subsequent calculation of liquid-liquid equilibrium using a chemical-theory-modified Flory-Huggins equation to describe the non ideality of aqueous two-phase systems composed of poly(ethylene glycol and dextran. The model was found to be able to correlate ternary liquid-liquid diagrams reasonably well by simple adjustment of the polymer-polymer binary interaction parameter.

  1. Functionalization of Hydrogenated Chemical Vapour Deposition-Grown Graphene by On-Surface Chemical Reactions

    Czech Academy of Sciences Publication Activity Database

    Drogowska, Karolina; Kovaříček, Petr; Kalbáč, Martin

    2017-01-01

    Roč. 23, č. 17 (2017), s. 4022-4022 ISSN 1521-3765 Institutional support: RVO:61388955 Keywords : Chemical vapor deposition * Hydrogenation * Graphene Subject RIV: CF - Physical ; Theoretical Chemistry

  2. Silver deposition on chemically treated carbon monolith

    Directory of Open Access Journals (Sweden)

    Jovanović Zoran M.

    2009-01-01

    Full Text Available Carbon monolith was treated with HNO3, KOH and H2O2. Effects of these treatments on the surface functional groups and on the amount of silver deposited on the CM surface were studied by temperature programmed desorption (TPD and atomic absorption spectrometry (AAS. As a result of chemical treatment there was an increase in the amount of surface oxygen complexes. The increase in the amount of silver deposit is proportional to the amount of surface groups that produce CO under decomposition. However, the high amount of CO groups, decomposing above 600°C, induces the smaller Ag crystallite size. Therefore, the high temperature CO evolving oxides are, most likely, the initial centers for Ag deposition.

  3. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  4. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  5. Chemical solution deposition of functional oxide thin films

    CERN Document Server

    Schneller, Theodor; Kosec, Marija

    2014-01-01

    Chemical Solution Deposition (CSD) is a highly-flexible and inexpensive technique for the fabrication of functional oxide thin films. Featuring nearly 400 illustrations, this text covers all aspects of the technique.

  6. Estimating chemical composition of atmospheric deposition fluxes from mineral insoluble particles deposition collected in the western Mediterranean region

    Directory of Open Access Journals (Sweden)

    Y. Fu

    2017-11-01

    Full Text Available In order to measure the mass flux of atmospheric insoluble deposition and to constrain regional models of dust simulation, a network of automatic deposition collectors (CARAGA has been installed throughout the western Mediterranean Basin. Weekly samples of the insoluble fraction of total atmospheric deposition were collected concurrently on filters at five sites including four on western Mediterranean islands (Frioul and Corsica, France; Mallorca, Spain; and Lampedusa, Italy and one in the southern French Alps (Le Casset, and a weighing and ignition protocol was applied in order to quantify their mineral fraction. Atmospheric deposition is both a strong source of nutrients and metals for marine ecosystems in this area. However, there are few data on trace-metal deposition in the literature, since their deposition measurement is difficult to perform. In order to obtain more information from CARAGA atmospheric deposition samples, this study aimed to test their relevance in estimating elemental fluxes in addition to total mass fluxes. The elemental chemical analysis of ashed CARAGA filter samples was based on an acid digestion and an elemental analysis by inductively coupled plasma atomic emission spectroscopy (ICP-AES and mass spectrometry (MS in a clean room. The sampling and analytical protocols were tested to determine the elemental composition for mineral dust tracers (Al, Ca, K, Mg and Ti, nutrients (P and Fe and trace metals (Cd, Co, Cr, Cu, Mn, Ni, V and Zn from simulated wet deposition of dust analogues and traffic soot. The relative mass loss by dissolution in wet deposition was lower than 1 % for Al and Fe, and reached 13 % for P due to its larger solubility in water. For trace metals, this loss represented less than 3 % of the total mass concentration, except for Zn, Cu and Mn for which it could reach 10 %, especially in traffic soot. The chemical contamination during analysis was negligible for all the elements except for Cd

  7. Quantum chemical evaluation for the stability of liquid sodium containing titanium nanoparticles

    International Nuclear Information System (INIS)

    Suzuki, Ai; Inaba, Kenji; Ishizawa, Yukie; Miura, Ryuji; Hatakeyama, Nozomu; Miyamoto, Akira; Saito, Jun-ichi; Ara, Kuniaki

    2015-01-01

    Recently, liquid sodium containing titanium nanoparticles (LSnanop) have attracted considerable attention. In this study, suspension state of Ti nanoparticle in liquid sodium was quantum chemically evaluated. The atomic interaction between Ti nanoparticles and sodium atoms in the liquid sodium medium was investigated. There were some literatures which gained quantum chemical insight into a nanoparticle with the surrounding sodium atom. However, liquid sodium medium itself together with a Ti nanoparticle under the realistic temperature has not yet been investigated theoretically. To overcome the problem of conventional theoretical method, we applied computationally low-load Tight Binding Quantum Chemical Molecular Dynamics (TB-QCMD) calculation method to investigate the suspension state of the Ti nanoparticle in liquid sodium metal. (author)

  8. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  9. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  10. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  11. Sol-Generating Chemical Vapor into Liquid (SG-CViL) deposition – a facile method for encapsulation of diverse cell types in silica matrices

    Energy Technology Data Exchange (ETDEWEB)

    Johnston, Robert [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Materials Engineering Dept.; Rogelj, Snezna [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Biology Dept.; Harper, Jason C. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Bioenergy and Biodefense Technologies Dept.; Tartis, Michaelann [New Mexico Inst. of Mining and Technology, Socorro, NM (United States). Materials and Chemical Engineering Dept.

    2014-12-12

    In nature, cells perform a variety of complex functions such as sensing, catalysis, and energy conversion which hold great potential for biotechnological device construction. However, cellular sensitivity to ex vivo environments necessitates development of bio–nano interfaces which allow integration of cells into devices and maintain their desired functionality. In order to develop such an interface, the use of a novel Sol-Generating Chemical Vapor into Liquid (SG-CViL) deposition process for whole cell encapsulation in silica was explored. In SG-CViL, the high vapor pressure of tetramethyl orthosilicate (TMOS) is utilized to deliver silica into an aqueous medium, creating a silica sol. Cells are then mixed with the resulting silica sol, facilitating encapsulation of cells in silica while minimizing cell contact with the cytotoxic products of silica generating reactions (i.e. methanol), and reduce exposure of cells to compressive stresses induced from silica condensation reactions. Using SG-CVIL, Saccharomyces cerevisiae (S. cerevisiae) engineered with an inducible beta galactosidase system were encapsulated in silica solids and remained both viable and responsive 29 days post encapsulation. By tuning SG-CViL parameters, thin layer silica deposition on mammalian HeLa and U87 human cancer cells was also achieved. Thus, the ability to encapsulate various cell types in either a multi cell (S. cerevisiae) or a thin layer (HeLa and U87 cells) fashion shows the promise of SG-CViL as an encapsulation strategy for generating cell–silica constructs with diverse functions for incorporation into devices for sensing, bioelectronics, biocatalysis, and biofuel applications.

  12. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  13. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  14. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  15. SiC fibre by chemical vapour deposition on tungsten filament

    Indian Academy of Sciences (India)

    Unknown

    SiC fibre by chemical vapour deposition on tungsten filament ... CMCs), in defence and industrial applications. SiC has attractive ... porosity along with chemical purity. This is lacking .... reactor. Since mercury is very toxic it should be removed.

  16. Laser chemical vapor deposition of millimeter scale three-dimensional shapes

    Science.gov (United States)

    Shaarawi, Mohammed Saad

    2001-07-01

    Laser chemical vapor deposition (LCVD) has been successfully developed as a technique to synthesize millimeter-scale components directly from the gas phase. Material deposition occurs when heat generated by the interaction of a laser beam with a substrate thermally decomposes the gas precursor. Selective illumination or scanning the laser beam over portions of a substrate forms the single thin layer of material that is the building block of this process. Sequential scanning of the laser in a pre-defined pattern on the substrate and subsequent deposit causes the layers to accumulate forming the three-dimensional shape. The primary challenge encountered in LCVD shape forming is the synthesis of uniform layers. Three deposition techniques are studied to address this problem. The most successful technique, Active Surface Deposition, is based on the premise that the most uniform deposits are created by measuring the deposition surface topology and actively varying the deposition rate in response to features at the deposition surface. Defects observed in the other techniques were significantly reduced or completely eliminated using Active Surface Deposition. The second technique, Constant Temperature Deposition, maintains deposit uniformity through the use of closed-loop modulation of the laser power to sustain a constant surface temperature during deposition. The technique was successful in depositing high quality graphite tubes >2 mm tall from an acetylene precursor and partially successful in depositing SiC + C composite tubes from tetramethylsilane (TMS). The final technique, Constant Power Deposition, is based on the premise that maintaining a uniform power output throughout deposition would result in the formation of uniform layers. Constant Power Deposition failed to form coherent shapes. Additionally, LCVD is studied using a combination of analytic and numerical models to gain insight into the deposition process. Thermodynamic modeling is used to predict the

  17. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  18. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  19. Structural, optical and electrical properties of chemically deposited ...

    Indian Academy of Sciences (India)

    Structural, optical and electrical properties of chemically deposited nonstoichiometric copper ... One of these compounds, CuInSe2, with its optical absorption .... is clear from SEM images that the number of grains goes on increasing with the ...

  20. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  1. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  2. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  3. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  4. Deposition of micron liquid droplets on wall in impinging turbulent air jet

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Tianshu; Nink, Jacob; Merati, Parviz [Western Michigan University, Department of Mechanical and Aeronautical Engineering, Kalamazoo, MI (United States); Tian, Tian; Li, Yong [Massachusetts Institute of Technology, Sloan Automotive Laboratory, Cambridge, MA (United States); Shieh, Tom [Toyota Technical Center, Toyota Motor Engineering and Manufacturing North America, Inc, Ann Arbor, MI (United States)

    2010-06-15

    The fluid mechanics of the deposition of micron liquid (olive oil) droplets on a glass wall in an impinging turbulent air jet is studied experimentally. The spatial patterns of droplets deposited on a wall are measured by using luminescent oil visualization technique, and the statistical data of deposited droplets are obtained through microscopic imagery. Two distinct rings of droplets deposited on a wall are found, and the mechanisms of the formation of the inner and outer rings are investigated based on global diagnostics of velocity and skin friction fields. In particular, the intriguing effects of turbulence, including large-scale coherent vortices and small-scale random turbulence, on micron droplet deposition on a wall and coalescence in the air are explored. (orig.)

  5. Thin liquid films with time-dependent chemical reactions sheared by an ambient gas flow

    Science.gov (United States)

    Bender, Achim; Stephan, Peter; Gambaryan-Roisman, Tatiana

    2017-08-01

    Chemical reactions in thin liquid films are found in many industrial applications, e.g., in combustion chambers of internal combustion engines where a fuel film can develop on pistons or cylinder walls. The reactions within the film and the turbulent outer gas flow influence film stability and lead to film breakup, which in turn can lead to deposit formation. In this work we examine the evolution and stability of a thin liquid film in the presence of a first-order chemical reaction and under the influence of a turbulent gas flow. Long-wave theory with a double perturbation analysis is used to reduce the complexity of the problem and obtain an evolution equation for the film thickness. The chemical reaction is assumed to be slow compared to film evolution and the amount of reactant in the film is limited, which means that the reaction rate decreases with time as the reactant is consumed. A linear stability analysis is performed to identify the influence of reaction parameters, material properties, and environmental conditions on the film stability limits. Results indicate that exothermic reactions have a stabilizing effect whereas endothermic reactions destabilize the film and can lead to rupture. It is shown that an initially unstable film can become stable with time as the reaction rate decreases. The shearing of the film by the external gas flow leads to the appearance of traveling waves. The shear stress magnitude has a nonmonotonic influence on film stability.

  6. Low-pressure chemical vapour deposition of LiCoO2 thin films: a systematic investigation of the deposition parameters

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    The feasibility of volatile precursor low-pressure chemical vapor deposition (LPCVD) for the production of LiCoO2 cathodes for all solid-state microbatteries was examined. To test this feasibility, and gain insight into the deposition behavior, the influence of the deposition parameters on the

  7. Low pressure chemical vapour deposition of temperature resistant colour filters

    International Nuclear Information System (INIS)

    Verheijen, J.; Bongaerts, P.; Verspui, G.

    1987-01-01

    The possibility to deposit multilayer colour filters, based on optical inference, by means of Low Pressure Chemical Vapour Deposition (LPCVD) was investigated. The filters were made in a standard LPCVD system by alternate deposition of Si/sub 3/N/sub 4/ and SiO/sub 2/ layers. This resulted in filters with excellent colour uniformity on glass and quartz substrates. No difference was measured between theoretically calculated transmission and the transmission of the filters deposited by LPCVD. Temperature treatment at 600 0 C in air air showed no deterioration of filter quality and optical properties

  8. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  9. Intelligent process control of fiber chemical vapor deposition

    Science.gov (United States)

    Jones, John Gregory

    Chemical Vapor Deposition (CVD) is a widely used process for the application of thin films. In this case, CVD is being used to apply a thin film interface coating to single crystal monofilament sapphire (Alsb2Osb3) fibers for use in Ceramic Matrix Composites (CMC's). The hot-wall reactor operates at near atmospheric pressure which is maintained using a venturi pump system. Inert gas seals obviate the need for a sealed system. A liquid precursor delivery system has been implemented to provide precise stoichiometry control. Neural networks have been implemented to create real-time process description models trained using data generated based on a Navier-Stokes finite difference model of the process. Automation of the process to include full computer control and data logging capability is also presented. In situ sensors including a quadrupole mass spectrometer, thermocouples, laser scanner, and Raman spectrometer have been implemented to determine the gas phase reactants and coating quality. A fuzzy logic controller has been developed to regulate either the gas phase or the in situ temperature of the reactor using oxygen flow rate as an actuator. Scanning electron microscope (SEM) images of various samples are shown. A hierarchical control structure upon which the control structure is based is also presented.

  10. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  11. Origin and chemical composition of evaporite deposits

    Science.gov (United States)

    Moore, George William

    1960-01-01

    A comparative study of marine evaporite deposits forming at the present time along the pacific coast of central Mexico and evaporite formations of Permian age in West Texas Basin was made in order to determine if the modern sediments provide a basis for understanding environmental conditions that existed during deposition of the older deposits. The field work was supplemented by investigations of artificial evaporite minerals precipitated in the laboratory and by study of the chemical composition of halite rock of different geologic ages. The environment of deposition of contemporaneous marine salt deposits in Mexico is acidic, is strongly reducing a few centimeters below the surface, and teems with microscopic life. Deposition of salt, unlike that of many other sediments, is not wholly a constructional phenomenon. Permanent deposits result only if a favorable balance exists between deposition in the dry season and dissolution in the wet season. Evaporite formations chosen for special study in the West Texas Basin are, in ascending order, the Castile, Salado, and Rustler formations, which have a combined thickness of 1200 meters. The Castile formation is largely composed of gypsum rock, the Salado, halite rock, and the Rustler, quartz and carbonate sandstone. The lower part of the Castile formation is bituminous and contains limestone laminae. The Castile and Rustler formations thicken to the south at the expense of salt of the intervening Salado formation. The clastic rocks of the Rustler formation are interpreted as the deposits of a series of barrier islands north of which halite rock of the Salado was deposited. The salt is believed to have formed in shallow water of uniform density that was mixed by the wind. Where water depth exceeded the depth of the wind mixing, density stratification developed, and gypsum was deposited. Dense water of high salinity below the density discontinuity was overlain by less dense, more normally saline water which was derived from

  12. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  13. Size dependent optical characteristics of chemically deposited

    Indian Academy of Sciences (India)

    Keywords. Thin film; ZnS; CBD method; optical properties. Abstract. ZnS thin films of different thicknesses were prepared by chemical bath deposition using thiourea and zinc acetate as S2- and Zn2+ source. The effect of film thickness on the optical and structural properties was studied. The optical absorption studies in the ...

  14. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  15. Advances in chemical physics advances in liquid crystals

    CERN Document Server

    Prigogine, Ilya; Vij, Jagdish K

    2009-01-01

    Prigogine and Rice's highly acclaimed series, Advances in Chemical Physics, provides a forum for critical, authoritative reviews of current topics in every area of chemical physics. Edited by J.K. Vij, this volume focuses on recent advances in liquid crystals with significant, up-to-date chapters authored by internationally recognized researchers in the field.

  16. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  17. Nanoscale leakage current measurements in metal organic chemical vapor deposition crystalline SrTiO3 films

    International Nuclear Information System (INIS)

    Rozier, Y.; Gautier, B.; Hyvert, G.; Descamps, A.; Plossu, C.; Dubourdieu, C.; Ducroquet, F.

    2009-01-01

    The properties of SrTiO 3 thin films, grown by liquid injection metal organic chemical vapor deposition on Si/SiO 2 , using a mixture of precursors, have been investigated at the nanoscale using an Atomic Force Microscope in the so-called Conductive Atomic Force Microscopy mode. Maps of the leakage currents with a nanometric resolution have been obtained on films elaborated at different temperatures and stoichiometries in order to discriminate the role of each parameter on the onset of leakage currents in the resulting layers. It appears that the higher the deposition temperature, the higher the leakage currents of the films. The mapping with a nanometric precision allows to show a heterogeneous behaviour of the surface with leaky grains and insulating boundaries. The study of films elaborated at the same temperature with different compositions supports the assumption that the leakage currents on Ti-rich layers are far higher than on Sr-rich layers

  18. Dissolution of manganese and cobalt and their deposition on Type 304 stainless steel in liquid sodium

    International Nuclear Information System (INIS)

    Yokota, Norikatsu; Shimoyashiki, Shigehiro

    1989-01-01

    Dissolution of manganese and cobalt and their deposition on Type 304 stainless steel in liquid sodium at 833 K for 3.6 x 10 3 ks were examined using a liquid sodium pot. Manganese was easily dissolved in sodium from the iron-manganese alloy specimen and deposited on the steel to form two kind of deposition particles, α-phase (body-centered cubic) composed of iron and γ-phase (face-centered cubic) composed of iron and manganese, respectively. Cobalt which was less easily dissolved than manganese also deposited on the Type 304 stainless steel, giving an iron-cobalt alloy. These three deposition particles corresponded to the precipitation lines of iron-manganese and iron-cobalt phase diagrams at 833 K, respectively. Therefore, the deposition process of manganese or cobalt in sodium was explained as a precipitation process of iron-manganese or iron-cobalt in the solid region of the binary phase diagram. A sodium chromite (NaCrO 2 ) layer was formed on the steel surface. (author)

  19. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  20. Chemical solution deposition techniques for epitaxial growth of complex oxides

    NARCIS (Netherlands)

    ten Elshof, Johan E.; Koster, G.; Huijben, Mark; Rijnders, G.

    2015-01-01

    The chemical solution deposition (CSD) process is a wet-chemical process that is employed to fabricate a wide variety of amorphous and crystalline oxide thin films. This chapter describes the typical steps in a CSD process and their influence on the final microstructure and properties of films, and

  1. Obtention of selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition on porous substrates

    International Nuclear Information System (INIS)

    Bankovic, P.; Demarquette, N.R.; Silva, M.L.P. da

    2004-01-01

    In this work, the possibility of obtaining selective membranes for water and hydrophobic liquids by plasma enhanced chemical vapor deposition (PECVD) of hexamethyldisilazane (HMDS) or double layers of HMDS and n-hexane on porous substrates using a capacitive plasma reactor was investigated. The porous substrates used were paper filter, diatomite and polyester textiles. The films were characterized by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) and contact angle measurements. The membranes obtained were characterized by the Cobb test. Their efficiency to separate hydrocarbon compounds from water was evaluated through filtration experiments and Karl-Fischer titration tests. The reagents used in the filtration experiments were: chloroform, n-hexane, n-heptane, ethyl ether, benzene and diesel. XPS analysis showed that Si, N, C and O were present at the surface of the film. C peak was dominant in the double layer film spectra. C-H n , CH 2 , Si-H, Si-CH 3 , N-H, Si-CH 2 -Si, Si-N-Si and Si-C bonds were identified in both types of the films by ATR-FTIR. The relative intensities of the corresponding peaks in the two spectra were different. The XPS and FTIR results indicated that C was most likely present in a CH n form at the surface of double layer film. The average contact angles formed by drops of water on the film surface ranged from 135 deg. to 155 deg. . Water adsorption measured by Cobb test decreased from average values ranging from 300 to 9000 g m -2 (for nonmodified surfaces) to values ranging from 0 to 20 g m -2 (for treated surfaces). The Karl-Fischer titration indicated that between 90 and 1000 ppm (depending on the reagent used) of water remained in the hydrocarbon compound after filtration

  2. Synthesis of silicon containing materials using liquid hydrosilane compositions through direct injection

    Energy Technology Data Exchange (ETDEWEB)

    Srinivasan, Guruvenket; Sailer, Robert A.; Hoey, Justin

    2018-03-13

    An apparatus and a non-vapor-pressure dependent method of chemical vapor deposition of Si based materials using direct injection of liquid hydrosilane(s) are presented. Liquid silane precursor solutions may also include metal, non-metal or metalloid dopants, nanomaterials and solvents. An illustrative apparatus has a precursor solution and carrier gas system, atomizer and deposit head with interior chamber and a hot plate supporting the substrate. Atomized liquid silane precursor solutions and carrier gas moves through a confined reaction zone that may be heated and the aerosol and vapor are deposited on a substrate to form a thin film. The substrate may be heated prior to deposition. The deposited film may be processed further with thermal or laser processing.

  3. Influence of deposition parameters on morphological properties of biomedical calcium phosphate coatings prepared using electrostatic spray deposition

    International Nuclear Information System (INIS)

    Leeuwenburgh, S.C.G.; Wolke, J.G.C.; Schoonman, J.; Jansen, J.A.

    2005-01-01

    In order to deposit biomedical calcium phosphate (CaP) coatings with a defined surface morphology, the electrostatic spray deposition (ESD) technique was used since this technique offers the possibility to deposit ceramic coatings with a variety of surface morphologies. A scanning electron microscopical study was performed in order to investigate the influence of several deposition parameters on the final morphology of the deposited coatings. The chemical characteristics of the coatings were studied by means of X-ray diffraction and Fourier-transform infrared spectroscopy. Regarding the chemical coating properties, the results showed that the coatings can be described as crystalline carbonate apatite coatings, a crystal phase which is similar to the mineral phase of bone and teeth. The morphology of CaP coatings, deposited using the ESD technique, was strongly dependent on the deposition parameters. By changing the nozzle-to-substrate distance, the precursor liquid flow rate and the deposition temperature, coating morphologies were deposited, which varied from dense to highly porous, reticular morphologies. The formation of various morphologies was the result of an equilibrium between the relative rates of CaP solute precipitation/reaction, solvent evaporation and droplet spreading onto the substrate surface

  4. Identification of chemical compounds in a liquid-liquid extraction system

    International Nuclear Information System (INIS)

    Ramirez C, F de M de la.

    1980-01-01

    The objective of the present work is to identify the chemical compounds that are distributed in a liquid-liquid extraction system in which the third phase is observed; for this purpose the FeCl 3 (0.12M) - HCl (8.43M) - Diisopropilic ether - system was used, for the quantitative determination of the chemical compounds, FeCl 3 solutions labelled with 59 Fe or witH 38 Cl were used; the Karl Fischer method for the determination of the water concentration at the organic phases was used, the obtained data was used for the calculations of the H + distribution in each phase. The results are that when the distribution equilibrium is reached, the aqueous phase is a 7.5M HCl solution; the light organic phase contains 2 H[FeCl 4 ].6H 2 O and the dense organic phase contains 2 H[FeCl 4 ].6H 2 O.3HCl.12H 2 O. The differences between these compounds are due to a high concentration of water and the HCl in the organic solvent. This causes a heterogeneous physic field, and then the third phase formation. (author)

  5. Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

    Science.gov (United States)

    Consiglio, Steven P.

    To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of

  6. Recent Developments in Chemical Synthesis with Biocatalysts in Ionic Liquids

    Directory of Open Access Journals (Sweden)

    Mahesh K. Potdar

    2015-09-01

    Full Text Available Over the past decade, a variety of ionic liquids have emerged as greener solvents for use in the chemical manufacturing industries. Their unique properties have attracted the interest of chemists worldwide to employ them as replacement for conventional solvents in a diverse range of chemical transformations including biotransformations. Biocatalysts are often regarded as green catalysts compared to conventional chemical catalysts in organic synthesis owing to their properties of low toxicity, biodegradability, excellent selectivity and good catalytic performance under mild reaction conditions. Similarly, a selected number of specific ionic liquids can be considered as greener solvents superior to organic solvents owing to their negligible vapor pressure, low flammability, low toxicity and ability to dissolve a wide range of organic and biological substances, including proteins. A combination of biocatalysts and ionic liquids thus appears to be a logical and promising opportunity for industrial use as an alternative to conventional organic chemistry processes employing organic solvents. This article provides an overview of recent developments in this field with special emphasis on the application of more sustainable enzyme-catalyzed reactions and separation processes employing ionic liquids, driven by advances in fundamental knowledge, process optimization and industrial deployment.

  7. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  8. Hydrogenation of rapeseed oil for production of liquid bio-chemicals

    International Nuclear Information System (INIS)

    Pinto, F.; Martins, S.; Gonçalves, M.; Costa, P.; Gulyurtlu, I.; Alves, A.; Mendes, B.

    2013-01-01

    Highlights: ► Production of renewable liquid hydrocarbons through rapeseed oil hydrogenation. ► Hydrogenation at lower temperature and lower hydrogen pressures. ► Test of a catalyst commonly employed in petrochemical industry. ► Improve of hydrogenation process viability by decreasing operational costs. ► Analysis of hydrogenated product applications as bio-chemicals. -- Abstract: The main objective of rapeseed oil hydrogenation tests was the production of liquid bio-chemicals to be used as renewable raw material for the production of several chemicals and in chemical synthesis to substitute petroleum derived stuff. As, hydrogenation of vegetable oils is already applied for the production of biofuels, the work done focused in producing aromatic compounds, due to their economic value. The effect of experimental conditions on rapeseed oil hydrogenation was studied, namely, reaction temperature and time with the aim of selecting the most favourable conditions to convert rapeseed oil into liquid valuable bio-chemicals. Rapeseed oil was hydrogenated at a hydrogen initial pressure of 1.10 MPa. Reaction temperature varied in the range from 200 °C to 400 °C, while reaction times between 6 and 180 min were tested. The performance of a commercial cobalt and molybdenum catalyst was also studied. The highest hydrocarbons yields were obtained at the highest temperature and reaction times tested. At a temperature of 400 °C and at the reaction time of 120 min hydrocarbons yield was about 92% in catalyst presence, while in the absence of the catalyst this value decreased to 85%. Hydrocarbons yield was even higher when the reaction time of 180 min was used in the presence of catalyst, as the yield of 97% was observed. At these conditions hydrocarbons formed had a high content of aromatic compounds, around 50%. For this reason, the viscosity values of hydrogenated oils were lower than that established by EN590, which together with hydrogenated liquids composition

  9. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  10. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  11. Determination of electroless deposition by chemical nickeling

    Directory of Open Access Journals (Sweden)

    M. Badida

    2013-07-01

    Full Text Available Increasing of technical level and reliability of machine products in compliance with the economical and ecological terms belongs to the main trends of the industrial development. During the utilisation of these products there arise their each other contacts and the interaction with the environment. That is the reason for their surface degradation by wear effect, corrosion and other influences. The chemical nickel-plating allows autocatalytic deposition of nickel from water solutions in the form of coherent, technically very profitable coating without usage of external source of electric current. The research was aimed at evaluating the surface changes after chemical nickel-plating at various changes of technological parameters.

  12. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  13. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  14. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  15. Deposition characteristics of titanium coating deposited on SiC fiber by cold-wall chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2016-12-01

    The deposition characteristics of titanium coating on SiC fiber using TiCl{sub 4}-H{sub 2}-Ar gas mixture in a cold-wall chemical vapor deposition were studied by the combination of thermodynamic analysis and experimental studies. The thermodynamic analysis of the reactions in the TiCl{sub 4}-H{sub 2}-Ar system indicates that TiCl{sub 4} transforms to titanium as the following paths: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. The experimental results show that typical deposited coating contains two distinct layers: a TiC reaction layer close to SiC fiber and titanium coating which has an atomic percentage of titanium more than 70% and that of carbon lower than 30%. The results illustrate that a carbon diffusion barrier coating needs to be deposited if pure titanium is to be prepared. The deposition rate increases with the increase of temperature, but higher temperature has a negative effect on the surface uniformity of titanium coating. In addition, appropriate argon gas flow rate has a positive effect on smoothing the surface morphology of the coating. - Highlights: • Both thermodynamic analysis and experimental studies were adopted in this work. • The transformation paths of TiCl{sub 4} to Ti is: TiCl{sub 4} → TiCl{sub 3} → Ti, or TiCl{sub 4} → TiCl{sub 3} → TiCl{sub 2} → Ti. • Typical deposited Ti coating on SiC fiber contained two distinct layers. • Deposition temperature is important on deposition rate and morphologies. • Appropriate argon gas flow rate has a positive effect on smoothing of the coating.

  16. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  17. Liquid-liquid phase separation and cluster formation at deposition of metals under inhomogeneous magnetic field

    Science.gov (United States)

    Gorobets, O. Yu; Gorobets, Yu I.; Rospotniuk, V. P.; Grebinaha, V. I.; Kyba, A. A.

    2017-10-01

    The formation and dynamic of expansion and deformation of the liquid-liquid interface of an electrolyte at deposition of metals at the surface of the magnetized steel ball is considered in this paper. The electrochemical processes were investigated in an external magnetic field directed at an arbitrary angle to the force of gravity. These processes are accompanied by the formation of effectively paramagnetic clusters of electrochemical products - magnions. Tyndall effect was used for detection of the presence of magnions near the magnetized steel electrode in a solution. The shape of the interface separating the regions with different concentration of magnions, i.e. different magnetic susceptibilities, was described theoretically based on the equation of hydrostatic equilibrium which takes into account magnetic, hydrostatic and osmotic pressures.

  18. Optimization of solar cell performance using atmospheric pressure chemical vapour deposition deposited TCOs

    Czech Academy of Sciences Publication Activity Database

    Yates, H.M.; Evans, P.; Sheel, D.W.; Hodgkinson, J.L.; Sheel, P.; Dagkaldiran, U.; Gordijn, A.; Finger, F.; Remeš, Zdeněk; Vaněček, Milan

    2009-01-01

    Roč. 25, č. 8 (2009), s. 789-796 ISSN 1938-5862. [International Chemical Vapor Deposition Symposium (CVD-XVII) /17./. Wien, 04.10.2009-09.10.2009] Grant - others:European Community(XE) Project (STREP) of the 6. FP Institutional research plan: CEZ:AV0Z10100521 Keywords : solar cells * TCO * CVD Subject RIV: BM - Solid Matter Physics ; Magnetism

  19. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  20. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  1. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  2. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  3. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  4. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  5. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  6. General aspects of solid on liquid growth mechanisms

    International Nuclear Information System (INIS)

    Laux, E; Charmet, J; Haquette, H; Banakh, O; Jeandupeux, L; Graf, B; Keppner, H

    2009-01-01

    Liquids, in general, tend to have a lower density as solids and therefore it is not straightforward to deposit solid over liquids in a way that the liquid becomes hermetically sealed under the solid layer. The authors review that several phenomena that can easily be observed in nature are only due to particular anomalies and solid on liquid is rather an exception as the rule. Natural solid on liquid systems are lacking of thermal, mechanical or chemical stability. It is not surprising, that one is not at all used thinking about to e.g. replace the gate oxide in a thin film transistor by a thin film of oil, or, to find in other microsystems functional liquids between a stack of thin solid films. However, once this becomes a serious option, a large variety of new Microsystems with new functionalities can be easily designed. In another paper (this conference and [1]) the authors pioneered that the polymer Parylene (poly(p-xylylene)) can be deposited on liquids coming already quite close to the above mentioned vision. In this paper the authors ask if one can synthesize other solid on liquid systems and surprisingly conclude, based on experimental evidence, that solid on liquid deposition seems to rather be the rule and not the exception.

  7. Quantum chemical aided prediction of the thermal decomposition mechanisms and temperatures of ionic liquids

    International Nuclear Information System (INIS)

    Kroon, Maaike C.; Buijs, Wim; Peters, Cor J.; Witkamp, Geert-Jan

    2007-01-01

    The long-term thermal stability of ionic liquids is of utmost importance for their industrial application. Although the thermal decomposition temperatures of various ionic liquids have been measured previously, experimental data on the thermal decomposition mechanisms and kinetics are scarce. It is desirable to develop quantitative chemical tools that can predict thermal decomposition mechanisms and temperatures (kinetics) of ionic liquids. In this work ab initio quantum chemical calculations (DFT-B3LYP) have been used to predict thermal decomposition mechanisms, temperatures and the activation energies of the thermal breakdown reactions. These quantum chemical calculations proved to be an excellent method to predict the thermal stability of various ionic liquids

  8. Electrochemical performance of potentiodynamically deposited polyaniline electrodes in ionic liquid

    Energy Technology Data Exchange (ETDEWEB)

    Patil, Dipali S., E-mail: dipali.patilphy@gmail.com [Department of Physics, Yeungnam University, Gyeonbuk 712-749 (Korea, Republic of); Thin Film Materials Laboratory, Department of Physics, Shivaji University, Kolhapur 416 004 (India); Pawar, S.A. [Thin Film Materials Laboratory, Department of Physics, Shivaji University, Kolhapur 416 004 (India); Department of Materials Science and Engineering, Chonnam National University, Gwangju 500 757 (Korea, Republic of); Patil, S.K.; Salavi, P.P.; Kolekar, S.S. [Department of Chemistry, Shivaji University, Kolhapur 416 004 (India); Devan, R.S.; Ma, Y.R. [Department of Physics, National Dong Hwa University, Hualien 97401, Taiwan (China); Kim, J.H. [Department of Materials Science and Engineering, Chonnam National University, Gwangju 500 757 (Korea, Republic of); Shin, J.C. [Department of Physics, Yeungnam University, Gyeonbuk 712-749 (Korea, Republic of); Patil, P.S., E-mail: patilps_2000@yahoo.com [Thin Film Materials Laboratory, Department of Physics, Shivaji University, Kolhapur 416 004 (India)

    2015-10-15

    Present work reports electropolymerization of aniline onto stainless steel substrate using room temperature ionic liquid N-methyl-2-pyrrolidonium hydrogensulfate [NMP][HSO{sub 4}] by potentiodynamic electrodeposition method. To study the effect of electropolymerization cycle number on the electrochemical performance, the number of scans is varied from 1{sup st} to 5{sup th} cycle. X-ray photoelectron spectroscopy is used for the phase identification of polyaniline (PANI) films. Scanning electrochemical microscopy (SECM) was used to study the electrochemical activity of PANI films. The highest specific capacitance of 581 Fg{sup −1} and energy density of 96.6 whkg{sup −1} are obtained for the sample, deposited using four cycle. - Graphical abstract: We have synthesized PANI samples with different thickness (or deposited mass) on stainless steel as a function of deposition cycles by potentiodynamic electrodeposition in room temperature IL [NMP][HSO{sub 4}]. A globular nanostructural growth of PANI is observed over the compact background of PANI for sample P{sub 2}. The sample P{sub 4} revealed a globular structure with spongy porous morphology. This nanostructure and porous structure is useful for supercapacitor, because it reduces the diffusion resistance of the electrolyte into electrode matrix. - Highlights: • Electropolymerization of aniline using room temperature ionic liquid N-methyl-2-pyrrolidonium hydrogensulfate [NMP][HSO4]. • The highest specific capacitance of 581 Fg{sup −1} and energy density of 96.60 Whkg{sup −1} is observed for the optimized sample. • The improved specific capacitance of PANI electrode material can be used to develop high performance supercapacitor.

  9. Electrochemical performance of potentiodynamically deposited polyaniline electrodes in ionic liquid

    International Nuclear Information System (INIS)

    Patil, Dipali S.; Pawar, S.A.; Patil, S.K.; Salavi, P.P.; Kolekar, S.S.; Devan, R.S.; Ma, Y.R.; Kim, J.H.; Shin, J.C.; Patil, P.S.

    2015-01-01

    Present work reports electropolymerization of aniline onto stainless steel substrate using room temperature ionic liquid N-methyl-2-pyrrolidonium hydrogensulfate [NMP][HSO 4 ] by potentiodynamic electrodeposition method. To study the effect of electropolymerization cycle number on the electrochemical performance, the number of scans is varied from 1 st to 5 th cycle. X-ray photoelectron spectroscopy is used for the phase identification of polyaniline (PANI) films. Scanning electrochemical microscopy (SECM) was used to study the electrochemical activity of PANI films. The highest specific capacitance of 581 Fg −1 and energy density of 96.6 whkg −1 are obtained for the sample, deposited using four cycle. - Graphical abstract: We have synthesized PANI samples with different thickness (or deposited mass) on stainless steel as a function of deposition cycles by potentiodynamic electrodeposition in room temperature IL [NMP][HSO 4 ]. A globular nanostructural growth of PANI is observed over the compact background of PANI for sample P 2 . The sample P 4 revealed a globular structure with spongy porous morphology. This nanostructure and porous structure is useful for supercapacitor, because it reduces the diffusion resistance of the electrolyte into electrode matrix. - Highlights: • Electropolymerization of aniline using room temperature ionic liquid N-methyl-2-pyrrolidonium hydrogensulfate [NMP][HSO4]. • The highest specific capacitance of 581 Fg −1 and energy density of 96.60 Whkg −1 is observed for the optimized sample. • The improved specific capacitance of PANI electrode material can be used to develop high performance supercapacitor

  10. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  11. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  12. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  13. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  14. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  15. Adjustable wettability of paperboard by liquid flame spray nanoparticle deposition

    Science.gov (United States)

    Stepien, Milena; Saarinen, Jarkko J.; Teisala, Hannu; Tuominen, Mikko; Aromaa, Mikko; Kuusipalo, Jurkka; Mäkelä, Jyrki M.; Toivakka, Martti

    2011-01-01

    Liquid flame spray process (LFS) was used for depositing TiO x and SiO x nanoparticles on paperboard to control wetting properties of the surface. By the LFS process it is possible to create either superhydrophobic or superhydrophilic surfaces. Changes in the wettability are related to structural properties of the surface, which were characterized using scanning electron microscope (SEM) and atomic force microscope (AFM). The surface properties can be ascribed as a correlation between wetting properties of the paperboard and the surface texture created by nanoparticles. Surfaces can be produced inline in a one step roll-to-roll process without need for additional modifications. Furthermore, functional surfaces with adjustable hydrophilicity or hydrophobicity can be fabricated simply by choosing appropriate liquid precursors.

  16. Photoluminescence of nc-Si:Er thin films obtained by physical and chemical vapour deposition techniques: The effects of microstructure and chemical composition

    Energy Technology Data Exchange (ETDEWEB)

    Cerqueira, M.F., E-mail: fcerqueira@fisica.uminho.p [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, Via Orabona n.4-70126 Bari (Italy); Stepikhova, M. [Institute for Physics of Microstructures RAS, 603600 Nizhnij Novgorod GSP-105 (Russian Federation); Alpuim, P.; Andres, G. [Departamento de Fisica, Universidade do Minho, Campus de Gualtar 4710-057 Braga (Portugal); Kozanecki, A. [Polish Academy of Sciences, Institute of Physics, PL-02668, Warsaw (Poland); Soares, M.J.; Peres, M. [Departamento de Fisica, Universidade de Aveiro, Campus de Santiago, 3700 Aveiro (Portugal)

    2009-08-31

    Erbium doped nanocrystalline silicon (nc-Si:Er) thin films were produced by reactive magnetron rf sputtering and by Er ion implantation into chemical vapor deposited Si films. The structure and chemical composition of films obtained by the two approaches were studied by micro-Raman scattering, spectroscopic ellipsometry and Rutherford backscattering techniques. Variation of deposition parameters was used to deposit films with different crystalline fraction and crystallite size. Photoluminescence measurements revealed a correlation between film microstructure and the Er{sup 3+} photoluminescence efficiency.

  17. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  18. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  19. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  20. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  1. Characterisation of silicon carbide films deposited by plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Iliescu, Ciprian; Chen Bangtao; Wei Jiashen; Pang, A.J.

    2008-01-01

    The paper presents a characterisation of amorphous silicon carbide films deposited in plasma-enhanced chemical vapour deposition (PECVD) reactors for MEMS applications. The main parameter was optimised in order to achieve a low stress and high deposition rate. We noticed that the high frequency mode (13.56 MHz) gives a low stress value which can be tuned from tensile to compressive by selecting the correct power. The low frequency mode (380 kHz) generates high compressive stress (around 500 MPa) due to ion bombardment and, as a result, densification of the layer achieved. Temperature can decrease the compressive value of the stress (due to annealing effect). A low etching rate of the amorphous silicon carbide layer was noticed for wet etching in KOH 30% at 80 o C (around 13 A/min) while in HF 49% the layer is practically inert. A very slow etching rate of amorphous silicon carbide layer in XeF 2 -7 A/min- was observed. The paper presents an example of this application: PECVD-amorphous silicon carbide cantilevers fabricated using surface micromachining by dry-released technique in XeF 2

  2. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  3. Polyamorphic Transformations in Fe-Ni-C Liquids: Implications for Chemical Evolution of Terrestrial Planets: Fe-Ni-C liquid structural change

    Energy Technology Data Exchange (ETDEWEB)

    Lai, Xiaojing [Department of Geology and Geophysics, University of Hawai‘i at Mānoa, Honolulu HI USA; Hawaii Institute of Geophysics and Planetology, University of Hawai‘i at Mānoa, Honolulu HI USA; Chen, Bin [Hawaii Institute of Geophysics and Planetology, University of Hawai‘i at Mānoa, Honolulu HI USA; Wang, Jianwei [Department of Geology and Geophysics, Center for Computation and Technology, Louisiana State University, Baton Rouge LA USA; Kono, Yoshio [HPCAT, Geophysical Laboratory, Carnegie Institution of Washington, Argonne IL USA; Zhu, Feng [Department of Earth and Environmental Sciences, University of Michigan, Ann Arbor MI USA

    2017-12-01

    During the formation of the Earth's core, the segregation of metallic liquids from silicate mantle should have left behind evident geochemical imprints on both the mantle and the core. Some distinctive geochemical signatures of the mantle-derived rocks likely own their origin to the metal-silicate differentiation of the primitive Earth, setting our planet apart from undifferentiated meteorites as well as terrestrial planets or moons isotopically and compositionally. Understanding the chemical evolution of terrestrial planetary bodies requires knowledge on properties of both liquid iron alloys and silicates equilibrating under physicochemical conditions pertinent to the deep magma ocean. Here we report experimental and computational results on the pressure-induced structural evolution of iron-nickel liquids alloyed with carbon. Our X-ray diffraction experiments up to 7.3 gigapascals (GPa) demonstrate that Fe-Ni (Fe90Ni10) liquids alloyed with 3 and 5 wt % carbon undergo a polyamorphic liquid structure transition at approximately 5 GPa. Corroborating the experimental observations, our first-principles molecular dynamic calculations reveal that the structural transitions result from the marked prevalence of three-atom face-sharing polyhedral connections in the liquids at >5 GPa. The structure and polyamorphic transitions of liquid iron-nickel-carbon alloys govern their physical and chemical properties and may thus cast fresh light on the chemical evolution of terrestrial planets and moons.

  4. Study on the electrochemical of the metal deposition from ionic liquids for lithium, titanium and dysprosium

    International Nuclear Information System (INIS)

    Berger, Claudia A.

    2017-01-01

    The thesis was aimed to the characterization of electrochemically deposited film of lithium, titanium and dysprosium on Au(111) from different ionic liquids, finally dysprosium on neodymium-iron-boron magnate for industrial applications. The investigation of the deposits were performed using cyclic voltametry, in-situ scanning tunneling microscopy, electrochemical quartz microbalance, XPS and Auger electron spectroscopy. The sample preparation is described in detail. The deposition rate showed a significant temperature dependence.

  5. Chemical and colour quenching in liquid scintillation counting

    International Nuclear Information System (INIS)

    Grau Malonda, A.; Scott Guilleard, P.E.

    1986-01-01

    Chemical and colour quenching for H-3 and C-14 was studied. The method includes spectral analysis of colouring agents; methyl red (4'-dimethylamine-azobenzene 2-carboxilic acid) dimethyl yellow (4'-dimethylamine-azobenzene) and melachite green (metane, bis (4'-dimethyl aminophenyl)-(phenyl)). External standard channels ratio was applied for the liquid scintillation counting of samples. The introduction of an isolated external standard seems to be a strong tool for the correction of chemical and colour quenching curves. (author). 10 figs., 12 refs

  6. Chemical and colour quenching in liquid scintillation counting

    International Nuclear Information System (INIS)

    Scott G, P. E.; Grau M, A.

    1987-01-01

    Chemical and colour quenching for H-3 and C-14 was studied. The method includes spectral analysis of colouring agents; methyl red, (4'-dimethylamine-azobenzene 2-carboxylic acid) dimethyl yellow (4'-dimethylamine-azobenzene) and malachite green (methane, bis .(4-dimethyl aminophenyl) - (phenyl)). External standard channels ratio was applied for the liquid scintillation counting of samples. The introduction of an isolated external standard seems to be a strong tool for the correction of chemical and colour quenching curves. (Author) 12 refs

  7. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  8. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  9. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  10. All-soft, battery-free, and wireless chemical sensing platform based on liquid metal for liquid- and gas-phase VOC detection.

    Science.gov (United States)

    Kim, Min-Gu; Alrowais, Hommood; Kim, Choongsoon; Yeon, Pyungwoo; Ghovanloo, Maysam; Brand, Oliver

    2017-06-27

    Lightweight, flexible, stretchable, and wireless sensing platforms have gained significant attention for personal healthcare and environmental monitoring applications. This paper introduces an all-soft (flexible and stretchable), battery-free, and wireless chemical microsystem using gallium-based liquid metal (eutectic gallium-indium alloy, EGaIn) and poly(dimethylsiloxane) (PDMS), fabricated using an advanced liquid metal thin-line patterning technique based on soft lithography. Considering its flexible, stretchable, and lightweight characteristics, the proposed sensing platform is well suited for wearable sensing applications either on the skin or on clothing. Using the microfluidic sensing platform, detection of liquid-phase and gas-phase volatile organic compounds (VOC) is demonstrated using the same design, which gives an opportunity to have the sensor operate under different working conditions and environments. In the case of liquid-phase chemical sensing, the wireless sensing performance and microfluidic capacitance tunability for different dielectric liquids are evaluated using analytical, numerical, and experimental approaches. In the case of gas-phase chemical sensing, PDMS is used both as a substrate and a sensing material. The gas sensing performance is evaluated and compared to a silicon-based, solid-state gas sensor with a PDMS sensing film.

  11. Triggering the Chemical Instability of an Ionic Liquid under High Pressure.

    Science.gov (United States)

    Faria, Luiz F O; Nobrega, Marcelo M; Temperini, Marcia L A; Bini, Roberto; Ribeiro, Mauro C C

    2016-09-01

    Ionic liquids are an interesting class of materials due to their distinguished properties, allowing their use in an impressive range of applications, from catalysis to hypergolic fuels. However, the reactivity triggered by the application of high pressure can give rise to a new class of materials, which is not achieved under normal conditions. Here, we report on the high-pressure chemical instability of the ionic liquid 1-allyl-3-methylimidazolium dicyanamide, [allylC1im][N(CN)2], probed by both Raman and IR techniques and supported by quantum chemical calculations. Our results show a reaction occurring above 8 GPa, involving the terminal double bond of the allyl group, giving rise to an oligomeric product. The results presented herein contribute to our understanding of the stability of ionic liquids, which is of paramount interest for engineering applications. Moreover, gaining insight into this peculiar kind of reactivity could lead to the development of new or alternative synthetic routes to achieve, for example, poly(ionic liquids).

  12. Confined high-pressure chemical deposition of hydrogenated amorphous silicon.

    Science.gov (United States)

    Baril, Neil F; He, Rongrui; Day, Todd D; Sparks, Justin R; Keshavarzi, Banafsheh; Krishnamurthi, Mahesh; Borhan, Ali; Gopalan, Venkatraman; Peacock, Anna C; Healy, Noel; Sazio, Pier J A; Badding, John V

    2012-01-11

    Hydrogenated amorphous silicon (a-Si:H) is one of the most technologically important semiconductors. The challenge in producing it from SiH(4) precursor is to overcome a significant kinetic barrier to decomposition at a low enough temperature to allow for hydrogen incorporation into a deposited film. The use of high precursor concentrations is one possible means to increase reaction rates at low enough temperatures, but in conventional reactors such an approach produces large numbers of homogeneously nucleated particles in the gas phase, rather than the desired heterogeneous deposition on a surface. We report that deposition in confined micro-/nanoreactors overcomes this difficulty, allowing for the use of silane concentrations many orders of magnitude higher than conventionally employed while still realizing well-developed films. a-Si:H micro-/nanowires can be deposited in this way in extreme aspect ratio, small-diameter optical fiber capillary templates. The semiconductor materials deposited have ~0.5 atom% hydrogen with passivated dangling bonds and good electronic properties. They should be suitable for a wide range of photonic and electronic applications such as nonlinear optical fibers and solar cells. © 2011 American Chemical Society

  13. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  14. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  15. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  16. Microscopic characterisation of suspended graphene grown by chemical vapour deposition

    NARCIS (Netherlands)

    Bignardi, L.; Dorp, W.F. van; Gottardi, S.; Ivashenko, O.; Dudin, P.; Barinov, A.; de Hosson, J.T.M.; Stöhr, M.; Rudolf, P.

    2013-01-01

    We present a multi-technique characterisation of graphene grown by chemical vapour deposition (CVD) and thereafter transferred to and suspended on a grid for transmission electron microscopy (TEM). The properties of the electronic band structure are investigated by angle-resolved photoelectron

  17. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    International Nuclear Information System (INIS)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S.

    2013-01-01

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium

  18. Dissolution of synthetic uranium dibutyl phosphate deposits in oxidizing and reducing chemical formulations

    Energy Technology Data Exchange (ETDEWEB)

    Rufus, A.L.; Sathyaseelan, V.S.; Narasimhan, S.V.; Velmurugan, S., E-mail: svelu@igcar.gov.in

    2013-06-15

    Graphical abstract: SEM of the U-DBP coated stainless steel coupon before and after exposure to chemical formulation containing acid permanganate at 80 °C. -- Highlights: •Combination of oxidation and reduction processes efficiently dissolves U-DBP deposits. •NP and NAC formulations are compatible with SS-304. •Dissolved uranium and added chemicals are effectively removed via ion exchangers. -- Abstract: Permanganate and nitrilotriacetic acid (NTA) based dilute chemical formulations were evaluated for the dissolution of uranium dibutyl phosphate (U-DBP), a compound that deposits over the surfaces of nuclear reprocessing plants and waste storage tanks. A combination of an acidic, oxidizing treatment (nitric acid with permanganate) followed by reducing treatment (NTA based formulation) efficiently dissolved the U-DBP deposits. The dissolution isotherm of U-DBP in its as precipitated form followed a logarithmic fit. The same chemical treatment was also effective in dissolving U-DBP coated on the surface of 304-stainless steel, while resulting in minimal corrosion of the stainless steel substrate material. Investigation of uranium recovery from the resulting decontamination solutions by ion exchange with a bed of mixed anion and cation resins showed quantitative removal of uranium.

  19. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  20. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  1. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  2. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  3. Nasal deposition and clearance in man: comparison of a bidirectional powder device and a traditional liquid spray pump.

    Science.gov (United States)

    Djupesland, Per Gisle; Skretting, Arne

    2012-10-01

    Delivery of powder formulations to the nose is an attractive alternative for many drugs and vaccines. This study compared the regional nasal deposition and clearance patterns of lactose powder delivered by the OptiNose powder device (Opt-Powder; OptiNose US Inc., Yardley, PA, USA) to that of liquid aerosol administered via a traditional hand-actuated liquid spray pump (Rexam SP270, Rexam Pharma, France). The study was an open-label, crossover design in seven healthy subjects (five females, two males). The regional nasal deposition and clearance patterns of the Opt-Powder device were compared to a traditional liquid spray pump by dynamic gamma camera imaging after administration of either (99m)Tc-labeled lactose powder or liquid (99m)Tc- diethelyne triamine pentaacetic acid-aerosol. The gamma camera images were scaled and aligned with sagittal magnetic resonance images to identify nasal regions. Possible deposition of radiolabeled material in the lungs following both methods of delivery was also evaluated. Both powder and spray were distributed to all of the nasal regions. The Opt-Powder device, however, achieved significantly larger initial deposition in the upper and middle posterior regions of the nose than spray (upper posterior region; Opt-Powder 18.3% ± 11.5 vs. Spray 2.4% ± 1.8, pSpray 15.7% ± 13.8, pdeposition to the lower anterior and posterior regions for spray was three times higher compared to Opt-Powder (Opt-Powder 17.4% ± 24.5 vs. Spray 59.4% ± 18.2, pdeposition was observed. The initial deposition following powder delivery was significantly larger in the ciliated mucosa of the upper and posterior nasal regions, whereas less was deposited in the lower regions. Overall nasal clearance of powder was slower initially, but due to retention in anterior nonciliated regions the overall nasal clearance after spray was slower.

  4. Simulating chemical reactions in ionic liquids using QM/MM methodology.

    Science.gov (United States)

    Acevedo, Orlando

    2014-12-18

    The use of ionic liquids as a reaction medium for chemical reactions has dramatically increased in recent years due in large part to the numerous reported advances in catalysis and organic synthesis. In some extreme cases, ionic liquids have been shown to induce mechanistic changes relative to conventional solvents. Despite the large interest in the solvents, a clear understanding of the molecular factors behind their chemical impact is largely unknown. This feature article reviews our efforts developing and applying mixed quantum and molecular mechanical (QM/MM) methodology to elucidate the microscopic details of how these solvents operate to enhance rates and alter mechanisms for industrially and academically important reactions, e.g., Diels-Alder, Kemp eliminations, nucleophilic aromatic substitutions, and β-eliminations. Explicit solvent representation provided the medium dependence of the activation barriers and atomic-level characterization of the solute-solvent interactions responsible for the experimentally observed "ionic liquid effects". Technical advances are also discussed, including a linear-scaling pairwise electrostatic interaction alternative to Ewald sums, an efficient polynomial fitting method for modeling proton transfers, and the development of a custom ionic liquid OPLS-AA force field.

  5. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  6. The versatility of hot-filament activated chemical vapor deposition

    International Nuclear Information System (INIS)

    Schaefer, Lothar; Hoefer, Markus; Kroeger, Roland

    2006-01-01

    In the field of activated chemical vapor deposition (CVD) of polycrystalline diamond films, hot-filament activation (HF-CVD) is widely used for applications where large deposition areas are needed or three-dimensional substrates have to be coated. We have developed processes for the deposition of conductive, boron-doped diamond films as well as for tribological crystalline diamond coatings on deposition areas up to 50 cm x 100 cm. Such multi-filament processes are used to produce diamond electrodes for advanced electrochemical processes or large batches of diamond-coated tools and parts, respectively. These processes demonstrate the high degree of uniformity and reproducibility of hot-filament CVD. The usability of hot-filament CVD for diamond deposition on three-dimensional substrates is well known for CVD diamond shaft tools. We also develop interior diamond coatings for drawing dies, nozzles, and thread guides. Hot-filament CVD also enables the deposition of diamond film modifications with tailored properties. In order to adjust the surface topography to specific applications, we apply processes for smooth, fine-grained or textured diamond films for cutting tools and tribological applications. Rough diamond is employed for grinding applications. Multilayers of fine-grained and coarse-grained diamond have been developed, showing increased shock resistance due to reduced crack propagation. Hot-filament CVD is also used for in situ deposition of carbide coatings and diamond-carbide composites, and the deposition of non-diamond, silicon-based films. These coatings are suitable as diffusion barriers and are also applied for adhesion and stress engineering and for semiconductor applications, respectively

  7. Does deposition freezing really exist? At least different as we thought

    Science.gov (United States)

    Abdelmonem, Ahmed

    2017-04-01

    The structural and chemical properties of the surface of an IN-particle (INP) play a major role in its IN ability. This role is not well explored in terms of water/INP-surface molecular-level interactions. Recent MD simulations on deposition freezing showed that water first deposits as liquid clusters and then crystallize isothermally from there [1]. We probe freezing of water on INPs of different structural and chemical properties under varying supersaturation conditions using non-linear optical spectroscopy, mainly second harmonic generation (SHG) and sum frequency generation (SFG) [2, 3]. This presentation will show very recent preliminary experimental results comparing deposition, condensation and immersion freezing (DF, CF and IF respectively) on an atmospheric relevant metal oxide surface (mica) using supercooled SHG measurements. It is found that the signal drops upon the formation of a thin film regardless of 1) the freezing path (DF or CF), 2) the formed phase (ice or liquid), indicating a similar molecular structuring. The observed structuring similarity between DF, CF and LC films is a kick-off experimental confirmation of those computational results. References 1. Lupi, L., N. Kastelowitz, and V. Molinero, Vapor deposition of water on graphitic surfaces: Formation of amorphous ice, bilayer ice, ice I, and liquid water. The Journal of Chemical Physics, 2014. 141(18): p. 18C508. 2. Abdelmonem, A., J. Lützenkirchen, and T. Leisner, Probing ice-nucleation processes on the molecular level using second harmonic generation spectroscopy. Atmospheric Measurement Techniques, 2015. 8(8): p. 3519-3526. 3. Abdelmonem, A., et al., Surface charge-induced orientation of interfacial water suppresses heterogeneous ice nucleation on α-alumina (0001). Angewandte Chemie (Submitted), 2017.

  8. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  9. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  10. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  11. Design of chemical treatment unit for radioactive liquid wastes in Serpong nuclear facilities

    International Nuclear Information System (INIS)

    Salimin, Z.; Walman, E.; Santoso, P.; Purnomo, S.; Sugito; Suwardiyono; Wintono

    1996-01-01

    The chemical treatment unit for radioactive liquid wastes arising from nuclear fuel fabrication, radioisotopes production and radiometallurgy facility has been designed. The design of chemical processing unit is based on the characteristics of liquid wastes containing fluors from uranium fluoride conversion process to ammonium uranyl carbonate on the fuel fabrication. The chemical treatment has the following process steps: coagulation-precipitation of fluoride ion by calcium hydroxide coagulant, separation of supernatant solution from sludge, coagulation of remaining fluoride on the supernatant solution by alum, separation of supernatant from sludge, and than precipitation of fluors on the supernatant by polymer resin WWS 116. The processing unit is composed of 3 storage tanks for raw liquid wastes (capacity 1 m 3 per tank), 5 storage tanks for chemicals (capacity 0.5 m 3 per tank), 2 mixing reactors (capacity 0.5 m 3 per reactor), 1 storage tank for supernatant solution (capacity 1 m 3 ), and 1 storage tank for sludge (capacity 1 m 3 )

  12. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  13. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  14. Microwave Plasma Enhanced Chemical Vapor Deposition of Diamond in Vapor of Methanol-Based Liquid Solutions

    National Research Council Canada - National Science Library

    Tzeng, Yonhua

    2000-01-01

    .... Liquid solutions are prepared by mixing methanol with other carbon containing liquid compounds which contain a greater than one ratio of carbon to oxygen such as acetone, ethanol, and iso-propanol...

  15. Plasma Assisted Chemical Vapour Deposition – Technological Design Of Functional Coatings

    Directory of Open Access Journals (Sweden)

    Januś M.

    2015-06-01

    Full Text Available Plasma Assisted Chemical Vapour Deposition (PA CVD method allows to deposit of homogeneous, well-adhesive coatings at lower temperature on different substrates. Plasmochemical treatment significantly impacts on physicochemical parameters of modified surfaces. In this study we present the overview of the possibilities of plasma processes for the deposition of diamond-like carbon coatings doped Si and/or N atoms on the Ti Grade2, aluminum-zinc alloy and polyetherketone substrate. Depending on the type of modified substrate had improved the corrosion properties including biocompatibility of titanium surface, increase of surface hardness with deposition of good adhesion and fine-grained coatings (in the case of Al-Zn alloy and improving of the wear resistance (in the case of PEEK substrate.

  16. Annealing and deposition effects of the chemical composition of silicon rich nitride

    DEFF Research Database (Denmark)

    Andersen, Karin Nordström; Svendsen, Winnie Edith; Stimpel-Lindner, T.

    2005-01-01

    Silicon-rich nitride, deposited by LPCVD, is a low stress amorphous material with a high refractive index. After deposition the silicon-rich nitride thin film is annealed at temperatures above 1100 oC to break N-H bonds, which have absorption peaks in the wavelength band important for optical...... in optical waveguides. This means that the annealing temperature must be high enough to break the N-H bonds, but no so high as to produce clusters. Therefore, the process window for an annealing step lies between 1100 and 1150 oC. The chemical composition of amorphous silicon-rich nitride has been...... investigated by Rutherford back scattering (RBS) and X-ray photoelectron spectroscopy (XPS). The influence of deposition parameters and annealing temperatures on the stoichiometry and the chemical bonds will be discussed. The origin of the clusters has been found to be silicon due to severe silicon out...

  17. Chemical Vapour Deposition of Large Area Graphene

    DEFF Research Database (Denmark)

    Larsen, Martin Benjamin Barbour Spanget

    Chemical Vapor Deposition (CVD) is a viable technique for fabrication of large areas of graphene. CVD fabrication is the most prominent and common way of fabricating graphene in industry. In this thesis I have attempted to optimize a growth recipe and catalyst layer for CVD fabrication of uniform......, single layer, and high carrier mobility large area graphene. The main goals of this work are; (1) explore the graphene growth mechanics in a low pressure cold-wall CVD system on a copper substrate, and (2) optimize the process of growing high quality graphene in terms of carrier mobility, and crystal...... structure. Optimization of a process for graphene growth on commercially available copper foil is limited by the number of aluminium oxide particles on the surface of the catalyst. By replacing the copper foil with a thin deposited copper film on a SiO2/Si or c-plane sapphire wafer the particles can...

  18. Microchannel-flowed-plasma modification of octadecyltrichlorosilane self-assembled-monolayers for liquid crystal alignment

    International Nuclear Information System (INIS)

    Zheng, W.; Chiang, C.-Y.; Underwood, I.

    2013-01-01

    We report that a chemical patterning technique based on local plasma modification of self-assembled monolayers has been utilized to fabricate surfaces for domain liquid crystal alignment. Highly hydrophobic octadecyltrichlorosilane monolayers deposited on glass substrates coated with Indium-Tin-Oxide were brought into contact with elastomeric stamps comprising trenches on a micro scale, and then exposed to an oxygen plasma. In the regions exposed to the plasma the monolayer was etched away leaving a patterned surface that exhibited surface energy differences between surface domains. The surfaces that bear the micropatterns have been shown to be capable of producing patterned alignment of nematic liquid crystal. - Highlights: • Chemical surface-patterning is used to fabricate liquid crystal alignment surface. • Highly hydrophobic octadecyltrichlorosilane monolayer is deposited on substrate. • O 2 plasma flow is used to etch the monolayer to form patterned surface. • The patterned surface exhibits surface energy differences between surface domains. • The surface borne the micropatterns is capable of domain liquid crystal alignment

  19. Erosion behaviour of physically vapour-deposited and chemically vapour-deposited SiC films coated on molybdenum during oxygenated argon beam thinning

    International Nuclear Information System (INIS)

    Shikama, T.; Kitajima, M.; Fukutomi, M.; Okada, M.

    1984-01-01

    The erosion behaviour during bombardment with a 5 keV argon beam at room temperature was studied for silicon carbide (SiC) films of thickness of about 10 μm coated on molybdenum by physical vapour deposition (PVD) and chemical vapour deposition (CVD). The PVD SiC (plasma-assisted ion plating) exhibited a greater thinning rate than the CVD SiC film. Electron probe X-ray microanalysis revealed that the chemical composition of PVD SiC was changed to a composition enriched in silicon by the bombardment, and there was a notable change in its surface morphology. The CVD SiC retained its initial chemical composition with only a small change in its surface morphology. Auger electron spectroscopy indicated that silicon oxide was formed on the surface of PVD SiC by the bombardment. The greater thinning rate and easier change in chemical composition in PVD SiC could be attributed to its readier chemical reaction with oxygen due to its more non-uniform structure and weaker chemical bonding. Oxygen was present as one of the impurities in the argon beam. (Auth.)

  20. Heat deposition, damage, and tritium breeding characteristics in thick liquid wall blanket concepts

    International Nuclear Information System (INIS)

    Youssef, M.Z.; Abdou, M.A.

    2000-01-01

    The advanced power extraction (APEX) study aims at exploring new and innovative blanket concepts that can efficiently extract power from fusion devices with high neutron wall load. Among the concepts under investigation is the free liquid FW/liquid blanket concept in which a fast flowing liquid FW (∼2-3 cm) is followed by thick flowing blanket (B) of ∼40-50 cm thickness with minimal amount of structure. The liquid FW/B are contained inside the vacuum vessel (VV) with a shielding zone (S) located either behind the VV and outside the vacuum boundary (case A) or placed after the FW/B and inside the VV (case B). In this paper we investigate the nuclear characteristics of this concept in terms of: (1) attenuation capability of the liquid FW/B/S and protection of the VV and magnet against radiation damage; (2) profiles of tritium production rate and tritium breeding ratio (TBR) for several liquid candidates; and (3) profiles of heat deposition rate and power multiplication. The candidate liquid breeders considered are Li, Flibe, Li-Sn, and Li-Pb. Parameters varied are (1) FW/B thickness, L, (2) Li-6 enrichment and (3) thickness of the shield

  1. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  2. Liquid Phase Deposition of Silica on the Hexagonally Close-Packed Monolayer of Silica Spheres

    Directory of Open Access Journals (Sweden)

    Seo Young Yoon

    2013-01-01

    Full Text Available Liquid phase deposition is a method used for the nonelectrochemical production of polycrystalline ceramic films at low temperatures, most commonly silicon dioxide films. Herein, we report that silica spheres are organized in a hexagonal close-packed array using a patterned substrate. On this monolayer of silica spheres, we could fabricate new nanostructures in which deposition and etching compete through a modified LPD reaction. In the early stage, silica spheres began to undergo etching, and then, silica bridges between the silica spheres appeared by the local deposition reaction. Finally, the silica spheres and bridges disappeared completely. We propose the mechanism for the formation of nanostructure.

  3. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  4. Recovery of useful chemicals from palm oil mill wastewater

    Science.gov (United States)

    Ratanaporn, Yuangsawad; Duangkamol, Na-Ranong; Teruoki, Tago; Takao, Masuda

    2017-11-01

    A two-step process consisting of pyrolysis of dried sludge and catalytic upgrading of pyrolysed liquid was proposed. Wastewater from a palm oil mill was separated to solid cake and liquid by filtration. The solid cake was dried and pyrolysed at 773 K. Liquid product obtained from the pyrolysis had two immiscible aqueous and oil phases (PL-A and PL-O). Identification of chemicals in PL-A and PL-O indicated that both phases contained various chemicals with unsaturated bonds, such as carboxylic acids and alcohols, however, most of the chemicals could not be identified. Catalytic upgrading of PL-A and PL-O over ZrO2·FeOx were separately performed using a fixed bed reactor at various conditions, T = 513-723 K and mass of catalyst to feed rate = 0.25-10 h. The main components in the liquid products of PL-A upgrading were methanol and acetone whereas they were acetone and phenol in the case of PL-O upgrading. More than 15% of carbon in raw material was deposited on the catalyst. To reduce the carbon deposition, the used catalyst was treated with air at 823 K. This simple treatment could reasonably regenerate the catalyst only for the case of PL-A catalytic upgrading.

  5. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  6. Effect of Liquid Ga on Metal Surfaces: Characterization of Morphology and Chemical Composition of Metals Heated in Liquid Ga

    Directory of Open Access Journals (Sweden)

    Eun Je Lee

    2013-01-01

    Full Text Available This study investigates the effect of liquid gallium (Ga on metal foils made of titanium (Ti, niobium (Nb, and molybdenum (Mo. The Ti, Nb, and Mo foils were heated in liquid Ga at 120°C for a maximum of two weeks. After heating, the changes in the morphology and the chemical composition of the metal foils were analyzed by using a field emission scanning electron microscope, energy-dispersive X-ray spectrometer, X-ray diffractometer, and X-ray photoelectron spectrometer. The results of the analysis indicated that the Nb foil showed the minimum adhesion of liquid Ga to the surface while the maximum amount of liquid Ga was observed to adhere to the Ti foil. In addition, the Nb foil was oxidized and the Mo foil was reduced during the heating process. Considering these effects, we conclude that Mo may be used as an alternative encapsulation material for Ga in addition to Nb, which is used as the conventional encapsulation material, due to its chemical resistance against oxidation in hot liquid Ga.

  7. Chemical Gel for Surface Decontamination

    International Nuclear Information System (INIS)

    Jung, Chong Hun; Moon, J. K.; Won, H. J.; Lee, K. W.; Kim, C. K.

    2010-01-01

    Many chemical decontamination processes operate by immersing components in aggressive chemical solutions. In these applications chemical decontamination technique produce large amounts of radioactive liquid waste. Therefore it is necessary to develop processes using chemical gels instead of chemical solutions, to avoid the well-known disadvantages of chemical decontamination techniques while retaining their high efficiency. Chemical gels decontamination process consists of applying the gel by spraying it onto the surface of large area components (floors, walls, etc) to be decontaminated. The gel adheres to any vertical or complex surface due to their thixotropic properties and operates by dissolving the radioactive deposit, along with a thin layer of the gel support, so that the radioactivity trapped at the surface can be removed. Important aspects of the gels are that small quantities can be used and they show thixitropic properties : liquid during spraying, and solid when stationary, allowing for strong adherence to surfaces. This work investigates the decontamination behaviors of organic-based chemical gel for SS 304 metallic surfaces contaminated with radioactive materials

  8. Deposition of Boron in Possible Evaporite Deposits in Gale Crate

    Science.gov (United States)

    Gasda, P. J.; Peets, E.; Lamm, S. N.; Rapin, W.; Lanza, N.; Frydenvang, J.; Clark, B. C.; Herkenhoff, K. E.; Bridges, J.; Schwenzer, S. P.; Haldeman, E. B.; Wiens, R. C.; Maurice, S.; Clegg, S. M.; Delapp, D.; Sanford, V.; Bodine, M. R.; McInroy, R.

    2017-12-01

    Boron has been previously detected in Gale crater using the ChemCam instrument on board the NASA Curiosity rover within calcium sulfate fracture fill hosted by lacustrine mudstone and eolian sandstone units. Recent results show that up to 300 ppm B is present in the upper sections of the lacustrine unit. Boron has been detected in both the groundwater-emplaced calcium sulfate fracture fill materials and bedding-parallel calcium sulfate layers. The widespread bedding-parallel calcium sulfate layers within the upper strata of the lacustrine bedrock that Curiosity has encountered recently could be interpreted as primary evaporite deposits. We have two hypotheses for the history of boron in Gale crater. In both hypotheses, borates were first deposited as lake water evaporated, depositing primary evaporates that were later re-dissolved by groundwater, which redistributed the boron into secondary evaporitic calcium sulfate fracture fill deposits. In the first scenario, Gale crater may have undergone a period of perennial lake formation during a drier period of martian history, depositing layers of evaporitic minerals (including borates) among lacustrine mudstone layers. In the second scenario, lake margins could have become periodically exposed during cyclic drops in lake level and subsequently desiccated. Evaporites were deposited and desiccation features were formed in lowstand deposits. Either hypothetical scenario of evaporite deposition would promote prebiotic chemical reactions via wet-dry cycles. Boron may be an important prebiotic element, and as such, its presence in ancient martian surface and groundwater provides evidence that important prebiotic chemical reactions could occur on Mars if organics were present. The presence of boron in ancient Gale crater groundwater also provides additional evidence that a habitable environment existed in the martian subsurface well after the expected disappearance of liquid water on the surface of Mars. We will report on the

  9. Liquid electrolyte positioning along the device channel influences the operation of Organic Electro-Chemical Transistors

    KAUST Repository

    D'angelo, Pasquale

    2014-11-01

    In this work, we show the influence of the liquid electrolyte adsorption by porous films made of poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate), PEDOT:PSS, on the operation of an Organic Electro-Chemical Transistor with an active channel based on these polymeric films. In particular, the effect of film hydration on device performance is evaluated by studying its electrical response as a function of the spatial position between the electrolyte and the channel electrodes. This is done by depositing a PEDOT:PSS film on a super-hydrophobic surface aimed at controlling the electrolyte confinement next to the electrodes. The device response shows that the confinement of ionic liquids near to the drain electrode results in a worsening of the current modulation. This result has been interpreted in the light of studies dealing with the transport of ions in semiconducting polymers, indicating that the electrolyte adsorption by the polymeric film implies the formation of liquid pathways inside its bulk. These pathways, in particular, affect the device response because they are able to assist the drift of ionic species in the electrolyte towards the drain electrode. The effect of electrolyte adsorption on the device operation is confirmed by means of moving-front measurements, and is related to the reproducibility of the device operation curves by measuring repeatedly its electrical response.

  10. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  11. Effect of metal surface composition on deposition behavior of stainless steel component dissolved in liquid sodium

    International Nuclear Information System (INIS)

    Yokota, Norikatsu; Shimoyashiki, Shigehiro

    1988-01-01

    Deposition behavior of corrosion products has been investigated to clarify the effect of metal surface composition on the deposition process in liquid sodium. For the study a sodium loop made of Type 304 stainless steel was employed. Deposition test pieces, which were Type 304 stainless steel, iron, nickel or Inconel 718, were immersed in the sodium pool of the test pot. Corrosion test pieces, which were Type 304 stainless steel, 50 at% Fe-50 at%Mn and Inconel 718, were set in a heater pin assembly along the axial direction of the heater pin surface. Sodium temperatures at the outlet and inlet of the heater pin assembly were controlled at 943 and 833 K, respectively. Sodium was purified at a cold trap temperature of 393 K and the deposition test was carried out for 4.3 x 10 2 - 2.9 x 10 4 ks. Several crystallized particles were observed on the surface of the deposition test pieces. The particles had compositions and crystal structures which depended on both the composition of deposition test pieces and the concentration of iron and manganese in sodium. Only iron-rich particles having a polyhedral shape deposited on the iron surface. Two types of particles, iron-rich α-phase and γ-phase with nearly the same composition as stainless steel, were deposited on Type 304 stainless steel. A Ni-Mn alloy was deposited on the nickel surface in the case of a higher concentration of manganese in sodium. On the other hand, for a lower manganese concentration, a Fe-Ni alloy was precipitated on the nickel surface. Particles deposited on nickel had a γ-phase crystal structure similar to the deposition test piece of nickel. Hence, the deposition process can be explained as follows: Corrosion products in liquid sodium were deposited on the metal surface by forming a metal alloy selectively with elements of the metal surface. (author)

  12. ZnS nanoflakes deposition by modified chemical method

    International Nuclear Information System (INIS)

    Desai, Mangesh A.; Sartale, S. D.

    2014-01-01

    We report deposition of zinc sulfide nanoflakes on glass substrates by modified chemical method. The modified chemical method involves adsorption of zinc–thiourea complex on the substrate and its dissociation in presence of hydroxide ions to release sulfur ions from thiourea which react with zinc ions present in the complex to form zinc sulfide nanoflakes at room temperature. Influence of zinc salt and thiourea concentrations ratios on the morphology of the films was investigated by scanning electron microscope (SEM). The ratio of zinc and thiourea in the zinc–thiourea complex significantly affect the size of the zinc sulfide nanoflakes, especially width and density of the nanoflakes. The X-ray diffraction analysis exhibits polycrystalline nature of the zinc sulfide nanoflakes with hexagonal phase

  13. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  14. Impact of acid atmospheric deposition on soils : quantification of chemical and hydrologic processes

    NARCIS (Netherlands)

    Grinsven, van J.J.M.

    1988-01-01

    Atmospheric deposition of SO x , NOx and NHx will cause major changes in the chemical composition of solutions in acid soils, which may affect the biological functions of the soil. This thesis deals with quantification of soil acidification by means of chemical

  15. Flexible, ionic liquid-based micro-supercapacitor produced by supersonic cluster beam deposition

    International Nuclear Information System (INIS)

    Bettini, L.G.; Piseri, P.; De Giorgio, F.; Arbizzani, C.; Milani, P.; Soavi, F.

    2015-01-01

    Highlights: • We exploited Supersonic Cluster Beam Deposition for the fabrication of a flexible, planar micro-supercapacitor featuring nanostructured carbon electrodes deposited on a plastic Mylar substrate and N-trimethyl-N-propyl-ammonium bis(trifluoromethanesulfonyl) imide (N 1113 TFSI) ionic liquid electrolyte. • The micro-supercapacitor operates at 3 V above RT up to 80 °C with a capacitance density approaching 10 F cm −3 and delivering maximum specific energy and power densities of 10 mWh cm −3 and 8-10 W cm −3 . • The micro-supercapacitor features long cycling stability over 2x10 4 cycle on flat and bent configuration. -- Graphical abstract: Display Omitted -- Abstract: Power generation and storage in electronics require flexible, thin micro-electrochemical energy storage/conversion systems. Micro-supercapacitors (μSCs) with double-layer capacitance carbon electrodes are attracting much attention for their capability of delivering short power pulses with high stability over repeated charge/discharge cycling. Supersonic Cluster Beam Deposition (SCBD) is an effective strategy for the development of nanostructured, binder-free porous carbon electrodes on temperature sensitive substrates including polymers. We exploited SCBD for the development of a flexible, planar μSC featuring nanostructured carbon (ns-C) electrodes deposited on a plastic Mylar substrate and N-trimethyl-N-propyl-ammonium bis(trifluoromethanesulfonyl) imide (N 1113 TFSI) ionic liquid electrolyte. The electrochemical performance at different temperatures of the μSC which operates at 3 V above RT up to 80 °C with a capacitance density approaching 10 F cm −3 and delivering maximum specific energy and power densities of 10 mWh cm −3 and 8-10 W cm −3 with long cycling stability over 2 × 10 4 cycles is here reported and discussed

  16. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  17. Are Banks Passive Liquidity Backstops? Deposit Rates and Flows during the 2007-2009 Crisis

    OpenAIRE

    Viral V. Acharya; Nada Mora

    2012-01-01

    Can banks maintain their advantage as liquidity providers when they are heavily exposed to a financial crisis? The standard argument - that banks can - hinges on deposit inflows that are seeking a safe haven and provide banks with a natural hedge to fund drawn credit lines and other commitments. We shed new light on this issue by studying the behavior of bank deposit rates and inflows during the 2007-09 crisis. Our results indicate that the role of the banking system as a stabilizing liquidit...

  18. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  19. An Electrochemical Investigation of the Chemical Diffusivity in Liquid Metal Alloys

    Science.gov (United States)

    Barriga, Salvador A.

    The liquid metal battery has been shown to be a viable candidate for grid-scale energy storage, due to its fast kinetics and ability to be constructed from economically feasible materials. Various of the liquid metal couples that form high stable voltages, such as the calcium chemistries, are rate limited because they tend to form solid intermetallic compounds with high melting points. In order to understand and better engineer these batteries, the kinetic properties of these liquid alloys, in particular the chemical diffusivity, must be known accurately so that it can be used as input in computational simulations to avoid the nucleation of any solids. Unfortunately, the dominant experimental methods for measuring diffusion in liquid metals today are unreliable because the measurement timescales are on the order of days, require long capillaries susceptible to buoyancy-driven flow from temperature fluctuations, and composition analysis must be done ex-situ as a solid. To counter all these problems, a new and novel method for measuring the chemical diffusivity of metals in liquid alloys derived from electrochemical principles is presented in this thesis. This new method has the advantage of operating in shorter times scales of minutes rather than days, and requires the use of small capillaries which collectively minimize the effect of convectively-driven flow caused from temperature gradients. This new method was derived by solving the same boundary conditions required by the galvanostatic intermittent titration technique for solid-state electrodes. To verify the validity of the new theoretical derivation, the method was used to measure the chemical diffusivity of calcium in liquid bismuth within the temperature range of 550 - 700 °C using a three-electrode setup with a ternary molten salt electrolyte. Three compositions where studied (5% Ca-Bi, 10% Ca-Bi, and 15% Ca-Bi) for comparison. The chemical diffusion coefficient was found to range between (6.77 +/- 0.21)x

  20. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  1. A simple method to deposit palladium doped SnO2 thin films using plasma enhanced chemical vapor deposition technique

    International Nuclear Information System (INIS)

    Kim, Young Soon; Wahab, Rizwan; Shin, Hyung-Shik; Ansari, S. G.; Ansari, Z. A.

    2010-01-01

    This work presents a simple method to deposit palladium doped tin oxide (SnO 2 ) thin films using modified plasma enhanced chemical vapor deposition as a function of deposition temperature at a radio frequency plasma power of 150 W. Stannic chloride (SnCl 4 ) was used as precursor and oxygen (O 2 , 100 SCCM) (SCCM denotes cubic centimeter per minute at STP) as reactant gas. Palladium hexafluroacetyleacetonate (Pd(C 5 HF 6 O 2 ) 2 ) was used as a precursor for palladium. Fine granular morphology was observed with tetragonal rutile structure. A peak related to Pd 2 Sn is observed, whose intensity increases slightly with deposition temperature. Electrical resistivity value decreased from 8.6 to 0.9 mΩ cm as a function of deposition temperature from 400 to 600 deg. C. Photoelectron peaks related to Sn 3d, Sn 3p3, Sn 4d, O 1s, and C 1s were detected with varying intensities as a function of deposition temperature.

  2. Gold catalytic Growth of Germanium Nanowires by chemical vapour deposition method

    Directory of Open Access Journals (Sweden)

    M. Zahedifar

    2013-03-01

    Full Text Available Germanium nanowires (GeNWs were synthesized using chemical vapor deposition (CVD based on vapor–liquid–solid (VLS mechanism with Au nanoparticles as catalyst and germanium tetrachloride (GeCl4 as a precursor of germanium. Au catalysts were deposited on silicon wafer as a thin film, firstly by sputtering technique and secondly by submerging the silicon substrates in Au colloidal solution, which resulted in Au nanoparticles with different sizes. GeNWs were synthesized at 400 °C, which is a low temperature for electrical device fabrication. Effect of different parameters such as Au nanoparticles size, carrier gas (Ar flow and mixture of H2 with the carrier gas on GeNWs diameter and shape was studied by SEM images. The chemical composition of the nanostructure was also examined by energy dispersive X-ray spectroscopy (EDS.

  3. The atmospheric chemical vapour deposition of coatings on glass

    International Nuclear Information System (INIS)

    Sanderson, Kevin David

    1996-01-01

    The deposition of thin films of indium oxide, tin doped indium oxide (ITO) and titanium nitride for solar control applications have been investigated by Atmospheric Chemical Vapour Deposition (APCVD). Experimental details of the deposition system and the techniques used to characterise the films are presented. Results from investigations into the deposition parameters, the film microstructure and film material properties are discussed. A range of precursors were investigated for the deposition of indium oxide. The effect of pro-mixing the vaporised precursor with an oxidant source and the deposition temperature has been studied. Polycrystalline In 2 O 3 films with a resistivity of 1.1 - 3x10 -3 Ω cm were obtained with ln(thd) 3 , oxygen and nitrogen. The growth of ITO films from ln(thd) 3 , oxygen and a range of tin dopants is also presented. The effect of the dopant precursor, the doping concentration, deposition temperature and the effect of additives on film growth and microstructure is discussed. Control over the preferred orientation growth of ITO has been achieved by the addition of acetate species during film growth. Insitu infra-red spectroscopy has been used to identify the gas phase species and identify the species responsible for the film modification. ITO films with a resistivities of 1.5 - 4x10 -4 Ω cm have been achieved. The deposition of titanium nitride by the APCVD of Ti(NMe 2 ) 4 and a mixture of Ti(NMe 2 ) 4 and ammonia is reported. Contamination of the films and pro-reaction between the precursors in the gas phase is discussed, and the synthesis of new precursors for the deposition of titanium nitride is reported. New precursors have been synthesised under anaerobic conditions and characterised by infra-red spectroscopy, 1 H and 13 C NMR, mass spectrometry, thermal gravemetric analysis and three by single crystal X-ray diffraction. Deposition of titanium nitride utilising two new precursors is reported. (author)

  4. Combined physical and chemical absorption of carbon dioxide in a mixture of ionic liquids

    International Nuclear Information System (INIS)

    Pinto, Alicia M.; Rodríguez, Héctor; Arce, Alberto; Soto, Ana

    2014-01-01

    Highlights: • Carbon dioxide can be absorbed in mixtures of two ionic liquids: [C 2 mim][EtSO 4 ] and [C 2 mim][OAc]. • A combination of physical and chemical absorption mechanisms is observed. • The CO 2 absorption capacity of the mixture of ionic liquids decreases with increasing temperature. • [C 2 mim][EtSO 4 ] in the mixture prevents solidification of the product resulting from reaction of [C 2 mim][OAc] and CO 2 . • Density and viscosity studies of the mixture of ionic liquids also lead to synergies, in particular at low temperatures. - Abstract: Ionic liquids have attracted great interest recently as the basis of a potential alternative technology for the capture of carbon dioxide. Beyond the inherent tunability of properties of individual ionic liquids, a further strategy in optimising the ionic liquid sorbent for this application is the use of mixtures of ‘pure’ ionic liquids. Some ionic liquids absorb CO 2 physically, whereas others do so chemically. Both mechanisms of absorption present advantages and disadvantages for a CO 2 capture process operating in a continuous regime. In this work, a mixture of 1-ethyl-3-methylimidazolium acetate (an ionic liquid that reacts chemically with CO 2 ) and 1-ethyl-3-methylimidazolium ethylsulfate (an ionic liquid that absorbs CO 2 only through a physical mechanism) was investigated for the absorption of CO 2 as a function of temperature and at pressures up to 17 bar. The absorption/desorption studies were complemented by the characterisation of thermal and physical properties of the mixture of ionic liquids, which provide extra information on the interactions at a molecular level, and are also critical for the assessment of its suitability for a proposed process and for the subsequent process design

  5. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  6. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  7. The removal of dinitrochlorobenzene from industrial residuals by liquid-liquid extraction with chemical reaction

    Directory of Open Access Journals (Sweden)

    G. C. M. Ferreira

    2007-09-01

    Full Text Available Nitrochlorobenzenes (NCBs are very important in the chemical industry since they have been used as raw material for the manufacture of crop protection products, as active ingredients in the pharmaceutical industry, as pigments and as antioxidants as well as for other uses. In industrial processes, NCBs are produced by monochlorobenzene (MCB nitration reactions and one of the main residuals formed is dinitrochlorobenzene (DNCB, which is mainly composed of the isomer 2,4DNCB. This subproduct, although of commercial interest when in its pure state, is generally incinerated due to the high costs of recovery treatment and purification. The objective of this study is to present an alternative to the treatment of industrial residuals containing DNCB. The technique consists of converting DNCB into sodium dinitrophenolate, which is very soluble in water and is also easy to reuse. For this purpose, liquid-liquid extraction with chemical reaction (alkaline hydrolysis with a rotating disc contactor (RDC is used. Experimental data on MCB nitration reactions as well as alkaline hydrolysis using a rotating disc contactor are presented.

  8. Electro-chemical deposition of nano hydroxyapatite-zinc coating on titanium metal substrate.

    Science.gov (United States)

    El-Wassefy, N A; Reicha, F M; Aref, N S

    2017-08-13

    Titanium is an inert metal that does not induce osteogenesis and has no antibacterial properties; it is proposed that hydroxyapatite coating can enhance its bioactivity, while zinc can contribute to antibacterial properties and improve osseointegration. A nano-sized hydroxyapatite-zinc coating was deposited on commercially pure titanium using an electro-chemical process, in order to increase its surface roughness and enhance adhesion properties. The hydroxyapatite-zinc coating was attained using an electro-chemical deposition in a solution composed of a naturally derived calcium carbonate, di-ammonium hydrogen phosphate, with a pure zinc metal as the anode and titanium as the cathode. The applied voltage was -2.5 for 2 h at a temperature of 85 °C. The resultant coating was characterized for its surface morphology and chemical composition using a scanning electron microscope (SEM), energy dispersive x-ray spectroscope (EDS), and Fourier transform infrared (FT-IR) spectrometer. The coated specimens were also evaluated for their surface roughness and adhesion quality. Hydroxyapatite-zinc coating had shown rosette-shaped, homogenous structure with nano-size distribution, as confirmed by SEM analysis. FT-IR and EDS proved that coatings are composed of hydroxyapatite (HA) and zinc. The surface roughness assessment revealed that the coating procedure had significantly increased average roughness (Ra) than the control, while the adhesive tape test demonstrated a high-quality adhesive coat with no laceration on tape removal. The developed in vitro electro-chemical method can be employed for the deposition of an even thickness of nano HA-Zn adhered coatings on titanium substrate and increases its surface roughness significantly.

  9. Measurement of chemical diffusion coefficients in liquid binary alloys

    International Nuclear Information System (INIS)

    Keita, M.; Steinemann, S.; Kuenzi, H.U.

    1976-01-01

    New measurements of the chemical diffusion coefficient in liquid binary alloys are presented. The wellknown geometry of the 'capillary-reservoir' is used and the concentration is obtained from a resistivity measurement. The method allows to follow continuously the diffusion process in the liquid state. A precision of at least 10% in the diffusion coefficient is obtained with a reproductibility better than 5%. The systems Hg-In, Al-Sn, Al-Si have been studied. Diffusion coefficients are obtained as a function of temperature, concentration, and geometrical factors related to the capillary (diameter, relative orientation of density gradient and gravity). (orig.) [de

  10. Liquid as template for next generation micro devices

    International Nuclear Information System (INIS)

    Charmet, Jerome; Haquette, Henri; Laux, Edith; Keppner, Herbert; Gorodyska, Ganna; Textor, Marcus; Durante, Guido Spinola; Portuondo-Campa, Erwin; Knapp, Helmut; Bitterli, Roland; Noell, Wilfried

    2009-01-01

    Liquids have fascinated generations of scientists and engineers. Since ancient Greece, the perfect natural shape of liquids has been used to create optical systems. Nowadays, the natural shape of liquid is used in the fabrication of microlens arrays that rely on the melting of glass or photoresist to generate high quality lenses. However shrinkage normally associated to the liquid to solid phase transition will affect the initial shape and quality of the liquid structure. In this contribution, a novel fabrication technique that enables the encapsulation and replication of liquid templates without affecting their natural shape is presented. The SOLID (SOlid on LIquid Deposition) process allows for a transparent solid film to be deposited and grown onto a liquid template (droplet, film, line) in a way that the liquid shapes the overgrowing solid layer. The resulting configuration of the SOLID devices is chemically and mechanically stable and is the base of a huge variety of new micro-nano systems in the field of microfluidics, biomedical devices and micro-optics among others. The SOLID process enables in a one step process the encapsulation of liquid microlenses, fluidics channels, drug reservoir or any naturally driven liquid structure. The phenomenon and solid-liquid interface resulting from the SOLID process is new and still unexploited. The solid layer used for the SOLID process chosen in this paper is poly-para-xylylene called Parylene, a transparent biocompatible polymer with excellent mechanical and chemical properties. Moreover, as the solid layer is growing over a liquid template, atomically smooth surfaces channels can be obtained. The polymerization of Parylene does not exert stress and does not change the shape of the liquid; this latter aspect is particularly interesting for manufacturing naturally driven liquid structures. In this paper the authors explore the limits of this new method by testing different designs of SOLID encapsulated structures and

  11. Investigation of CdS/InP heterojunction prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Rakovics, V.; Horvath, Zs.J.; Horvath, Zs.E.; Barsony, I.; Frigeri, C.; Besagni, T.

    2007-01-01

    CdS thin films have been deposited on InP and glass substrates using the chemical bath deposition technique. Baths containing CdSO 4 , thiourea, and NH 3 were used. The temperature of the deposition process was 65 C and the duration of deposition varied between 20 and 160 minutes. The properties of the CdS/InP heterojunction were investigated by TEM, EDS and X-ray diffraction. TEM pictures, EDS and X-ray rocking curves indicate the formation of a β-In 2 S 3 transition layer at the InP-CdS interface, which may reduce the lattice mismatch between InP and CdS. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Causal Relationship between Liquidity and Profitability of Nigerian Deposit Money Banks

    OpenAIRE

    Odunayo M. Olarewaju; Oluwafeyisayo K. Adeyemi

    2015-01-01

    The aim of this paper is to examine the existence and direction of causality between liquidity and profitability of deposit money banks in Nigeria. Fifteen quoted banks out of the existing nineteen banks were selected for the study. They are; Guarantee Trust bank, Zenith bank, Skye bank, Wema bank, Sterling bank, First City Monument bank, United Bank for Africa, Eco bank, First bank, Access bank, Diamond bank, Unity bank, Fidelity bank, Union bank and IBTC bank. Pairwise Granga Causality test...

  13. ZnO based nanowires grown by chemical vapour deposition for selective hydrogenation of acetylene alcohols

    NARCIS (Netherlands)

    Protasova, L.N.; Rebrov, E.; Choy, K.L.; Pung, S.Y.; Engels, V.; Cabaj, M.; Wheatley, A.E.H.; Schouten, J.C.

    2011-01-01

    Vertically aligned ZnO nanowires (NWs) with a length of 1.5–10 µm and a mean diameter of ca. 150 nm were grown by chemical vapour deposition onto a c-oriented ZnO seed layer which was deposited by atomic layer deposition on Si substrates. The substrates were then spin-coated with an ethanol solution

  14. Chemical Controls of Ozone Dry Deposition to the Sea Surface Microlayer

    Science.gov (United States)

    Carpenter, L.; Chance, R.; Tinel, L.; Saint, A.; Sherwen, T.; Loades, D.; Evans, M. J.; Boxhall, P.; Hamilton, J.; Stolle, C.; Wurl, O.; Ribas-Ribas, M.; Pereira, R.

    2017-12-01

    Oceanic dry deposition of atmospheric ozone (O3) is both the largest and most uncertain O3 depositional sink, and is widely acknowledged to be controlled largely by chemical reactions in the sea surface microlayer (SML) involving iodide (I-) and dissolved organic material (DOM). These reactions not only determine how quickly O3 can be removed from the atmosphere, but also result in emissions of trace gases including volatile organic compounds and may constitute a source of secondary organic aerosols to the marine atmosphere. Iodide concentrations at the sea surface vary by approximately an order of magnitude spatially, leading to more than fivefold variation in ozone deposition velocities (and volatile iodine fluxes). Sea-surface temperature is a reasonable predictor of [I-], however two recent parameterisations for surface I- differ by a factor of two at low latitudes. The nature and reactivity of marine DOM to O3 is almost completely unknown, although studies have suggested approximately equivalent chemical control of I- and DOM on ozone deposition. Here we present substantial new measurements of oceanic I- in both bulk seawater and the overlying SML, and show improved estimates of the global sea surface iodide distribution. We also present analyses of water-soluble DOM isolated from the SML and bulk seawater, and corresponding laboratory studies of ozone uptake to bulk and SML seawater, with the aim of characterizing the reactivity of O3 towards marine DOM.

  15. Evaluation of physical and chemical properties and their interactions in fat, oil, and grease (FOG) deposits.

    Science.gov (United States)

    Gross, Martin A; Jensen, Jeanette L; Gracz, Hanna S; Dancer, Jens; Keener, Kevin M

    2017-10-15

    Fat, oil and grease (FOG) blockages in sewer systems are a substantial problem in the United States. It has been estimated that over 50% of sewer overflows are a result of FOG blockages. In this work, a thorough laboratory study was undertaken to examine key variables that contribute to FOG deposit formation under controlled conditions. Physical and chemical properties and their interactions were evaluated and conditions that generated deposits that mimicked field FOG deposits were identified. It was found that 96 of the of 128 reaction conditions tested in the laboratory formed FOG deposits with similar physical and chemical characteristics as field FOG deposits. It was also found that FOG deposits can be created through fatty acid crystallization and not just saponification. Furthermore FOG deposits were found to be more complex than previously documented and contain free fatty acids, fatty acid metal salts, triacylglycerol's, diacylglycerol's and, monoacylglycerol's. Lastly it was found that FOG deposits that only contained saturated fatty acids were on average 2.1 times higher yield strength than deposits that contained unsaturated fatty acids. Copyright © 2017 Elsevier Ltd. All rights reserved.

  16. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  17. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    International Nuclear Information System (INIS)

    Smith, James Anthony

    2002-01-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH 3 radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch 4 /H 2 and C 2 H 2 /H 2 gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C 2 →C 1 species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH 3 /CH 4 /H 2 and N 2 /CH 4 /H 2 gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH 3 , influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H 2 /CH 4 /N 2 gas mixture. Spatially resolved species emission intensity maps were obtained for C 2 (d→a), CN(B→X) and H β from Abel-inverted datasets. The C 2 (d→a) and CN(B→X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N 2 additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C 2 (a) in a DC-arcjet reactor operating on an Ar/H 2 /CH 4 gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C 2 (v=0) transition revealed a rotational temperature of ∼3300 K. This gas temperature is similar to that deduced from optical emission spectroscopy studies of the C 2 (d→a) transition. (author)

  18. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  19. Monitoring of Soft Deposition Layers in Liquid-Filled Tubes with Guided Acoustic Waves Excited by Clamp-on Transducers.

    Science.gov (United States)

    Tietze, Sabrina; Singer, Ferdinand; Lasota, Sandra; Ebert, Sandra; Landskron, Johannes; Schwuchow, Katrin; Drese, Klaus Stefan; Lindner, Gerhard

    2018-02-09

    The monitoring of liquid-filled tubes with respect to the formation of soft deposition layers such as biofilms on the inner walls calls for non-invasive and long-term stable sensors, which can be attached to existing pipe structures. For this task a method is developed, which uses an ultrasonic clamp-on device. This method is based on the impact of such deposition layers on the propagation of circumferential guided waves on the pipe wall. Such waves are partly converted into longitudinal compressional waves in the liquid, which are back-converted to guided waves in a circular cross section of the pipe. Validating this approach, laboratory experiments with gelatin deposition layers on steel tubes exhibited a distinguishable sensitivity of both wave branches with respect to the thickness of such layers. This allows the monitoring of the layer growth.

  20. Kinetic Study of the Chemical Vapor Deposition of Tantalum in Long Narrow Channels

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Petrushina, Irina

    2016-01-01

    A kinetic study of the chemical vapor deposition of tantalum in long narrow channels is done to optimize the industrial process for the manufacture of tantalum coated plate heat exchangers. The developed model fits well at temperatures between 750 and 850 °C, and in the pressure range of25–990 mbar....... According to the model, the predominant tantalum growth species is TaCl3. The temperature is shown to have a pronounced effect onthe morphology and rate of deposition of the tantalum and an apparent change in deposition mechanism occurs between 850–900 °C, resulting in the deposition rate at 900 °C being...

  1. Physico-chemical treatment of liquid waste on an industrial plant for electrocoagulation.

    Science.gov (United States)

    Mlakar, Matej; Levstek, Marjetka; Stražar, Marjeta

    2017-10-01

    Wastewater from washing, oil separators, the metal processing and detergent industries, was tested and treated for treatment of different types of liquid waste at industrial level at Domžale-Kamnik Wastewater Treatment Plant (WWTP). The effect of implementing the electrocoagulation (EC) and flotation processes, respectively, is analysed and includes the duration of the EC implementation, voltage, number of electrodes, and chemical addition, as well as the pH effect and conductivity. The tests were performed not only on various types of liquid waste, but also on different mixtures of liquid waste. Laboratory analysis of the samples before and after EC have shown an effective reduction not only in organic loads in accordance with the COD (chemical oxygen demand) parameter, but also in mineral oil content, toxic metal concentration, and surfactants. The COD in liquid waste from the detergent industry was reduced by 73% and the content of surfactants by 64%. In liquid waste from the metal processing industry, the COD decreased by up to 95%, while the content of toxic metals decreased from 59 to 99%. Similar phenomena were shown in liquid waste from oil separators, where the COD was reduced to 33% and the concentration of mineral oils by 99%. Some of the liquid wastes were mixed together in the ratio 1:1, thus allowing testing of the operation of EC technology in heterogeneous liquid waste, where the final result proved to be effective cleaning as well. After treatment in the process of EC, the limit values of the treated water proved appropriate for discharge into the sewerage system.

  2. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  3. Expanding thermal plasma chemical vapour deposition of ZnO:Al layers for CIGS solar cells

    NARCIS (Netherlands)

    Sharma, K.; Williams, B.L.; Mittal, A.; Knoops, H.C.M.; Kniknie, B.J.; Bakker, N.J.; Kessels, W.M.M.; Schropp, R.E.I.; Creatore, M.

    2014-01-01

    Aluminium-doped zinc oxide (ZnO:Al) grown by expanding thermal plasma chemical vapour deposition (ETP-CVD) has demonstrated excellent electrical and optical properties, which make it an attractive candidate as a transparent conductive oxide for photovoltaic applications. However, when depositing

  4. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann; Stenchikov, Georgiy L.; Prakash, P. Jish; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-01-01

    ) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period

  5. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  6. SWOT analysis for safer carriage of bulk liquid chemicals in tankers.

    Science.gov (United States)

    Arslan, Ozcan; Er, Ismail Deha

    2008-06-15

    The application of strengths, weaknesses, opportunities and threats (SWOT) analysis to formulation of strategy concerned with the safe carriage of bulk liquid chemicals in maritime tankers was examined in this study. A qualitative investigation using SWOT analysis has been implemented successfully for ships that are designed to carry liquid chemicals in bulk. The originality of this study lies in the use of SWOT analysis as a management tool to formulate strategic action plans for ship management companies, ship masters and officers for the carriage of dangerous goods in bulk. With this transportation-based SWOT analysis, efforts were made to explore the ways and means of converting possible threats into opportunities, and changing weaknesses into strengths; and strategic plans of action were developed for safer tanker operation.

  7. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  8. Chemical bath deposited PbS thin films on ZnO nanowires for photovoltaic applications

    Energy Technology Data Exchange (ETDEWEB)

    Gertman, Ronen [Dept of Chemistry, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Osherov, Anna; Golan, Yuval [Dept of Materials Engineering, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Visoly-Fisher, Iris, E-mail: irisvf@bgu.ac.il [Ilse Katz Institute for Nanoscale Science and Technology, Ben Gurion University of the Negev, Be' er Sheva 84105 (Israel); Department of Solar Energy and Environmental Physics, Swiss Institute for Dryland Environmental and Energy Research, Jacob Blaustein Institutes for Desert Research, Ben Gurion University of the Negev, Sede Boqer Campus 84990 (Israel)

    2014-01-01

    Photovoltaic devices usually exploit mid-range band-gap semiconductors which absorb in the visible range of the solar spectrum. However, much energy is lost in the IR and near-IR range. We combined the advantages of small band-gap, bulk-like PbS deposited by facile, cheap and direct chemical bath deposition (CBD), with the good electronic properties of ZnO and the large surface area of nanowires, towards low cost photovoltaic devices utilizing IR and near-IR light. Surprisingly, CBD of PbS on ZnO, and particularly on ZnO nanowires, was not studied hitherto. Therefore, the mechanism of PbS growth by chemical bath deposition on ZnO nanowires was studied in details. A visible proof is shown for a growth mechanism starting from amorphous Pb(OH){sub 2} layer, that evolved into the ‘ion-by-ion’ growth mechanism. The growth mechanism and the resulting morphology at low temperatures were controlled by the thiourea concentration. The grain size affected the magnitude of the band-gap and was controlled by the deposition temperatures. Deposition above 40 °C resulted in bulk-like PbS with an optical band-gap of 0.4 eV. Methods were demonstrated for achieving complete PbS coverage of the complex ZnO NW architecture, a crucial requirement in optoelectronic devices to prevent shorts. Measurements of photocurrents under white and near-IR (784 nm) illumination showed that despite a 200 meV barrier for electron transfer at the PbS/ZnO interface, extraction of photo-electrons from PbS to the ZnO was feasible. The ability to harvest electrons from a narrow band-gap semiconductor deposited on a large surface-area electrode can advance the field towards high efficiency, low cost IR and near-IR sensors and third generation solar cells. - Highlights: • PbS was deposited on ZnO nanowires using chemical bath deposition. • At 50 °C the growth mechanism starts from an amorphous Pb(OH){sub 2} layer. • At 5 °C the growth mechanism of PbS can be controlled by thiourea concentrations

  9. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  10. Direct patterning of nanoparticles and biomolecules by liquid nanodispensing.

    Science.gov (United States)

    Fabié, Laure; Agostini, Pierre; Stopel, Martijn; Blum, Christian; Lassagne, Benjamin; Subramaniam, Vinod; Ondarçuhu, Thierry

    2015-03-14

    We report on the localized deposition of nanoparticles and proteins, nano-objects commonly used in many nanodevices, by the liquid nanodispensing (NADIS) technique which consists in depositing droplets of a solution through a nanochannel drilled at the apex of an AFM tip. We demonstrate that the size of spots can be adjusted from microns down to sub-50 nm by tuning the channel diameter, independently of the chemical nature of the solute. In the case of nanoparticles, we demonstrated the ultimate limit of the method and showed that large arrays of single (or pairs of) nanoparticles can be reproducibly deposited. We further explored the possibility to deposit different visible fluorescent proteins using NADIS without loss of protein function. The intrinsic fluorescence of these proteins is characteristic of their structural integrity; the retention of fluorescence after NADIS deposition demonstrates that the proteins are intact and functional. This study demonstrates that NADIS can be a viable alternative to other scanning probe lithography techniques since it combines high resolution direct writing of nanoparticles or biomolecules with the versatility of liquid lithography techniques.

  11. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  12. Studies of CdS/CdTe interface: Comparison of CdS films deposited by close space sublimation and chemical bath deposition techniques

    Energy Technology Data Exchange (ETDEWEB)

    Han, Jun-feng, E-mail: pkuhjf@bit.edu.cn [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); School of Physics, Beijing Institute of Technology, Beijing 100081 (China); Fu, Gan-hua; Krishnakumar, V.; Schimper, Hermann-Josef [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Liao, Cheng [Department of Physics, Peking University, Beijing 100871 (China); Jaegermann, Wolfram [Institute of Materials Science, Darmstadt University of Technology, Petersenstr. 23, 64287 Darmstadt (Germany); Besland, M.P. [Institut des Matériaux Jean Rouxel (IMN), Université de Nantes, UMR CNRS 6502, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France)

    2015-05-01

    The CdS layers were deposited by two different methods, close space sublimation (CSS) and chemical bath deposition (CBD) technique. The CdS/CdTe interface properties were investigated by transmission electron microscope (TEM) and X-ray photoelectron spectroscopy (XPS). The TEM images showed a large CSS-CdS grain size in the range of 70-80 nm. The interface between CSS-CdS and CdTe were clear and sharp, indicating an abrupt hetero-junction. On the other hand, CBD-CdS layer had much smaller grain size in the 5-10 nm range. The interface between CBD-CdS and CdTe was not as clear as CSS-CdS. With the stepwise coverage of CdTe layer, the XPS core levels of Cd 3d and S 2p in CSS-CdS had a sudden shift to lower binding energies, while those core levels shifted gradually in CBD-CdS. In addition, XPS depth profile analyses indicated a strong diffusion in the interface between CBD-CdS and CdTe. The solar cells prepared using CSS-CdS yielded better device performance than the CBD-CdS layer. The relationships between the solar cell performances and properties of CdS/CdTe interfaces were discussed. - Highlights: • Studies of CdS deposited by close space sublimation and chemical bath deposition • An observation of CdS/CdTe interface by transmission electron microscope • A careful investigation of CdS/CdTe interface by X ray photoelectron spectra • An easier diffusion at the chemical bath deposition CdS and CdTe interface.

  13. Deposition barium titanate (BaTiO3) doped lanthanum with chemical solution deposition

    International Nuclear Information System (INIS)

    Iriani, Y.; Nurhadi, N.; Jamaludin, A.

    2016-01-01

    Deposition of Barium Titanate (BaTiO 3 ) thin films used Chemical Solution Deposition (CSD) method and prepared with spin coater. BaTiO 3 is doped with lanthanum, 1%, 2%, and 3%. The thermal process use annealing temperature 900°C and holding time for 3 hours. The result of characterization with x-ray diffraction (XRD) equipment show that the addition of La 3+ doped on Barium Titanate caused the change of angle diffraction.The result of refine with GSAS software shows that lanthanum have been included in the structure of BaTiO 3 . Increasing mol dopant La 3+ cause lattice parameter and crystal volume become smaller. Characterization result using Scanning Electron Microscopy (SEM) equipment show that grain size (grain size) become smaller with increasing mole dopant (x) La 3+ . The result of characterization using Sawyer Tower methods show that all the samples (Barium Titanante and Barium Titanate doped lanthanum) are ferroelectric material. Increasing of mole dopant La 3+ cause smaller coercive field and remanent polarization increases. (paper)

  14. Preparation and structure of porous dielectrics by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Gates, S. M.; Neumayer, D. A.; Sherwood, M. H.; Grill, A.; Wang, X.; Sankarapandian, M.

    2007-01-01

    The preparation of ultralow dielectric constant porous silicon, carbon, oxygen, hydrogen alloy dielectrics, called 'pSiCOH', using a production 200 mm plasma enhanced chemical vapor deposition tool and a thermal treatment is reported here. The effect of deposition temperature on the pSiCOH film is examined using Fourier transform infrared (FTIR) spectroscopy, dielectric constant (k), and film shrinkage measurements. For all deposition temperatures, carbon in the final porous film is shown to be predominantly Si-CH 3 species, and lower k is shown to correlate with increased concentration of Si-CH 3 . NMR and FTIR spectroscopies clearly detect the loss of a removable, unstable, hydrocarbon (CH x ) phase during the thermal treatment. Also detected are increased cross-linking of the Si-O skeleton, and concentration changes for three distinct structures of carbon. In the as deposited films, deposition temperature also affects the hydrocarbon (CH x ) content and the presence of C=O and C=C functional groups

  15. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  16. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  17. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  18. Impacts of chemical enhancers on skin permeation and deposition of terbinafine.

    Science.gov (United States)

    Erdal, Meryem Sedef; Peköz, Ayca Yıldız; Aksu, Buket; Araman, Ahmet

    2014-08-01

    The addition of chemical enhancers into formulations is the most commonly employed approach to overcome the skin barrier. The objective of this work was to evaluate the effect of vehicle and chemical enhancers on the skin permeation and accumulation of terbinafine, an allylamine antifungal drug. Terbinafine (1% w/w) was formulated as a Carbopol 934 P gel formulation in presence and absence of three chemical enhancers, nerolidol, dl-limonene and urea. Terbinafine distribution and deposition in stratum corneum (SC) and skin following 8-h ex vivo permeation study was determined using a sequential tape stripping procedure. The conformational order of SC lipids was investigated by ATR-FTIR spectroscopy. Nerolidol containing gel formulation produced significantly higher enhancement in terbinafine permeation through skin and its skin accumulation was increased. ATR-FTIR results showed enhancer induced lipid bilayer disruption in SC. Urea resulted in enhanced permeation of terbinafine across the skin and a balanced distribution to the SC was achieved. But, dl-limonene could not minimize the accumulation of terbinafine in the upper SC. Nerolidol dramatically improved the skin permeation and deposition of terbinafine in the skin that might help to optimize targeting of the drug to the epidermal sites as required for both of superficial and deep cutaneous fungal infections.

  19. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  20. Processes for converting biomass-derived feedstocks to chemicals and liquid fuels

    Science.gov (United States)

    Held, Andrew; Woods, Elizabeth; Cortright, Randy; Gray, Matthew

    2018-04-17

    The present invention provides processes, methods, and systems for converting biomass-derived feedstocks to liquid fuels and chemicals. The method generally includes the reaction of a hydrolysate from a biomass deconstruction process with hydrogen and a catalyst to produce a reaction product comprising one of more oxygenated compounds. The process also includes reacting the reaction product with a condensation catalyst to produce C.sub.4+ compounds useful as fuels and chemicals.

  1. Processes for converting biomass-derived feedstocks to chemicals and liquid fuels

    Science.gov (United States)

    Held, Andrew; Woods, Elizabeth; Cortright, Randy; Gray, Matthew

    2017-05-23

    The present invention provides processes, methods, and systems for converting biomass-derived feedstocks to liquid fuels and chemicals. The method generally includes the reaction of a hydrolysate from a biomass deconstruction process with hydrogen and a catalyst to produce a reaction product comprising one of more oxygenated compounds. The process also includes reacting the reaction product with a condensation catalyst to produce C.sub.4+ compounds useful as fuels and chemicals.

  2. Effective interactions between concentration fluctuations and charge transfer in chemically ordering liquid alloys

    International Nuclear Information System (INIS)

    Akdeniz, Z.; Tosi, M.P.

    1992-08-01

    The correlations between long-wavelength fluctuations of concentration in a liquid binary alloy are determined by a balance between an elastic strain free energy and an Ornstein-Zernike effective interaction. The latter is extracted from thermodynamic data in the case of the Li-Pb system, which is well known to chemically order with stoichiometric composition corresponding to Li 4 Pb. Strong attractive interactions between concentration fluctuations near the composition of chemical ordering originate from electronic charge transfer, which is estimated from the electron-ion partial structure factors as functions of composition in the liquid alloy. (author). 20 refs, 2 figs

  3. Paraffin/expanded graphite phase change composites with enhanced thermal conductivity prepared by implanted β-SiC nanowires with chemical vapor deposition method

    Science.gov (United States)

    Yin, Zhaoyu; Zhang, Xiaoguang; Huang, Zhaohui; Liu, Silin; Zhang, Weiyi; Liu, Yan'gai; Wu, Xiaowen; Fang, Minghao; Min, Xin

    2018-02-01

    Expanded graphite/β-SiC nanowires composites (ESNC) were prepared through chemical vapor deposition, and paraffin/expanded graphite/β-SiC nanowires composites (PESNC) were made through vacuum impregnation to overcome liquid leakage during phase transition and enhance the thermal conductivity of paraffin. Fourier transform infrared spectroscopy showed no chemical interactions between the paraffin and ESNC. Differential scanning calorimetry estimated the temperature and latent heat of PESNC during melting to 45.73 °C and 124.31 J g-1, respectively. The respective values of these quantities during freezing were recorded as 48.93 °C and 124.14 J g-1. The thermal conductivity of PESNC was estimated to 0.75 W mK-1, which was 3.26-folds that of pure paraffin (0.23 W mK-1). PESNC perfectly maintained its phase transition after 200 melting-freezing cycles. The resulting ideal thermal conductivity, good chemical stability, thermal properties and thermal reliability of PESNC are promising for use in energy efficient buildings and solar energy systems.

  4. Laser diagnostics of a diamond depositing chemical vapour deposition gas-phase environment

    Energy Technology Data Exchange (ETDEWEB)

    Smith, James Anthony

    2002-07-01

    Studies have been carried out to understand the gas-phase chemistry underpinning diamond deposition in hot filament and DC-arcjet chemical vapour deposition (CVD) systems. Resonance enhanced Multiphoton lonisation (REMPI) techniques were used to measure the relative H atom and CH{sub 3} radical number densities and local gas temperatures prevalent in a hot filament reactor, operating on Ch{sub 4}/H{sub 2} and C{sub 2}H{sub 2}/H{sub 2} gas mixtures. These results were compared to a 3D-computer simulation, and hence provided an insight into the nature of the gas-phase chemistry with particular reference to C{sub 2}{yields}C{sub 1} species conversion. Similar experimental and theoretical studies were also carried out to explain the chemistry involved in NH{sub 3}/CH{sub 4}/H{sub 2} and N{sub 2}/CH{sub 4}/H{sub 2} gas mixtures. It was demonstrated that the reactive nature of the filament surface was dependent on the addition of NH{sub 3}, influencing atomic hydrogen production, and thus the H/C/N gas-phase chemistry. Studies of the DC-arcjet diamond CVD reactor consisted of optical emission spectroscopic studies of the plume during deposition from an Ar/H{sub 2}/CH{sub 4}/N{sub 2} gas mixture. Spatially resolved species emission intensity maps were obtained for C{sub 2}(d{yields}a), CN(B{yields}X) and H{sub {beta}} from Abel-inverted datasets. The C{sub 2}(d{yields}a) and CN(B{yields}X) emission intensity maps both show local maxima near the substrate surface. SEM and Laser Raman analyses indicate that N{sub 2} additions lead to a reduction in film quality and growth rate. Photoluminescence and SIMS analyses of the grown films provide conclusive evidence of nitrogen incorporation (as chemically bonded CN). Absolute column densities of C{sub 2}(a) in a DC-arcjet reactor operating on an Ar/H{sub 2}/CH{sub 4} gas mixture, were measured using Cavity ring down spectroscopy. Simulations of the measured C{sub 2}(v=0) transition revealed a rotational temperature of {approx

  5. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  6. High-Performance Liquid Chromatography in the Undergraduate Chemical Engineering Laboratory

    Science.gov (United States)

    Frey, Douglas D.; Guo, Hui; Karnik, Nikhila

    2013-01-01

    This article describes the assembly of a simple, low-cost, high-performance liquid chromatography (HPLC) system and its use in the undergraduate chemical engineering laboratory course to perform simple experiments. By interpreting the results from these experiments students are able to gain significant experience in the general method of…

  7. Cu-Al alloy formation by thermal annealing of Cu/Al multilayer films deposited by cyclic metal organic chemical vapor deposition

    Science.gov (United States)

    Moon, Hock Key; Yoon, Jaehong; Kim, Hyungjun; Lee, Nae-Eung

    2013-05-01

    One of the most important issues in future Cu-based interconnects is to suppress the resistivity increase in the Cu interconnect line while decreasing the line width below 30 nm. For the purpose of mitigating the resistivity increase in the nanoscale Cu line, alloying Cu with traces of other elements is investigated. The formation of a Cu alloy layer using chemical vapor deposition or electroplating has been rarely studied because of the difficulty in forming Cu alloys with elements such as Al. In this work, Cu-Al alloy films were successfully formed after thermal annealing of Cu/Al multilayers deposited by cyclic metal-organic chemical vapor deposition (C-MOCVD). After the C-MOCVD of Cu/Al multilayers without gas phase reaction between the Cu and Al precursors in the reactor, thermal annealing was used to form Cu-Al alloy films with a small Al content fraction. The resistivity of the alloy films was dependent on the Al precursor delivery time and was lower than that of the aluminum-free Cu film. No presence of intermetallic compounds were detected in the alloy films by X-ray diffraction measurements and transmission electron spectroscopy.

  8. Sodium vapor deposition onto a horizontal flat plate above liquid sodium surface, 2

    International Nuclear Information System (INIS)

    Kudo, Kazuhiko; Hirata, Masaru.

    1977-01-01

    The sodium vapor deposition onto a horizontal flat plate above liquid sodium surface was studied. The analysis was performed by assuming that the sodium mist is emitted into the main flow without condensation and then grows up in the main flow and drops on the sodium surface. The effects of growth of sodium mist to the system were investigated. The model of the phenomena is the sodium deposition onto a horizontal flat plate which is placed above the sodium surface with the medium cover gas. One-dimensional analysis can be done. The rate of deposition is greatly reduced when the temperature of the flat plate is lowered. For the analysis of this phenomena, it is assumed that the sodium mist grows by condensation. One of results is that the real state may be the state between the state that the condensation of mist is made in the boundary layer and the state that the mist is condensed in the main flow. Others are that there is no effect of sodium mist condensation on the rate of deposition, and that the rate of the vaporization of sodium is given by the original and the modified model. (Kato, T.)

  9. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  10. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  11. Electrophoretic deposition of multi-walled carbon nanotubes on porous anodic aluminum oxide using ionic liquid as a dispersing agent

    Science.gov (United States)

    Hekmat, F.; Sohrabi, B.; Rahmanifar, M. S.; Jalali, A.

    2015-06-01

    Multi-wall carbon nanotubes (MW-CNTs) have been arranged in nanochannels of anodic aluminum oxide template (AAO) by electrophoretic deposition (EPD) to make a vertically-aligned carbon nanotube (VA-CNT) based electrode. Well ordered AAO templates were prepared by a two-step anodizing process by applying a constant voltage of 45 V in oxalic acid solution. The stabilized CNTs in a water-soluble room temperature ionic liquid (1-methyl-3-octadecylimidazolium bromide), were deposited in the pores of AAO templates which were conductive by deposition of Ni nanoparticles in the bottom of pores. In order to obtain ideal results, different EPD parameters, such as concentration of MWCNTs and ionic liquid on stability of MWCNT suspensions, deposition time and voltage which are applied in EPD process and also optimal conditions for anodizing of template were investigated. The capacitive performance of prepared electrodes was analyzed by measuring the specific capacitance from cyclic voltammograms and the charge-discharge curves. A maximum value of 50 Fg-1 at the scan rate of 20 mV s-1was achieved for the specific capacitance.

  12. Butanol Dehydration over V₂O₅-TiO₂/MCM-41 Catalysts Prepared via Liquid Phase Atomic Layer Deposition.

    Science.gov (United States)

    Choi, Hyeonhee; Bae, Jung-Hyun; Kim, Do Heui; Park, Young-Kwon; Jeon, Jong-Ki

    2013-04-29

    MCM-41 was used as a support and, by using atomic layer deposition (ALD) in the liquid phase, a catalyst was prepared by consecutively loading titanium oxide and vanadium oxide to the support. This research analyzes the effect of the loading amount of vanadium oxide on the acidic characteristics and catalytic performance in the dehydration of butanol. The physical and chemical characteristics of the TiO₂-V₂O₅/MCM-41 catalysts were analyzed using XRF, BET, NH₃-TPD, XRD, Py-IR, and XPS. The dehydration reaction of butanol was performed in a fixed bed reactor. For the samples with vanadium oxide loaded to TiO₂/MCM-41 sample using the liquid phase ALD method, it was possible to increase the loading amount until the amount of vanadium oxide reached 12.1 wt %. It was confirmed that the structural properties of the mesoporous silica were retained well after titanium oxide and vanadium loading. The NH₃-TPD and Py-IR results indicated that weak acid sites were produced over the TiO₂/MCM-41 samples, which is attributed to the generation of Lewis acid sites. The highest activity of the V₂O₅(12.1)-TiO₂/MCM-41 catalyst in 2-butanol dehydration is ascribed to it having the highest number of Lewis acid sites, as well as the highest vanadium dispersion.

  13. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  14. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  15. Chemical treatment of radioactive liquid wastes from medical applications

    International Nuclear Information System (INIS)

    Castillo A, J.

    1995-01-01

    This work is a study about the treatment of the most important radioactive liquid wastes from medical usages, generated in medical institutions with nuclear medicine services. The radionuclides take in account are 32 P, 35 S, 125 I. The treatments developed and improved were specific chemical precipitations for each one of the radionuclides. This work involve to precipitate the radionuclide from the liquid waste, making a chemical compound insoluble in the aqueous phase, for this process the radionuclide stay in the precipitate, lifting the aqueous phase with a very low activity than the begin. The 32 P precipitated in form of Ca 3 32 P O 4 and Ca 2 H 32 P O 4 with a value for Decontamination Factor (DF) at the end of the treatment of 32. The 35 S was precipitated in form of Ba 35 SO 4 with a DF of 26. The 125 I was precipitated in Cu 125 I to obtain a DF of 24. The results of the treatments are between the limits given for the International Atomic Energy Agency and the 10 Code of Federal Regulation 20, for the safety release at the environment. (Author)

  16. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  17. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  18. Direct production of carbon nanofibers decorated with Cu2O by thermal chemical vapor deposition on Ni catalyst electroplated on a copper substrate

    Directory of Open Access Journals (Sweden)

    MA Vesaghi

    2012-12-01

    Full Text Available  Carbon nanofibers (CNFs decorated with Cu2O particles were grown on a Ni catalyst layer deposited on a Cu substrate by thermal. chemical vapor deposition from liquid petroleum gas. Ni catalyst nanoparticles with different sizes were produced in an electroplating system at 35˚C. These nanoparticles provide the nucleation sites for CNF growth, removing the need for a buffer layer. High temperature surface segregation of the Cu substrate into the Ni catalyst layer and its exposition to O2 at atmospheric environment, during the CNFs growth, lead to the production of CNFs decorated with Cu2O particles. The surface morphology of the Ni catalyst films and grown CNFs over it was studied by scanning electron microscopy. Transmission electron microscopy and Raman spectroscopy revealed the formation of CNFs. The selected area electron diffraction pattern and electron diffraction studies show that these CNFs were decorated with Cu2O nanoparticles.

  19. The international symposium on 'chemical engineering of gas-liquid-solid catalyst reactions'

    Energy Technology Data Exchange (ETDEWEB)

    Hammer, H

    1978-06-01

    A report on the International Symposium on ''Chemical Engineering of Gas-Liquid-Solid Catalyst Reactions'', sponsored by the University of Liege (3/2-3/78), covers papers on the hydrodynamics, modeling and simulation, operating behavior, and chemical kinetics of trickle-bed reactors; scale-up of a trickle-bed reactor for hydrotreating Kuwait vacuum distillate; experimental results obtained in trickle-bed reactors for hydroprocessing atmospheric residua, hydrogenation of methylstyrene, hydrogenation of butanone, and hydrodemetallization of petroleum residua; advantages and disadvantages of various three-phase reactor types (e.g., for the liquid-phase hydrogenation of carbon monoxide to benzene, SNG, or methanol) and hydrodynamics, mass and heat transfer, and modeling of bubble columns with suspended catalysts (slurry reactors), and their applications (e.g., in SNG and fermentation processes).

  20. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    Science.gov (United States)

    Engelbrecht, Johann P.; Stenchikov, Georgiy; Jish Prakash, P.; Lersch, Traci; Anisimov, Anatolii; Shevchenko, Illia

    2017-09-01

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m-2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of particle size fractions in the dust deposits.X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the mineralogical and chemical results alone. These aerosol data are the first of their kind from the Red Sea region. They will help assess their potential

  1. The smog-fog-smog cycle and acid deposition

    Science.gov (United States)

    Pandis, Spyros N.; Seinfeld, John H.; Pilinis, Christodoulos

    1990-10-01

    A model including descriptions of aerosol and droplet microphysics, gas and aqueous-phase chemistry, and deposition is used to study the transformation of aerosol to fog droplets and back to aerosol in an urban environment. Fogs in polluted environments have the potential to increase aerosol sulfate concentrations but at the same time to cause reductions in the aerosol concentration of nitrate, chloride, ammonium and sodium and well as in the total aerosol mass concentration. The sulfate produced during fog episodes favors the aerosol particles that have access to most of the fog liquid water which are usually the large particles. Aerosol scavenging efficiencies of around 80 percent are calculated for urban fogs. Sampling and subsequent mixing of fog droplets of different sizes may result in measured concentrations that are not fully representative of the fogwater chemical composition and can introduce errors in the reported values of the ionic species deposition velocities. Differences in the major ionic species deposition velocities can be explained by their distribution over the droplet size spectrum and can be correlated with the species average diameter. Two different expressions are derived for use in fog models for the calculation of the liquid water deposition velocity during fog growth and dissipation stages.

  2. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  3. Liquid and chemical fluxes in precipitation, throughfall and stemflow

    DEFF Research Database (Denmark)

    Pryor, S.C.; Barthelmie, R.J.

    2005-01-01

    Wet deposition (WD), throughfall (TF) and stemflow (SF) measurements undertaken in a deciduous forest show 85% of the WD liquid flux is observed as TF and approximately 2% as SF. TF and SF were observed to be enriched in base cations and accordingly had an average pH of 6.1 and 5.9, respectively...... composition below sugar maples. The total atmospheric flux of inorganic nitrogen to the forest is approximately 14-18 kg-N ha(-1) yr(-1) supercript stop with approximately half taken up by the canopy. Associated experiments designed to quantify uncertainties in the nutrient fluxes included laboratory tests...

  4. Chemical treatment of liquid radioactive waste at the Boris Kidric Institute

    International Nuclear Information System (INIS)

    Lazic, S.; Vukovic, Z.; Voko, A.

    1989-01-01

    The results of lab-scale experiments on the chemical treatment of radioactive liquid waste collected at the Boris Kidric Institute are presented. The radioactive waste was treated by cobalt hexacyanoferrate precipitation followed by flocculation with polyelectrolyte flocculating agents. The main parameters investigated were standing time, pH and ratio of reagents. The flocculating agents were tested by filtration test and floccule stability test. Satisfactory decontamination factors by precipitation at pH 10 and good separation of solid and liquid phase by applying Praestol polyelectrolytes were obtained (author)

  5. Liquid Missile Fuels as Means of Chemical Terrorist Attack

    International Nuclear Information System (INIS)

    Superina, V.; Orehovec, Z.

    2007-01-01

    Modern world is faced with numerous terrorist attacks whose goals, methods and means of the conduct are various. It seems that we have entered the era when terrorism, one's own little terrorism, is the easiest and the most painless way of achieving a goal. That is why that such a situation has contributed to the necessity for strengthening individual and collective protection and safety, import and export control, control of the production and illegal sale of the potential means for delivering terrorist act. It has also contributed to the necessity for devising means of the delivery. For more than 10 years, a series of congresses on CB MTS Industry has pointed at chemicals and chemical industry as potential means and targets of terrorism. The specialization and experience of different authors in the field of the missile technology and missile fuels, especially those of Eastern origin, and the threat that was the reality of the war conflicts in 1990s was the reason for making a scientific and expert analysis of the liquid missile fuels as means of terrorism. There are not many experts in the field of NBC protection who are familiar with the toxicity and reaction of liquid missile fuels still lying discarded and unprotected in abandoned barracks all over Europe and Asia. The purpose of this paper is to draw public attention to possible different abuses of liquid missile fuels for a terrorist purpose, as well as to possible consequences and prevention measures against such abuses. (author)

  6. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  7. Synthesis of carbon nanotubes using the cobalt nanocatalyst by thermal chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Madani, S.S. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Zare, K. [Department of Chemistry, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Department of Chemistry, Shahid Beheshti University, Tehran (Iran, Islamic Republic of); Ghoranneviss, M. [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of); Salar Elahi, A., E-mail: Salari_phy@yahoo.com [Plasma Physics Research Center, Science and Research Branch, Islamic Azad University, Tehran (Iran, Islamic Republic of)

    2015-11-05

    The three main synthesis methods of Carbon nanotubes (CNTs) are the arc discharge, the laser ablation and the chemical vapour deposition (CVD) with a special regard to the latter one. CNTs were produced on a silicon wafer by Thermal Chemical Vapor Deposition (TCVD) using acetylene as a carbon source, cobalt as a catalyst and ammonia as a reactive gas. The DC-sputtering system was used to prepare cobalt thin films on Si substrates. A series of experiments was carried out to investigate the effects of reaction temperature and deposition time on the synthesis of the nanotubes. The deposition time was selected as 15 and 25 min for all growth temperatures. Energy Dispersive X-ray (EDX) measurements were used to investigate the elemental composition of the Co nanocatalyst deposited on Si substrates. Atomic Force Microscopy (AFM) was used to characterize the surface topography of the Co nanocatalyst deposited on Si substrates. The as-grown CNTs were characterized under Field Emission Scanning Electron Microscopy (FESEM) to study the morphological properties of CNTs. Also, the grown CNTs have been investigated by High Resolution Transmission Electron Microscopy (HRTEM) and Raman spectroscopy. The results demonstrated that increasing the temperature leads to increasing the diameter of CNTs. The ideal reaction temperature was 850 °C and the deposition time was 15 min. - Graphical abstract: FESEM images of CNTs grown on the cobalt catalyst at growth temperatures of (a) 850 °C, (b) 900 °C, (c) 950 °C and (d) 1000 °C during the deposition time of 15 min. - Highlights: • Carbon nanotubes (CNTs) were produced on a silicon wafer by TCVD technique. • EDX and AFM were used to investigate the elemental composition and surface topography. • FESEM was used to study the morphological properties of CNTs. • The grown CNTs have been investigated by HRTEM and Raman spectroscopy.

  8. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  9. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  10. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  11. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  12. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  13. Characterization of typical chemical background interferences in atmospheric pressure ionization liquid chromatography-mass spectrometry

    NARCIS (Netherlands)

    Guo, Xinghua; Bruins, Andries P.; Covey, Thomas R.

    2006-01-01

    The structures and origins of typical chemical background noise ions in positive atmospheric pressure ionization liquid chromatography/mass spectrometry (API LC/MS) are investigated and summarized in this study. This was done by classifying chemical background ions using precursor and product ion

  14. Mass transfer rate through liquid membranes: interfacial chemical reactions and diffusion as simultaneous permeability controlling factors

    International Nuclear Information System (INIS)

    Danesi, P.R.; Horwitz, E.P.; Vandegrift, G.F.; Chiarizia, R.

    1981-01-01

    Equations describing the permeability of a liquid membrane to metal cations have been derived taking into account aqueous diffusion, membrane diffusion, and interfacial chemical reactions as simultaneous permeability controlling factors. Diffusion and chemical reactions have been coupled by a simple model analogous to the one previously described by us to represent liquid-liquid extraction kinetics. The derived equations, which make use of experimentally determined interfacial reaction mechanisms, qualitatively fit unexplained literature data regarding Cu 2+ transfer through liquid membranes. Their use to predict and optimize membrane permeability in practical separation processes by setting the appropriate concentration of the membrane carrier [LIX 64 (General Mills), a commercial β-hydroxy-oxime] and the pH of the aqueous copper feed solution is briefly discussed. 4 figures

  15. Physical and chemical properties of deposited airborne particulates over the Arabian Red Sea coastal plain

    KAUST Repository

    Engelbrecht, Johann

    2017-09-27

    Mineral dust is the most abundant aerosol, having a profound impact on the global energy budget. This research continues our previous studies performed on surface soils in the Arabian Peninsula, focusing on the mineralogical, physical and chemical composition of dust deposits from the atmosphere at the Arabian Red Sea coast. For this purpose, aerosols deposited from the atmosphere are collected during 2015 at six sites on the campus of the King Abdullah University of Science and Technology (KAUST) situated on the Red Sea coastal plain of Saudi Arabia and subjected to the same chemical and mineralogical analysis we conducted on soil samples. Frisbee deposition samplers with foam inserts were used to collect dust and other deposits, for the period December 2014 to December 2015. The average deposition rate measured at KAUST for this period was 14 g m−2 per month, with lowest values in winter and increased deposition rates in August to October. The particle size distributions provide assessments of  < 10 and  < 2.5 µm dust deposition rates, and it is suggested that these represent proxies for PM10 (coarse) and PM2. 5 (fine) particle size fractions in the dust deposits. X-ray diffraction (XRD) analysis of a subset of samples confirms variable amounts of quartz, feldspars, micas, and halite, with lesser amounts of gypsum, calcite, dolomite, hematite, and amphibole. Freeze-dried samples were re-suspended onto the Teflon® filters for elemental analysis by X-ray fluorescence (XRF), while splits from each sample were analyzed for water-soluble cations and anions by ion chromatography. The dust deposits along the Red Sea coast are considered to be a mixture of dust emissions from local soils and soils imported from distal dust sources. Airborne mineral concentrations are greatest at or close to dust sources, compared to those through medium- and long-range transport. It is not possible to identify the exact origin of deposition samples from the

  16. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  17. An economic analysis of the deposition of electrochromic WO3 via sputtering or plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Garg, D.; Henderson, P.B.; Hollingsworth, R.E.; Jensen, D.G.

    2005-01-01

    The costs of manufacturing electrochromic WO 3 thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO 3 for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF 6 , sputtering cost is dominated by labor and depreciation

  18. Chemical solution deposition of YBCO thin film by different polymer additives

    International Nuclear Information System (INIS)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y.; Zhang, H.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T c = 90 K as well as high J c (0 T, 77 K) over 3 MA/cm 2

  19. Chemical solution deposition of YBCO thin film by different polymer additives

    Energy Technology Data Exchange (ETDEWEB)

    Wang, W.T.; Li, G.; Pu, M.H.; Sun, R.P.; Zhou, H.M.; Zhang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Zhang, H. [Department of Physics, Peking University, Beijing 100871 (China); Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, 2052 NSW (Australia)], E-mail: yzhao@swjtu.edu.cn

    2008-09-15

    A polymer-assisted chemical solution deposition approach has been proposed for the preparation of YBCO thin film. Different additives like PVB (polyvinyl butyral), PEG (polyethylene glycol) and PVP (polyvinylpyrrolidone) have been used to adjust the final viscosity of the precursor solution and thus the film formation. In this fluorine-free approach, YBCO has been deposited on single crystal substrates with metal acetates being starting materials. Biaxially textured YBCO thin films have been obtained. However, different additives lead to different microstructure. Dense, smooth and crack-free YBCO film prepared with PVB as additive yields sharp superconducting transition around T{sub c} = 90 K as well as high J{sub c} (0 T, 77 K) over 3 MA/cm{sup 2}.

  20. Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition

    Science.gov (United States)

    2017-09-30

    Report: Young Investigator Proposal, Research Area 7.4 Reactive Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer ...Chemical Systems: Multifunctional, Bimetallic Nanomaterials Prepared by Atomic Layer Electroless Deposition Report Term: 0-Other Email: pcappillino... Layer Electroless Deposition (ALED, Figure 1) is the ability to tune growth mechanism, hence growth morphology, by altering conditions. In this

  1. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  4. Emerging trends in chemical separations with liquid membranes: an overview

    International Nuclear Information System (INIS)

    Shukla, J.P.

    1997-01-01

    It can be concluded that varied configurations of liquid membranes (LMs) will definitely play an important role in metal separations particularly in situations where other conventional chemical separation techniques fail to deliver goods. Potential areas include decontamination of biotoxic/ radioactive wastes, recovery of precious and strategic metals from lean/ extremely dilute solutions, add on to existing units, hydrometallurgy, etc

  5. Deposition and characteristics of PbS thin films by an in-situ solution chemical reaction process

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Junna; Ji, Huiming; Wang, Jian; Zheng, Xuerong; Lai, Junyun; Liu, Weiyan; Li, Tongfei [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China); Ma, Yuanliang; Li, Haiqin; Zhao, Suqin [College of Physics and Electronic Information Engineering, Qinghai University for Nationalities, Xining 810007 (China); Jin, Zhengguo, E-mail: zhgjin@tju.edu.cn [School of Materials Science and Engineering, Key Laboratory for Advanced Ceramics and Machining Technology of Ministry of Education, Tianjin University, Tianjin 300072 (China)

    2015-09-01

    Preferential oriented and uniform PbS thin films were deposited by a room temperature in-situ solution chemical reaction process, in which the lead nitrate as precursor in a form of thin solid films from lead precursor solution was used to react with ammonium sulfide ethanol solution. Influence of 1-butanol addition in the lead precursor solution, Pb:S molar ratios in the separate cationic and anionic solutions, deposition cycle numbers and annealing treatment in Ar atmosphere on structure, morphology, chemical composition and optical absorption properties of the deposited PbS films were investigated based on X-ray diffraction, field emission scanning electron microscopy, energy dispersive spectrometer, atomic force microscopy, selected area electron diffraction, UV–vis, near infrared ray and fourier transform infrared spectroscopy measurements. The results showed that the deposited PbS thin films had a cubic structure and highly preferred orientation along with the plane (100). The deposition rate of single-layer was stable, about 30 nm in thickness per deposition cycle. - Highlights: • Time-efficiency synthetic method for the preparation of lead sulfide (PbS) films • Effect of 1-butanol addition into cationic precursor solution is discussed. • Growth rate of the PbS films is stable at about 30 nm per cycle.

  6. Prospects of chemically deposited CoS-CU2S coatings for solar ...

    African Journals Online (AJOL)

    The thin films of Cu2S deposited on CoS-precoated glass substrates from chemical baths and annealed at 100oC were found to have desirable solar control characteristics superior to commercial tinted glass and magnetron sputtered multilayer metallic solar control coatings. These include: transmission spectra in the ...

  7. Ionic liquids in chemical engineering.

    Science.gov (United States)

    Werner, Sebastian; Haumann, Marco; Wasserscheid, Peter

    2010-01-01

    The development of engineering applications with ionic liquids stretches back to the mid-1990s when the first examples of continuous catalytic processes using ionic liquids and the first studies of ionic liquid-based extractions were published. Ever since, the use of ionic liquids has seen tremendous progress in many fields of chemistry and engineering, and the first commercial applications have been reported. The main driver for ionic liquid engineering applications is to make practical use of their unique property profiles, which are the result of a complex interplay of coulombic, hydrogen bonding and van der Waals interactions. Remarkably, many ionic liquid properties can be tuned in a wide range by structural modifications at their cation and anion. This review highlights specific examples of ionic liquid applications in catalysis and in separation technologies. Additionally, the application of ionic liquids as working fluids in process machines is introduced.

  8. Application of Chlorine-Assisted Chemical Vapor Deposition of Diamond at Low Temperatures

    Science.gov (United States)

    Pan, Chenyu; Altemir, David A.; Margrave, John L.; Hauge, Robert H.

    1994-01-01

    Low temperature deposition of diamond has been achieved by a chlorine-assisted diamond chemical vapor deposition (CA-CVD) process. This method begins with the thermal dissociation of molecular chlorine into atomic chlorine in a resistively heated graphite furnace at temperatures between 1300 and 1500 deg. C. The atomic chlorine, upon mixing, subsequently reacts with molecular hydrogen and hydrocarbons. The rapid exchange reactions between the atomic chlorine, molecular hydrogen, and hydrocarbons give rise to the atomic hydrogen and carbon precursors required for diamond deposition. Homoepitaxial diamond growth on diamond substrates has been studied over the substrate temperature range of 100-950 C. It was found that the diamond growth rates are approximately 0.2 microns/hr in the temperature range between 102 and 300 C and that the growth rates do not decrease significantly with a decrease in substrate temperature. This is unique because the traditional diamond deposition using H2/CH4 systems usually disappears at substrate temperatures below approx. 500 deg. C. This opens up a possible route to the deposition of diamond on low-melting point materials such as aluminum and its alloys.

  9. The roles of wetting liquid in the transfer process of single layer graphene onto arbitrary substrates.

    Science.gov (United States)

    Kim, Ju Hun; Yi, Junghwa; Jin, Hyeong Ki; Kim, Un Jeong; Park, Wanjun

    2013-11-01

    Wet transfer is crucial for most device structures of the proposed applications employing single layer graphene in order to take advantage of the unique physical, chemical, bio-chemical and electrical properties of the graphene. However, transfer methodologies that can be used to obtain continuous film without voids, wrinkles and cracks are limited although film perfectness critically depends on the relative surface tension of wetting liquids on the substrate. We report the importance of wetting liquid in the transfer process with a systematic study on the parameters governing film integrity in single layer graphene grown via chemical vapor deposition. Two different suspension liquids (in terms of polar character) are tested for adequacy of transfer onto SiO2 and hexamethyldisiloxane (HMDS). We found that the relative surface tension of the wetting liquid on the surfaces of the substrate is related to transfer quality. In addition, dimethyl sulfoxide (DMSO) is introduced as a good suspension liquid to HMDS, a mechanically flexible substrate.

  10. Microstructural and conductivity changes induced by annealing of ZnO:B thin films deposited by chemical vapour deposition

    International Nuclear Information System (INIS)

    David, C; Girardeau, T; Paumier, F; Eyidi, D; Guerin, P; Marteau, M; Lacroix, B; Papathanasiou, N; Tinkham, B P

    2011-01-01

    Zinc oxide (ZnO) thin films have attracted much attention in recent years due to progress in crystal growth for a large variety of technological applications including optoelectronics and transparent electrodes in solar cells. Boron (B)-doped ZnO thin films are deposited by low pressure chemical vapour deposition (LPCVD) on Si(100). These films exhibit a strong (002) texture with a pyramidal grain structure. The ZnO films were annealed after growth; the annealing temperature and the atmosphere appear to strongly impact the layer conductivity. This work will first present the modification of the physical properties (carrier concentration, mobility) extracted from the simulation of layer reflection in the infrared range. At low annealing temperatures the mobility increases slightly before decreasing drastically above a temperature close to 250 deg. C. The chemical and structural evolution (XPS, x-ray diffraction) of the films was also studied to identify the relationship between microstructural modifications and the variations observed in the film conductivity. An in situ XRD study during annealing has been performed under air and low pressure conditions. As observed for electrical properties, the microstructural modifications shift to higher temperatures for vacuum annealing.

  11. Chemical vapour deposition - a promising method for production of different kinds of carbon nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Leonhardt, A.; Ritschel, M.; Bartsch, K.; Graff, A.; Taeschner, C.; Fink, J. [Institut fuer Festkoerper- und Werkstofforschung Dresden e.V. (Germany)

    2001-08-01

    Carbon nanostructures (fibres, multi and single walled tubes) have been synthesized by catalytic chemical vapour deposition. The catalyst material, deposition temperature and the used hydrocarbon are the main parameters responsible for the formation of the desired structure. In dependence on these parameters and by optimising the deposition process nanofibres with herringbone structure and tubular multiwalled nanotubes were deposited in large amounts and high purity. In the case of single wall nanotubes synthesis an aftertreatment and process is absolutely necessary to obtain material with high percentage of tubes. Layers of disordered and aligned multiwalled nanotubes were deposited on oxidised silicon substrates coated with thin sputtered metal layers (Co, permalloy) by using the micro-wave assisted plasma CVD process or the bias supported hot filament CVD method. The latter method allows relatively low deposition temperatures (550 - 750 C). The obtained carbon modifications were characterised by scanning and transmission electron microscopy. Furthermore, the electron field emission of the CNT's layers were investigated. (orig.)

  12. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  13. Selenization of CIS and CIGS layers deposited by chemical spray pyrolysis

    Energy Technology Data Exchange (ETDEWEB)

    Babu, B. J.; Egaas, B.; Velumani, S.

    2018-03-21

    Cu(In1-xGax)Se2 (CIGS) thin films with x=0 (CIS) and x=0.3 (CIGS) were prepared on Mo-coated glass substrate by using chemical spray pyrolysis at a substrate temperature of 350 degrees C, followed by selenization treatment at 550 degrees C in selenium environment under N2 gas flow. X-ray diffraction patterns of as-deposited CIGS layers on Mo showed polycrystalline chalcopyrite phase with an intense (112) plane. Splitting of (204)/(220) and (116)/(312) planes for the film with x=0.3 reveals deviation of tetragonal nature. Field emission scanning electron microscopy cross-sectional images of selenized films showed clear re-crystallization of grains. During the selenization process of the CIGS absorber, a thin interface layer of MoSe2 is formed. Line mapping of Mo/CIGS layer showed more gallium segregation at the interface of back contact resulting in band gap grading. Chemical composition and mapping of the as-deposited and selenized samples were determined by energy dispersive analysis of X-rays. This work leads to fabrication of low cost and large scale Mo/CIGS/CdS/ZnO/ZnO:Al device structure.

  14. In Situ Environmental TEM in Imaging Gas and Liquid Phase Chemical Reactions for Materials Research.

    Science.gov (United States)

    Wu, Jianbo; Shan, Hao; Chen, Wenlong; Gu, Xin; Tao, Peng; Song, Chengyi; Shang, Wen; Deng, Tao

    2016-11-01

    Gas and liquid phase chemical reactions cover a broad range of research areas in materials science and engineering, including the synthesis of nanomaterials and application of nanomaterials, for example, in the areas of sensing, energy storage and conversion, catalysis, and bio-related applications. Environmental transmission electron microscopy (ETEM) provides a unique opportunity for monitoring gas and liquid phase reactions because it enables the observation of those reactions at the ultra-high spatial resolution, which is not achievable through other techniques. Here, the fundamental science and technology developments of gas and liquid phase TEM that facilitate the mechanistic study of the gas and liquid phase chemical reactions are discussed. Combined with other characterization tools integrated in TEM, unprecedented material behaviors and reaction mechanisms are observed through the use of the in situ gas and liquid phase TEM. These observations and also the recent applications in this emerging area are described. The current challenges in the imaging process are also discussed, including the imaging speed, imaging resolution, and data management. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Co3O4 protective coatings prepared by Pulsed Injection Metal Organic Chemical Vapour Deposition

    DEFF Research Database (Denmark)

    Burriel, M.; Garcia, G.; Santiso, J.

    2005-01-01

    of deposition temperature. Pure Co3O4 spinel structure was found for deposition temperatures ranging from 360 to 540 degreesC. The optimum experimental parameters to prepare dense layers with a high growth rate were determined and used to prepare corrosion protective coatings for Fe-22Cr metallic interconnects......Cobalt oxide films were grown by Pulsed Injection Metal Organic Chemical Vapour Deposition (PI-MOCVD) using Co(acac)(3) (acac=acetylacetonate) precursor dissolved in toluene. The structure, morphology and growth rate of the layers deposited on silicon substrates were studied as a function......, to be used in Intermediate Temperature Solid Oxide Fuel Cells. (C) 2004 Elsevier B.V. All rights reserved....

  16. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  17. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  18. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  19. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  20. Calcium carbonate synthesis with prescribed properties based on liquid waste of soda production

    Directory of Open Access Journals (Sweden)

    E.O. Mikhailova

    2016-09-01

    Full Text Available A promising direction in solving of environmental problems of soda industry is the development of low-waste resource-saving technologies, which consist in recycling of valuable waste components with obtaining the commercial products. Aim: The aim is to establish the optimal conditions for obtaining calcium carbonate with prescribed properties from liquid waste of soda production. Materials and Methods: Chemically deposited calcium carbonate is used as filler and should have certain physical and chemical properties. To obtain a product of prescribed quality the process of calcium carbonate deposition was performed of still waste liquid, that is the waste of calcium carbonate production and contain significant amount of calcium ions, and excessive production of the purified stock solution of sodium bicarbonate, which is composed of carbonate and hydrocarbonate ions. Results: The dependence of bulk density and specific surface area of calcium carbonate sediments and degree of deposition from such technological parameters are established: method of mixing the stock solutions, the concentration and molar ratio of reactants, temperature and reaction time. Conclusions: The optimal mode of deposition process is determined and the concept of production of calcium carbonate is developed. The quality of calcium carbonate meets the modern requirements of high dispersion, low bulk density and evolved specific surface of the product.

  1. On the structure, morphology, and optical properties of chemical bath deposited Sb2S3 thin films

    International Nuclear Information System (INIS)

    Krishnan, B.; Arato, A.; Cardenas, E.; Roy, T.K. Das; Castillo, G.A.

    2008-01-01

    In the present paper, we have reported the room temperature growth of antimony sulphide (Sb 2 S 3 ) thin films by chemical bath deposition and detailed characterization of these films. The films were deposited from a chemical bath containing SbCl 3 and Na 2 S 2 O 3 at 27 deg. C. We have analysed the structure, morphology, composition and optical properties of as deposited Sb 2 S 3 films as well as those subjected to annealing in nitrogen atmosphere or in air. As-deposited films are amorphous to X-ray diffraction (XRD). However, the diffused rings in the electron diffraction pattern revealed the existence of nanocrystalline grains in these films. XRD analysis showed that upon annealing in nitrogen atmosphere these films transformed into polycrystalline with orthorhombic structure. Also, we have observed that during heating in air, Sb 2 S 3 first converts into orthorhombic form and then further heating results in the formation of Sb 2 O 3 crystallites. Optical bandgap energy of as deposited and annealed films was evaluated from UV-vis absorption spectra. The values obtained were 2.57 and 1.73 eV for the as-deposited and the annealed films respectively

  2. Liquid phase deposition of WO3/TiO2 heterojunction films with high photoelectrocatalytic activity under visible light irradiation

    International Nuclear Information System (INIS)

    Zhang, Man; Yang, Changzhu; Pu, Wenhong; Tan, Yuanbin; Yang, Kun; Zhang, Jingdong

    2014-01-01

    Highlights: • Liquid phase deposition is developed for preparing WO 3 /TiO 2 heterojunction films. • TiO 2 film provides an excellent platform for WO 3 deposition. • WO 3 expands the absorption band edge of TiO 2 film to visible light region. • WO 3 /TiO 2 heterojunction film shows high photoelectrocatalytic activity. - ABSTRACT: The heterojunction films of WO 3 /TiO 2 were prepared by liquid phase deposition (LPD) method via two-step processes. The scanning electron microscopy, X-ray diffraction and X-ray photoelectron spectroscopic analysis indicated that flower-like WO 3 film was successfully deposited on TiO 2 film with the LPD processes. The TiO 2 film provided an excellent platform for WO 3 deposition while WO 3 obviously expanded the absorption of TiO 2 film to visible light. As the result, the heterojunction film of WO 3 /TiO 2 exhibited higher photocurrent response to visible light illumination than pure TiO 2 or WO 3 film. The photoelectrocatalytic (PEC) activity of WO 3 /TiO 2 film was evaluated by degrading Rhodamin B (RhB) and 4-chlorophenol (4-CP) under visible light irradiation. The results showed that the LPD WO 3 /TiO 2 film possessed high PEC activity for efficient removal of various refractory organic pollutants

  3. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  4. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  5. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  6. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    International Nuclear Information System (INIS)

    Elen, Ken; Capon, Boris; De Dobbelaere, Christopher; Dewulf, Daan; Peys, Nick; Detavernier, Christophe; Hardy, An; Van Bael, Marlies K.

    2014-01-01

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum

  7. Transparent conducting oxide films of group V doped titania prepared by aqueous chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Elen, Ken [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Strategisch Initiatief Materialen (SIM), SoPPoM Program (Belgium); Capon, Boris [Strategisch Initiatief Materialen (SIM), SoPPoM Programm (Belgium); Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); De Dobbelaere, Christopher [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Dewulf, Daan [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Peys, Nick [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw, Kapeldreef 75, B-3001 Heverlee (Belgium); Detavernier, Christophe [Coating and Contacting of Nanostructures, Ghent University, Krijgslaan 281 S1, B-9000 Ghent (Belgium); Hardy, An [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium); Van Bael, Marlies K., E-mail: marlies.vanbael@uhasselt.be [Inorganic and Physical Chemistry, Institute for Materials Research, Hasselt University, Agoralaan Building D, B-3590 Diepenbeek (Belgium); IMEC vzw division IMOMEC, Agoralaan Building D, B-3590 Diepenbeek (Belgium)

    2014-03-31

    Transparent conducting oxide (TCO) films of titania doped with vanadium (V), niobium (Nb) and tantalum (Ta) are obtained by aqueous Chemical Solution Deposition (CSD). The effect of the dopant on the crystallization and microstructure of the resulting films is examined by means of X-ray diffraction and electron microscopy. During annealing of the thin films, in-situ characterization of the crystal structure and sheet resistance is carried out. Niobium doped anatase films, obtained after annealing in forming gas, show a resistivity of 0,28 Ohm cm, which is the lowest resistivity reported for a solution deposited anatase-based TCO so far. Here, we demonstrate that aqueous CSD may provide a strategy for scalable TCO production in the future. - Highlights: • Aqueous chemical solution deposition of doped titanium dioxide • Doping delays the phase transition from anatase to rutile • Lowest resistivity after doping with niobium and annealing in Forming Gas • Transparency higher than 80% in the visible range of optical spectrum.

  8. Self-cleaning and surface chemical reactions during hafnium dioxide atomic layer deposition on indium arsenide.

    Science.gov (United States)

    Timm, Rainer; Head, Ashley R; Yngman, Sofie; Knutsson, Johan V; Hjort, Martin; McKibbin, Sarah R; Troian, Andrea; Persson, Olof; Urpelainen, Samuli; Knudsen, Jan; Schnadt, Joachim; Mikkelsen, Anders

    2018-04-12

    Atomic layer deposition (ALD) enables the ultrathin high-quality oxide layers that are central to all modern metal-oxide-semiconductor circuits. Crucial to achieving superior device performance are the chemical reactions during the first deposition cycle, which could ultimately result in atomic-scale perfection of the semiconductor-oxide interface. Here, we directly observe the chemical reactions at the surface during the first cycle of hafnium dioxide deposition on indium arsenide under realistic synthesis conditions using photoelectron spectroscopy. We find that the widely used ligand exchange model of the ALD process for the removal of native oxide on the semiconductor and the simultaneous formation of the first hafnium dioxide layer must be significantly revised. Our study provides substantial evidence that the efficiency of the self-cleaning process and the quality of the resulting semiconductor-oxide interface can be controlled by the molecular adsorption process of the ALD precursors, rather than the subsequent oxide formation.

  9. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  10. Effects and uptake of gold nanoparticles deposited at the air-liquid interface of a human epithelial airway model

    International Nuclear Information System (INIS)

    Brandenberger, C.; Rothen-Rutishauser, B.; Muehlfeld, C.; Schmid, O.; Ferron, G.A.; Maier, K.L.; Gehr, P.; Lenz, A.-G.

    2010-01-01

    The impact of nanoparticles (NPs) in medicine and biology has increased rapidly in recent years. Gold NPs have advantageous properties such as chemical stability, high electron density and affinity to biomolecules, making them very promising candidates as drug carriers and diagnostic tools. However, diverse studies on the toxicity of gold NPs have reported contradictory results. To address this issue, a triple cell co-culture model simulating the alveolar lung epithelium was used and exposed at the air-liquid interface. The cell cultures were exposed to characterized aerosols with 15 nm gold particles (61 ng Au/cm 2 and 561 ng Au/cm 2 deposition) and incubated for 4 h and 24 h. Experiments were repeated six times. The mRNA induction of pro-inflammatory (TNFα, IL-8, iNOS) and oxidative stress markers (HO-1, SOD2) was measured, as well as protein induction of pro- and anti-inflammatory cytokines (IL-1, IL-2, IL-4, IL-6, IL-8, IL-10, GM-CSF, TNFα, INFγ). A pre-stimulation with lipopolysaccharide (LPS) was performed to further study the effects of particles under inflammatory conditions. Particle deposition and particle uptake by cells were analyzed by transmission electron microscopy and design-based stereology. A homogeneous deposition was revealed, and particles were found to enter all cell types. No mRNA induction due to particles was observed for all markers. The cell culture system was sensitive to LPS but gold particles did not cause any synergistic or suppressive effects. With this experimental setup, reflecting the physiological conditions more precisely, no adverse effects from gold NPs were observed. However, chronic studies under in vivo conditions are needed to entirely exclude adverse effects.

  11. Effect of chemical treatment on surface characteristics of sputter deposited Ti-rich NiTi shape memory alloy thin-films

    International Nuclear Information System (INIS)

    Sharma, S.K.; Mohan, S.

    2014-01-01

    Graphical abstract: FTIR spectra recorded for sputter deposited (a) untreated and (b) chemically treated NiTi SMA thin-films. - Highlights: • The effect of chemical treatment on surface properties of NiTi films demonstrated. • Chemically treated films offer strong ability to form protective TiO 2 layer. • TiO 2 layer formation offer great application prospects in biomedical fields. - Abstract: NiTi thin-films were deposited by DC magnetron sputtering from single alloy target (Ni/Ti:45/55 at.%). The rate of deposition and thickness of sputter deposited films were maintained to ∼35 nm min −1 and 4 μm respectively. A set of sputter deposited NiTi films were selected for specific chemical treatment with the solution comprising of de-ionized water, HF and HNO 3 respectively. The influence of chemical treatment on surface characteristics of NiTi films before and after chemical treatment was investigated for their structure, micro-structure and composition using different analytical techniques. Prior to chemical treatment, the composition of NiTi films using energy dispersive X-ray dispersive spectroscopy (EDS), were found to be 51.8 atomic percent of Ti and 48.2 atomic percent of Ni. The structure and morphology of these films were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM). XRD investigations, demonstrated the presence of dominant Austenite (1 1 0) phase along with Martensite phase, for untreated NiTi films whereas some additional diffraction peaks viz. (1 0 0), (1 0 1), and (2 0 0) corresponding to Rutile and Anatase phase of Titanium dioxide (TiO 2 ) along with parent Austenite (1 1 0) phase were observed for chemically treated NiTi films. FTIR studies, it can be concluded that chemically treated films have higher tendency to form metal oxide/hydroxide than the untreated NiTi films. XPS investigations, demonstrated the presence of Ni-free surface and formation of a protective metal oxide (TiO 2 ) layer on the surface of

  12. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  13. Methods for conversion of carbohydrates in ionic liquids to value-added chemicals

    Science.gov (United States)

    Zhao, Haibo [The Woodlands, TX; Holladay, Johnathan E [Kennewick, WA; Zhang, Zongchao C [Norwood, NJ

    2011-05-10

    Methods are described for converting carbohydrates including, e.g., monosaccharides, disaccharides, and polysaccharides in ionic liquids to value-added chemicals including furans, useful as chemical intermediates and/or feedstocks. Fructose is converted to 5-hydroxylmethylfurfural (HMF) in the presence of metal halide and acid catalysts. Glucose is effectively converted to HMF in the presence of chromium chloride catalysts. Yields of up to about 70% are achieved with low levels of impurities such as levulinic acid.

  14. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  15. Coating of carbon short fibers with thin ceramic layers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hackl, Gerrit; Gerhard, Helmut; Popovska, Nadejda

    2006-01-01

    Carbon short fiber bundles with a length of 6 mm were uniformly coated using specially designed, continuous chemical vapor deposition (CVD) equipment. Thin layers of titanium nitride, silicon nitride (SiC) and pyrolytic carbon (pyC) were deposited onto several kilograms of short fibers in this large scale CVD reactor. Thermo-gravimetric analyses and scanning electron microscopy investigations revealed layer thicknesses between 20 and 100 nm on the fibers. Raman spectra of pyC coated fibers show a change of structural order depending on the CVD process parameters. For the fibers coated with SiC, Raman investigations showed a deposition of amorphous SiC. The coated carbon short fibers will be applied as reinforcing material in composites with ceramic and metallic matrices

  16. Chemical processing of liquid effluents in reprocessing plants: experience gained in France

    International Nuclear Information System (INIS)

    Fernandez, N.; Pottier, P.; Taillard, D.

    1977-01-01

    The radionuclides present in radioactive liquid effluents are precipitated for two purposes, viz: 1) to reduce the radioactivity to a level at which the liquids may be discharged; 2) to concentrate the radioactive compounds in the smallest possible volume for storage. The scientific principles of the radionuclide precipitation process are reviewed in the first part, which covers the solubility product, adsorption onto the surface of the precipitates, co-precipitation by isomorphism, ion-exchange on precipitates, etc. The paper goes on to discuss flocculation techniques, flocculation monitoring (zeta potential etc.) and methods of separating the solid and liquid phases. The specific methods for precipitating the main radionuclides are then described, with special reference to Sr, Cs, Ru, Co and Sb. The synergism of certain methods of precipitation is also discussed. The main part of the paper concerns the application of chemical processes for purifying low and medium active effluents in the Marcoule and La Hague centres. Particular emphasis is placed on the development of the processes used and the improvement of performance. Lastly, the paper discusses the possibilities offered in final treatment in such a way as to determine the limits to the effectiveness of the chemical processes. (orig.) [de

  17. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  18. Strain and Structure Heterogeneity in MoS2 Atomic Layers Grown by Chemical Vapour Deposition

    Science.gov (United States)

    2014-11-18

    cture heterogeneity in MoS2 atomiclayers grown by chemical vapour deposition 6. AUTHORS Zheng Liu, Matin Amani, Sina Najmaei, Quan Xu, Xiaolong Zou...deposition Zheng Liu1•2•3·*, Matin Amani4·*, Sina Najmaei5·*, Quan Xu6•7, Xiaolong Zou5, Wu Zhou8, Ting Yu9, Caiyu Qiu9, A Glen Birdwell4, Frank J. Crowne4

  19. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  20. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  1. Molecular dynamics simulation of chemical vapor deposition of amorphous carbon. Dependence on H/C ratio of source gas

    International Nuclear Information System (INIS)

    Ito, Atsushi M.; Takayama, Arimichi; Nakamura, Hiroaki; Saito, Seiki; Ohno, Noriyasu; Kajita, Shin

    2011-01-01

    By molecular dynamics simulation, the chemical vapor deposition of amorphous carbon onto graphite and diamond surfaces was studied. In particular, we investigated the effect of source H/C ratio, which is the ratio of the number of hydrogen atoms to the number of carbon atoms in a source gas, on the deposition process. In the present simulation, the following two source gas conditions were tested: one was that the source gas was injected as isolated carbon and hydrogen atoms, and the other was that the source gas was injected as hydrocarbon molecules. Under the former condition, we found that as the source H/C ratio increases, the deposition rate of carbon atoms decreases exponentially. This exponential decrease in the deposition rate with increasing source H/C ratio agrees with experimental data. However, under the latter molecular source condition, the deposition rate did not decrease exponentially because of a chemical reaction peculiar to the type of hydrocarbon in the source gas. (author)

  2. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization.

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M

    2018-05-04

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO 2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al 2 O 3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  3. Fabrication of ZnO nanorod using spray-pyrolysis and chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Ramadhani, Muhammad F., E-mail: brian@tf.itb.ac.id; Pasaribu, Maruli A. H., E-mail: brian@tf.itb.ac.id; Yuliarto, Brian, E-mail: brian@tf.itb.ac.id; Nugraha, E-mail: brian@tf.itb.ac.id [Advanced Functional Materials Laboratory, Engineering Physics Department Faculty of Industrial Technology, Institut Teknologi Bandung (Indonesia)

    2014-02-24

    ZnO thin films with nanorod structure were deposited using Ultrasonic Spray Pyrolysis method for seed growth, and Chemical Bath Deposition (CBD) for nanorod growth. High purity Zn-hydrate and Urea are used to control Ph were dissolved in ethanol and aqua bidest in Ultrasonic Spray Pyrolysis process. Glass substrate was placed above the heater plate of reaction chamber, and subsequently sprayed with the range duration of 5, 10 and 20 minutes at the temperatures of 3500 C. As for the Chemical Bath Deposition, the glass substrate with ZnO seed on the surface was immerse to Zn-hydrate, HMTA (Hexa Methylene Tetra Amine) and deionized water solution for duration of 3, 5 and 7 hour and temperatures of 600 C, washed in distilled water, dried, and annealed at 3500 C for an hour. The characterization of samples was carried out to reveal the surface morphology using Scanning Electron Microscopy (SEM). From the data, the combination of 5 minutes of Ultrasonic Spray Pyrolysis process and 3 hour of CBD has showed the best structure of nanorod. Meanwhile the longer Spraying process and CBD yield the bigger nanorod structure that have been made, and it makes the films more dense which make the nanorod collide each other and as a result produce unsymetric nanorod structure.

  4. Bath parameter dependence of chemically deposited Copper Selenide thin film

    International Nuclear Information System (INIS)

    Al-Mamun; Islam, A.B.M.O.

    2004-09-01

    In this article, a low cost chemical bath deposition (CBD) technique has been used for the preparation Of Cu 2-x Se thin films on to glass substrate. Different thin fms (0.2-0.6/μm) were prepared by adjusting the bath parameter like concentration of ammonia, deposition time, temperature of the solution, and the ratios of the mixing composition between copper and selenium in the reaction bath. From these studies, it reveals that at low concentration of ammonia or TEA, the terminal thicknesses of the films are less, which gradually increases with the increase of concentrations and then drop down at still higher concentrations. It has been found that completing the Cu 2+ ions with EA first, and then addition of ammonia yields better results than the reverse process. The film thickness increases with the decrease of value x of Cu 2-x Se. (author)

  5. Microstructural, chemical and textural characterization of ZnO nanorods synthesized by aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Sáenz-Trevizo, A.; Amézaga-Madrid, P.; Fuentes-Cobas, L.; Pizá-Ruiz, P.; Antúnez-Flores, W.; Ornelas-Gutiérrez, C. [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico); Pérez-García, S.A. [Centro de Investigación en Materiales Avanzados, S.C., Unidad Monterrey, Apodaca, Nuevo León 66600 (Mexico); Miki-Yoshida, M., E-mail: mario.miki@cimav.edu.mx [Centro de Investigación en Materiales Avanzados, S.C., Chihuahua, Chihuahua 31109 (Mexico)

    2014-12-15

    ZnO nanorods were synthesized by aerosol assisted chemical vapor deposition onto TiO{sub 2} covered borosilicate glass substrates. Deposition parameters were optimized and kept constant. Solely the effect of different nozzle velocities on the growth of ZnO nanorods was evaluated in order to develop a dense and uniform structure. The crystalline structure was characterized by conventional X-ray diffraction in grazing incidence and Bragg–Brentano configurations. In addition, two-dimensional grazing incidence synchrotron radiation diffraction was employed to determine the preferred growth direction of the nanorods. Morphology and growth characteristics analyzed by electron microscopy were correlated with diffraction outcomes. Chemical composition was established by X-ray photoelectron spectroscopy. X-ray diffraction results and X-ray photoelectron spectroscopy showed the presence of wurtzite ZnO and anatase TiO{sub 2} phases. Morphological changes noticed when the deposition velocity was lowered to the minimum, indicated the formation of relatively vertically oriented nanorods evenly distributed onto the TiO{sub 2} buffer film. By coupling two-dimensional X-ray diffraction and computational modeling with ANAELU it was proved that a successful texture determination was achieved and confirmed by scanning electron microscopy analysis. Texture analysis led to the conclusion of a preferred growth direction in [001] having a distribution width Ω = 20° ± 2°. - Highlights: • Uniform and pure single-crystal ZnO nanorods were obtained by AACVD technique. • Longitudinal and transversal axis parallel to the [001] and [110] directions, respectively. • Texture was determined by 2D synchrotron diffraction and electron microscopy analysis. • Nanorods have its [001] direction distributed close to the normal of the substrate. • Angular spread about the preferred orientation is 20° ± 2°.

  6. Chemical vapour deposition of vanadium oxide thermochromic thin films

    Science.gov (United States)

    Piccirillo, Clara

    Thermochromic materials change optical properties, such as transmittance or reflectance, with a variation in temperature. An ideal intelligent (smart) material will allow solar radiation in through a window in cold conditions, but reflect that radiation in warmer conditions. The variation in the properties is often associated with a phase change, which takes place at a definite temperature, and is normally reversible. Such materials are usually applied to window glass as thin films. This thesis presents the work on the development of thermochromic vanadium (IV) oxide (VO2) thin films - both undoped and doped with tungsten, niobium and gold nanoparticles - which could be employed as solar control coatings. The films were deposited using Chemical Vapour Deposition (CVD), using improved Atmospheric Pressure (APCVD), novel Aerosol Assisted (AACVD) and novel hybrid AP/AACVD techniques. The effects of dopants on the metalto- semiconductor transition temperature and transmittance/reflectance characteristics were also investigated. This work significantly increased the understanding of the mechanisms behind thermochromic behaviour, and resulted in thermochromic materials based on VO2 with greatly improved properties.

  7. On the physical and chemical details of alumina atomic layer deposition: A combined experimental and numerical approach

    International Nuclear Information System (INIS)

    Pan, Dongqing; Ma, Lulu; Xie, Yuanyuan; Yuan, Chris; Jen, Tien Chien

    2015-01-01

    Alumina thin film is typically studied as a model atomic layer deposition (ALD) process due to its high dielectric constant, high thermal stability, and good adhesion on various wafer surfaces. Despite extensive applications of alumina ALD in microelectronics industries, details on the physical and chemical processes are not yet well understood. ALD experiments are not able to shed adequate light on the detailed information regarding the transient ALD process. Most of current numerical approaches lack detailed surface reaction mechanisms, and their results are not well correlated with experimental observations. In this paper, the authors present a combined experimental and numerical study on the details of flow and surface reactions in alumina ALD using trimethylaluminum and water as precursors. Results obtained from experiments and simulations are compared and correlated. By experiments, growth rate on five samples under different deposition conditions is characterized. The deposition rate from numerical simulation agrees well with the experimental results. Details of precursor distributions in a full cycle of ALD are studied numerically to bridge between experimental observations and simulations. The 3D transient numerical model adopts surface reaction kinetics and mechanisms based on atomic-level studies to investigate the surface deposition process. Surface deposition is shown as a strictly self-limited process in our numerical studies. ALD is a complex strong-coupled fluid, thermal and chemical process, which is not only heavily dependent on the chemical kinetics and surface conditions but also on the flow and material distributions

  8. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  9. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  10. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  11. Ionic Liquids and Cellulose: Dissolution, Chemical Modification and Preparation of New Cellulosic Materials

    Science.gov (United States)

    Isik, Mehmet; Sardon, Haritz; Mecerreyes, David

    2014-01-01

    Due to its abundance and a wide range of beneficial physical and chemical properties, cellulose has become very popular in order to produce materials for various applications. This review summarizes the recent advances in the development of new cellulose materials and technologies using ionic liquids. Dissolution of cellulose in ionic liquids has been used to develop new processing technologies, cellulose functionalization methods and new cellulose materials including blends, composites, fibers and ion gels. PMID:25000264

  12. Green electroluminescence from ZnO/n-InP heterostructure fabricated by metalorganic chemical vapour deposition

    International Nuclear Information System (INIS)

    Zhu Huichao; Zhang Baolin; Li Xiangping; Dong Xin; Li Wancheng; Guan Hesong; Cui Yongguo; Xia Xiaochuan; Yang Tianpeng; Chang Yuchun; Du Guotong

    2007-01-01

    Vertically aligned ZnO films were deposited on n-InP by metalorganic chemical vapour deposition. X-ray diffraction, field emission scanning electron microscopy and photoluminescence measurements demonstrated that the ZnO films had good quality. By evaporating AuZn electrodes on both ZnO and InP surfaces, a ZnO-based light emitting device was fabricated. Under forward voltage, weak green emissions can be observed in darkness

  13. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  14. Accessing the Impact of Sea-Salt Emissions on Aerosol Chemical Formation and Deposition Over Pearl River Delta, China

    Science.gov (United States)

    Fan, Q.; Wang, X.; Liu, Y.; Wu, D.; Chan, P. W.; Fan, S.; Feng, Y.

    2015-12-01

    Sea-salt aerosol (SSA) emissions have a significant impact on aerosol pollution and haze formation in the coastal areas. In this study, Models-3/CMAQ modeling system was utilized to access the impact of SSA emissions on aerosol chemical formation and deposition over Pearl River Delta (PRD), China in July 2006. More SSAs were transported inland from the open-ocean under the southeast wind in summertime. Two experiments (with and without SSA emissions in the CMAQ model) were set up to compare the modeling results with each other. The results showed that the increase of sulfate concentrations were more attributable to the primary emissions of coarse SO42- particles in SSA, while the increase of nitrate concentrations were more attributable to secondary chemical formations, known as the mechanisms of chloride depletion in SSA. In the coastal areas, 17.62 % of SO42-, 26.6% of NO3- and 38.2% of PM10 were attributed to SSA emissions, while those portions were less than 1% in the inland areas. The increases of PM10 and its components due to SSA emissions resulted in higher deposition fluxes over PRD, particularly in the coastal areas, except for the wet deposition of nitrate. Nitrate was more sensitive to SSA emissions in chemical formations than sulfate and dry deposition of aerosol was also more sensitive than that for wet deposition. Process analysis of sulfate and nitrate was applied to find out the difference of physical and chemical mechanisms between Guangzhou (the inland areas) and Zhuhai (the coastal areas). The negative contributions of dry deposition process to both sulfate and nitrate concentrations increased if SSA emissions were taken into account in the model, especially for Zhuhai. The negative contributions of cloud process also increased due to cloud scavenging and wet deposition process. In the coastal area, the gas-to-particle conversions became more active with high contributions of aerosol process to nitrate concentrations.

  15. Chemical, mechanical, and tribological properties of pulsed-laser-deposited titanium carbide and vanadium carbide

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1997-01-01

    The chemical, mechanical, and tribological properties of pulsed-laser-deposited TiC and VC films are reported in this paper. Films were deposited by ablating carbide targets using a KrF (λ = 248 nm) laser. Chemical analysis of the films by XPS revealed oxygen was the major impurity; the lowest oxygen concentration obtained in a film was 5 atom%. Oxygen was located primarily on the carbon sublattice of the TiC structure. The films were always substoichiometric, as expected, and the carbon in the films was identified primarily as carbidic carbon. Nanoindentation hardness tests gave values of 39 GPa for TiC and 26 GPa for VC. The friction coefficient for the TiC films was 0.22, while the VC film exhibited rapid material transfer from the steel ball to the substrate resulting in steel-on-steel tribological behavior

  16. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  17. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  18. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  19. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  20. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  1. Stress hysteresis during thermal cycling of plasma-enhanced chemical vapor deposited silicon oxide films

    Science.gov (United States)

    Thurn, Jeremy; Cook, Robert F.

    2002-02-01

    The mechanical response of plasma-enhanced chemical vapor deposited SiO2 to thermal cycling is examined by substrate curvature measurement and depth-sensing indentation. Film properties of deposition stress and stress hysteresis that accompanied thermal cycling are elucidated, as well as modulus, hardness, and coefficient of thermal expansion. Thermal cycling is shown to result in major plastic deformation of the film and a switch from a compressive to a tensile state of stress; both athermal and thermal components of the net stress alter in different ways during cycling. A mechanism of hydrogen incorporation and release from as-deposited silanol groups is proposed that accounts for the change in film properties and state of stress.

  2. Characterization of CuInS2 thin films prepared by chemical bath deposition and their implementation in a solar cell

    International Nuclear Information System (INIS)

    Lugo, S.; López, I.; Peña, Y.; Calixto, M.; Hernández, T.; Messina, S.

    2014-01-01

    CuInS 2 thin films were formed by the sequential deposition of In 2 S 3 –CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS 2 thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In 2 S 3 , respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10 −3 Ω −1 cm −1 for the samples of 15 and 24 h of In 2 S 3 deposition bath, respectively. The obtained CuInS 2 films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO 2 :F/CdS/Sb 2 S 3 /CuInS 2 /PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In 2 S 3 deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V oc ) of 530 mV, short circuit current density (J sc ) of 2.43 mA cm −2 , and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In 2 S 3 bath, η = 0.43% was measured with the following parameters: V oc = 330 mV, J sc = 4.78 mA cm −2 and FF = 0.27. - Highlights: • CuInS 2 films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS 2 thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method

  3. Modeling of gas-phase chemistry in the chemical vapor deposition of polysilicon in a cold wall system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Edgar, T.F.; Trachtenberg, I. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1993-06-01

    The relative contribution of gas-phase chemistry to deposition processes is an important issue both from the standpoint of operation and modeling of these processes. In polysilicon deposition from thermally activated silane in a cold wall rapid thermal chemical vapor deposition (RTCVD) system, the relative contribution of gas-phase chemistry to the overall deposition rate was examined by a mass-balance model. Evaluating the process at conditions examined experimentally, the model indicated that gas-phase reactions may be neglected to good accuracy in predicting polysilicon deposition rate. The model also provided estimates of the level of gas-phase generated SiH[sub 2] associated with deposition on the cold-process chamber walls.

  4. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  5. WC-Co coatings deposited by the electro-thermal chemical spray method

    Energy Technology Data Exchange (ETDEWEB)

    Zhitomirsky, V.N. [Tel Aviv Univ. (Israel). Faculty of Engineering; Wald, S.; Rabani, L.; Zoler, D. [Propulsion Physics Division, SOREQ NRC, 81800, Yavne (Israel); Factor, M.; Roman, I. [School of Applied Sciences, The Hebrew University, 91904, Jerusalem (Israel); Cuperman, S.; Bruma, C. [School of Physics and Astronomy, Tel-Aviv University, 69978, Tel-Aviv (Israel)

    2000-10-02

    A novel thermal spray technology - an electro-thermal chemical spray (ETCS) for producing hard coatings is presented. The experimental coating apparatus consists of a machine gun barrel, a cartridge containing the coating material in powder form, a solid propellant, and a plasma ignition system. The plasma ignition system produces plasma in pulsed mode to ignite the solid propellant. On ignition, the drag force exerted by the combustion gases accelerates the powder particles towards the substrate. Using the ETCS technique, the process of single-shot WC-Co coating deposition on stainless steel substrate was studied. The influence of process parameters (plasma energy, mass of the solid propellant and the coated powder, distance between the gun muzzle and the substrate) on the coating structure and some of its properties were investigated. It was shown that ECTS technique effectively deposited the WC-Co coating with deposition thicknesses of 100-200 {mu}m per shot, while deposition yield of {proportional_to}70% was attained. The WC-Co coatings consisted of carbide particles distributed in amorphous matrix. The powder particle velocity was found to depend on the solid propellant mass and was weakly dependent on the plasma energy, while the particle processing temperature was strongly dependent on the plasma energy and almost independent of the solid propellant mass. Whilst increasing the solid propellant mass from 5 to 7 g, the deposition rate and yield correspondingly increased. When increasing the plasma energy, the temperature of the powder particles increased, the average carbide particle size decreased and their shape became more rounded. The deposition yield and microhardness at first increased and then achieved saturation by increasing the plasma energy. (orig.)

  6. Acid Deposition Phenomena

    International Nuclear Information System (INIS)

    Ramadan, A.E.K.

    2004-01-01

    Acid deposition, commonly known as acid rain, occurs when emissions from the combustion of fossil fuels and other industrial processes undergo complex chemical reactions in the atmosphere and fall to the earth as wet deposition (rain, snow, cloud, fog) or dry deposition (dry particles, gas). Rain and snow are already naturally acidic, but are only considered problematic when less than a ph of 5.0 The main chemical precursors leading to acidic conditions are atmospheric concentrations of sulfur dioxide (SO 2 ) and nitrogen oxides (NO x ). When these two compounds react with water, oxygen, and sunlight in the atmosphere, the result is sulfuric (H 2 SO 4 ) and nitric acids (HNO 3 ), the primary agents of acid deposition which mainly produced from the combustion of fossil fuel and from petroleum refinery. Airborne chemicals can travel long distances from their sources and can therefore affect ecosystems over broad regional scales and in locations far from the sources of emissions. According to the concern of petroleum ministry with the environment and occupational health, in this paper we will discussed the acid deposition phenomena through the following: Types of acidic deposition and its components in the atmosphere Natural and man-made sources of compounds causing the acidic deposition. Chemical reactions causing the acidic deposition phenomenon in the atmosphere. Factors affecting level of acidic deposition in the atmosphere. Impact of acid deposition. Procedures for acidic deposition control in petroleum industry

  7. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  8. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  9. Deposition and characterization of ZnS thin films using chemical bath deposition method in the presence of sodium tartrate as complexing agent

    International Nuclear Information System (INIS)

    Kassim, A.; Tee, T.W.; Min, H.S.; Nagalingam, S.

    2011-01-01

    ZnS thin films were deposited on indium tin oxide glass substrate using the chemical bath deposition method. The deposited films were characterized by X-ray diffraction and atomic force microscopy. The influence of bath temperature on the structure and morphology of the thin films was investigated at three different bath temperatures of 60, 70 and 80 deg. C in the presence of sodium tartrate as a complexing agent. The XRD results indicated that the deposited ZnS thin films exhibited a polycrystalline cubic structure. The number of ZnS peaks increased from three to four peaks as the bath temperature was increased from 60 to 80 deg. C based on the XRD patterns. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the bath temperature. The grain size increased as the bath temperature was increased from 60 to 80 deg. C. (author)

  10. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  11. Substrate Effect on Plasma Clean Efficiency in Plasma Enhanced Chemical Vapor Deposition System

    Directory of Open Access Journals (Sweden)

    Shiu-Ko JangJian

    2007-01-01

    Full Text Available The plasma clean in a plasma-enhanced chemical vapor deposition (PECVD system plays an important role to ensure the same chamber condition after numerous film depositions. The periodic and applicable plasma clean in deposition chamber also increases wafer yield due to less defect produced during the deposition process. In this study, the plasma clean rate (PCR of silicon oxide is investigated after the silicon nitride deposited on Cu and silicon oxide substrates by remote plasma system (RPS, respectively. The experimental results show that the PCR drastically decreases with Cu substrate compared to that with silicon oxide substrate after numerous silicon nitride depositions. To understand the substrate effect on PCR, the surface element analysis and bonding configuration are executed by X-ray photoelectron spectroscopy (XPS. The high resolution inductively coupled plasma mass spectrometer (HR-ICP-MS is used to analyze microelement of metal ions on the surface of shower head in the PECVD chamber. According to Cu substrate, the results show that micro Cu ion and the CuOx bonding can be detected on the surface of shower head. The Cu ion contamination might grab the fluorine radicals produced by NF3 ddissociation in the RPS and that induces the drastic decrease on PCR.

  12. An active nano-supported interface designed from gold nanoparticles embedded on ionic liquid for depositing DNA

    International Nuclear Information System (INIS)

    Lu Liping; Kang Tianfang; Cheng Shuiyuan; Guo Xiurui

    2009-01-01

    The use of an active nano-interface designed from gold nanoparticles embedded on ionic liquid for DNA damage resulted from formalehyde (HCHO) is reported in this article. The active nano-interface was fabricated by depositing gold nanoparticles on the ionic liquid 1-butyl-3-methylimidazolium tetrafluroborate ([bmim][BF 4 ]). A glassy carbon electrode modified by this composite film was fabricated to immobilize DNA for probing into the damage resulted from HCHO. The modifying process was characterized by X-ray photoelectron spectroscopy, atomic force microscopy and electrochemistry involving electrochemical impedance spectroscopy. It was found that the modified film performs effectively in studying the DNA damage by electrocatalytic activity toward HCHO oxidation.

  13. Ionic Liquids and Cellulose: Dissolution, Chemical Modification and Preparation of New Cellulosic Materials

    Directory of Open Access Journals (Sweden)

    Mehmet Isik

    2014-07-01

    Full Text Available Due to its abundance and a wide range of beneficial physical and chemical properties, cellulose has become very popular in order to produce materials for various applications. This review summarizes the recent advances in the development of new cellulose materials and technologies using ionic liquids. Dissolution of cellulose in ionic liquids has been used to develop new processing technologies, cellulose functionalization methods and new cellulose materials including blends, composites, fibers and ion gels.

  14. Characterization of photoluminescent europium doped yttrium oxide thin-films prepared by metallorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    McKittrick, J.; Bacalski, C.F.; Hirata, G.A.; Hubbard, K.M.; Pattillo, S.G.; Salazar, K.V.; Trkula, M.

    1998-01-01

    Europium doped yttrium oxide, (Y 1-x Eu x ) 2 O 3 , thin-films were deposited on silicon and sapphire substrates by metallorganic chemical vapor deposition (MOCVD). The films were grown in a MOCVD chamber reacting yttrium and europium tris(2,2,6,6-tetramethyl-3,5,-heptanedionates) precursors in an oxygen atmosphere at low pressures (5 Torr) and low substrate temperatures (500--700 C). The films deposited at 500 C were flat and composed of nanocrystalline regions of cubic Y 2 O 3 , grown in a textured [100] or [110] orientation to the substrate surface. Films deposited at 600 C developed from the flat, nanocrystalline morphology into a plate-like growth morphology oriented in the [111] with increasing deposition time. Monoclinic Y 2 O 3 :Eu 3+ was observed in x-ray diffraction for deposition temperatures ≥600 C on both (111) Si and (001) sapphire substrates. This was also confirmed by the photoluminescent emission spectra

  15. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  16. Morphology and growth behavior of O_2-free chemical bath deposited ZnS thin films

    International Nuclear Information System (INIS)

    Jet Meitzner, K.; Tillotson, Brock M.; Siedschlag, Amanda T.; Moore, Frederick G.; Kevan, Stephen D.; Richmond, Geraldine L.

    2015-01-01

    We investigate the role of reagent concentrations and ambient O_2 on the morphology and growth behavior of ZnS thin films grown with the chemical bath deposition method. We investigate the role of substrate on film morphology, and find significant differences between films deposited on SiO_2 versus Si. The films are also sensitive to dissolved O_2 in the bath, as it causes a layer of SiO_2 to form at the ZnS/Si interface during deposition. Degassing of solutions and an N_2 atmosphere are effective to minimize this oxidation, allowing deposition of ZnS films directly onto Si. Under these conditions, we examine film properties as they relate to reagent bath concentrations. As the reagent concentrations are decreased, both the film roughness and growth rate decrease linearly. We also observe deformation and shifting of X-ray diffraction peaks that increases with decreasing reagent concentrations. The shifts are characteristic of lattice compression (caused by the substitution of oxygen for sulfur), and the deformation is characteristic of distortion of the lattice near crystal grain interfaces (caused by tensile stress from interatomic forces between neighboring crystal grains). At the weakest concentrations, the low roughness suggests a mixed growth mode in which both clusters and individual ZnS nanocrystallites contribute to film growth. With increasing reagent concentrations, the growth mode shifts and becomes dominated by deposition of clusters. - Highlights: • We deposit ZnS thin films by chemical bath deposition in an O_2-free environment. • The O_2-free environment is effective to minimize oxidation of the Si substrate. • The dominant growth mechanism changes with reagent concentrations. • Film morphology and composition change with reagent concentrations. • X-ray diffraction reveals tensile stress between ZnS crystal grains.

  17. Optimization of chemical displacement deposition of copper on porous silicon.

    Science.gov (United States)

    Bandarenka, Hanna; Redko, Sergey; Nenzi, Paolo; Balucani, Marco; Bondarenko, Vitaly

    2012-11-01

    Copper (II) sulfate was used as a source of copper to achieve uniform distribution of Cu particles deposited on porous silicon. Layers of the porous silicon were formed by electrochemical anodization of Si wafers in a mixture of HF, C3H7OH and deionized water. The well-known chemical displacement technique was modified to grow the copper particles of specific sizes. SEM and XRD analysis revealed that the outer surface of the porous silicon was covered with copper particles of the crystal orientation inherited from the planes of porous silicon skeleton. The copper crystals were found to have the cubic face centering elementary cell. In addition, the traces of Cu2O cubic primitive crystalline phases were identified. The dimensions of Cu particles were determined by the Feret's analysis of the SEM images. The sizes of the particles varied widely from a few to hundreds of nanometers. A phenomenological model of copper deposition was proposed.

  18. Diamond synthesis at atmospheric pressure by microwave capillary plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hemawan, Kadek W.; Gou, Huiyang; Hemley, Russell J. [Geophysical Laboratory, Carnegie Institution of Washington, 5251 Broad Branch Rd., NW, Washington, DC 20015 (United States)

    2015-11-02

    Polycrystalline diamond has been synthesized on silicon substrates at atmospheric pressure, using a microwave capillary plasma chemical vapor deposition technique. The CH{sub 4}/Ar plasma was generated inside of quartz capillary tubes using 2.45 GHz microwave excitation without adding H{sub 2} into the deposition gas chemistry. Electronically excited species of CN, C{sub 2}, Ar, N{sub 2}, CH, H{sub β}, and H{sub α} were observed in the emission spectra. Raman measurements of deposited material indicate the formation of well-crystallized diamond, as evidenced by the sharp T{sub 2g} phonon at 1333 cm{sup −1} peak relative to the Raman features of graphitic carbon. Field emission scanning electron microscopy images reveal that, depending on the growth conditions, the carbon microstructures of grown films exhibit “coral” and “cauliflower-like” morphologies or well-facetted diamond crystals with grain sizes ranging from 100 nm to 10 μm.

  19. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  20. An economic analysis of the deposition of electrochromic WO{sub 3} via sputtering or plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garg, D. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States); Henderson, P.B. [Air Products and Chemicals Inc., 7201 Hamilton Blvd., Allentown, PA 18195-7201 (United States)]. E-mail: henderpb@airproducts.co; Hollingsworth, R.E. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States); Jensen, D.G. [ITN Energy Systems Inc., 8130 Shaffer Pkwy, Littleton, CO 80127 (United States)

    2005-06-15

    The costs of manufacturing electrochromic WO{sub 3} thin films deposited by either radio frequency plasma enhanced chemical vapor deposition (PECVD) or DC reactive magnetron sputtering of metal targets were modeled. Both inline systems for large area glass substrates and roll-to-roll systems for flexible webs were compared. Costs of capital, depreciation, raw materials, labor, power, and other miscellaneous items were accounted for in the model. The results predict that on similar sized systems, PECVD can produce electrochromic WO{sub 3} for as little as one-third the cost, and have more than 10 times the annual production capacity of sputtering. While PECVD cost is dominated by raw materials, primarily WF{sub 6}, sputtering cost is dominated by labor and depreciation.

  1. The electrical properties of low pressure chemical vapor deposition Ga doped ZnO thin films depending on chemical bonding configuration

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Hanearl [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of); Kim, Doyoung [School of Electrical and Electronic Engineering, Ulsan College, 57 Daehak-ro, Nam-gu, Ulsan 680-749 (Korea, Republic of); Kim, Hyungjun, E-mail: hyungjun@yonsei.ac.kr [School of Electrical and Electronic Engineering, Yonsei University, 50 Yonsei-ro, Seodaemun-gu, Seoul 120-749 (Korea, Republic of)

    2014-04-01

    Highlights: • Undoped and Ga doped ZnO thin films were deposited using DEZ and TMGa. • Effects of Ga doping using TMGa in Ga doped ZnO were investigated. • Degraded properties from excessive doping were analyzed using chemical bondings. - Abstract: The electrical and chemical properties of low pressure chemical vapor deposition (LP-CVD) Ga doped ZnO (ZnO:Ga) films were systematically investigated using Hall measurement and X-ray photoemission spectroscopy (XPS). Diethylzinc (DEZ) and O{sub 2} gas were used as precursor and reactant gas, respectively, and trimethyl gallium (TMGa) was used as a Ga doping source. Initially, the electrical properties of undoped LP-CVD ZnO films depending on the partial pressure of DEZ and O{sub 2} ratio were investigated using X-ray diffraction (XRD) by changing partial pressure of DEZ from 40 to 140 mTorr and that of O{sub 2} from 40 to 80 mTorr. The resistivity was reduced by Ga doping from 7.24 × 10{sup −3} Ω cm for undoped ZnO to 2.05 × 10{sup −3} Ω cm for Ga doped ZnO at the TMG pressure of 8 mTorr. The change of electric properties of Ga doped ZnO with varying the amount of Ga dopants was systematically discussed based on the structural crystallinity and chemical bonding configuration, analyzed by XRD and XPS, respectively.

  2. Study on flow characteristics of chemically reacting liquid jet

    International Nuclear Information System (INIS)

    Hong Seon Dae; Okamoto, Koji; Takata, Takashi; Yamaguchi, Akira

    2004-07-01

    Tube rupture accidents in steam generators of sodium-cooled fast breeder reactors are important for safety because the rupture may propagates to neighboring tubes due to sodium-water reaction. In order to clarify the thermal-hydraulic phenomena in the accidents, the flow pattern and the interface in multi-phase flow must be investigated. The JNC cooperative research scheme on the nuclear fuel cycle with the University of Tokyo has been carried to develop a simultaneous measurement system of concentration and velocity profiles and to evaluate influence of chemical reaction on mixing phenomena. In the experiments, aqueous liquor of acetic acid and ammonium hydroxide are selected as a simulant fluid instead of liquid sodium and water vapor. The following conclusions are obtained in this research. Laser Induced Fluorescence (LIF) technique was adopted to measure reacting zone and pH distribution in chemically reacting liquid round free jet. As a result, it was found that the chemical reaction, which took place at the interface between the jet and outer flow, suppressed the mixing phenomenon (in 2001 research). Dynamic Particle Image Velocimetry (PIV) method was developed to measure instantaneous velocity profile with high temporal resolution. In the Dynamic PIV, a high-speed video camera coupled with a high-speed laser pulse generator was implemented. A time-line trend of interfacial area in the free jet was investigated with the Dynamic PIV. This technique was also applied to a complicated geometry (in 2002 research). A new algorithms for image analysis was developed to evaluated the Dynamic PIV data in detail. The characteristics of the mixing phenomenon with reacting jet such as the turbulent kinetic energy and the Reynolds stress were estimated in a spatial and temporal spectrum (in 2003 research). (author)

  3. 16 CFR 1500.231 - Guidance for hazardous liquid chemicals in children's products.

    Science.gov (United States)

    2010-01-01

    ..., distributors, and retailers to protect children from exposure to hazardous chemicals found in liquid-filled... purchasing products for resale, importers, distributors, and retailers obtain assurances from manufacturers... subsequent hand-to-mouth or hand-to-eye activity. The specific type and frequency of behavior that a child...

  4. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  5. Photoelectrocatalytic activity of liquid phase deposited α-Fe2O3 films under visible light illumination

    International Nuclear Information System (INIS)

    Zhang, Man; Pu, Wenhong; Pan, Shichang; Okoth, Otieno Kevin; Yang, Changzhu; Zhang, Jingdong

    2015-01-01

    Liquid phase deposition (LPD) technique was employed to prepare α-Fe 2 O 3 films for photoelectrocatalytic degradation of pollutants. The obtained LPD films were characterized by various surface analysis techniques such as X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray (EDX) and X-ray photoelectron spectroscopy (XPS). The results indicated that α-Fe 2 O 3 films with porous structure were successfully deposited on the titanium substrates by the LPD process. The UV–Visible diffuse reflectance spectroscopic (DRS) analysis showed that the obtained LPD α-Fe 2 O 3 film mainly absorbed visible light, which was advantageous to the utilization of solar energy. Under visible light illumination, the Fe 2 O 3 film electrodes exhibited sensitive photocurrent responses, which were affected by the calcination temperature. Consistent with the photocurrent analysis, the α-Fe 2 O 3 film calcined at 600 °C showed the best photoelectrocatalytic performance, and different organic pollutants such as methyl orange (MO) and p-nitrophenol (PNP) were effectively degraded over the LPD film electrode by photoelectrocatalytic treatment under visible light illumination. - Highlights: • α-Fe 2 O 3 film is prepared by liquid phase deposition process. • LPD α-Fe 2 O 3 film has a porous structure and absorbs visible light. • Calcination temperature shows a significant effect on the PEC performance of α-Fe 2 O 3 film. • α-Fe 2 O 3 film is efficient for photoelectrocatalytic degradation of pollutants

  6. A comparison of biological effect and spray liquid distribution and deposition for different spray application techniques in different crops

    OpenAIRE

    Larsolle, Anders; Wretblad, Per; Westberg, Carl

    2002-01-01

    The objective of this study was to compare a selection of spray application techniques with different application volumes, with respect to the spray liquid distribution on flat surfaces, the deposition in fully developed crops and the biological effect. The spray application techniques in this study were conventional spray technique with three different nozzles: Teelet XR, Lechler ID and Lurmark DriftBeta, and also AirTec, Danfoil, Hardi Twin, Kyndestoit and Släpduk. The dynamic spray liquid ...

  7. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  8. Plasma diagnostics and device properties of AlGaN/GaN HEMT passivated with SiN deposited by plasma-enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Romero, M F; Sanz, M M; Munoz, E [ISOM-Universidad Politecnica de Madrid (UPM). ETSIT, Madrid (Spain); Tanarro, I [Instituto de Estructura de la Materia, CSIC, Madrid (Spain); Jimenez, A, E-mail: itanarro@iem.cfmac.csic.e [Departamento Electronica, Escuela Politecnica Superior, Universidad de Alcala, Alcala de Henares, Madrid (Spain)

    2010-12-15

    In this work, silicon nitride thin films have been deposited by plasma enhanced chemical vapour deposition on both silicon samples and AlGaN/GaN high electron mobility transistors (HEMT) grown on sapphire substrates. Commercial parallel-plate RF plasma equipment has been used. During depositions, the dissociation rates of SiH{sub 4} and NH{sub 3} precursors and the formation of H{sub 2} and N{sub 2} have been analysed by mass spectrometry as a function of the NH{sub 3}/SiH{sub 4} flow ratio and the RF power applied to the plasma reactor. Afterwards, the properties of the films and the HEMT electrical characteristics have been studied. Plasma composition has been correlated with the SiN deposition rate, refractive index, H content and the final electric characteristics of the passivated transistors.

  9. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  10. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    Science.gov (United States)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; da Cruz, Nilson C.; Bortoleto, José R. R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-09-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, ETauc, of these films were obtained via transmission spectra in the ultraviolet-visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of ETauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased ETauc. The mechanical properties - hardness, elastic modulus and stiffness - of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  11. Optical, mechanical and surface properties of amorphous carbonaceous thin films obtained by plasma enhanced chemical vapor deposition and plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Turri, Rafael G.; Santos, Ricardo M.; Rangel, Elidiane C.; Cruz, Nilson C. da; Bortoleto, José R.R.; Dias da Silva, José H.; Antonio, César Augusto; Durrant, Steven F.

    2013-01-01

    Diverse amorphous hydrogenated carbon-based films (a-C:H, a-C:H:F, a-C:H:N, a-C:H:Cl and a-C:H:Si:O) were obtained by radiofrequency plasma enhanced chemical vapor deposition (PECVD) and plasma immersion ion implantation and deposition (PIIID). The same precursors were used in the production of each pair of each type of film, such as a-C:H, using both PECVD and PIIID. Optical properties, namely the refractive index, n, absorption coefficient, α, and optical gap, E Tauc , of these films were obtained via transmission spectra in the ultraviolet–visible near-infrared range (wavelengths from 300 to 3300 nm). Film hardness, elastic modulus and stiffness were obtained as a function of depth using nano-indentation. Surface energy values were calculated from liquid drop contact angle data. Film roughness and morphology were assessed using atomic force microscopy (AFM). The PIIID films were usually thinner and possessed higher refractive indices than the PECVD films. Determined refractive indices are consistent with literature values for similar types of films. Values of E Tauc were increased in the PIIID films compared to the PECVD films. An exception was the a-C:H:Si:O films, for which that obtained by PIIID was thicker and exhibited a decreased E Tauc . The mechanical properties – hardness, elastic modulus and stiffness – of films produced by PECVD and PIIID generally present small differences. An interesting effect is the increase in the hardness of a-C:H:Cl films from 1.0 to 3.0 GPa when ion implantation is employed. Surface energy correlates well with surface roughness. The implanted films are usually smoother than those obtained by PECVD.

  12. ArF Laser -Induced Chemical Vapour Deposition of Polythiene Films from Carbon Disulfide

    Czech Academy of Sciences Publication Activity Database

    Tomovska, R.; Bastl, Zdeněk; Vorlíček, Vladimír; Vacek, Karel; Šubrt, Jan; Plzák, Zbyněk; Pola, Josef

    2003-01-01

    Roč. 107, č. 36 (2003), s. 9793-9801 ISSN 1089-5647 R&D Projects: GA MŠk ME 612 Institutional research plan: CEZ:AV0Z4032918; CEZ:AV0Z4040901 Keywords : laser photolysis * ArF * chemical vapour deposition Subject RIV: CF - Physical ; Theoretical Chemistry Impact factor: 3.679, year: 2003

  13. Nucleation and growth of microdroplets of ionic liquids deposited by physical vapor method onto different surfaces

    Science.gov (United States)

    Costa, José C. S.; Coelho, Ana F. S. M. G.; Mendes, Adélio; Santos, Luís M. N. B. F.

    2018-01-01

    Nanoscience and technology has generated an important area of research in the field of properties and functionality of ionic liquids (ILs) based materials and their thin films. This work explores the deposition process of ILs droplets as precursors for the fabrication of thin films, by means of physical vapor deposition (PVD). It was found that the deposition (by PVD on glass, indium tin oxide, graphene/nickel and gold-coated quartz crystal surfaces) of imidazolium [C4mim][NTf2] and pyrrolidinium [C4C1Pyrr][NTf2] based ILs generates micro/nanodroplets with a shape, size distribution and surface coverage that could be controlled by the evaporation flow rate and deposition time. No indication of the formation of a wetting-layer prior to the island growth was found. Based on the time-dependent morphological analysis of the micro/nanodroplets, a simple model for the description of the nucleation process and growth of ILs droplets is presented. The proposed model is based on three main steps: minimum free area to promote nucleation; first order coalescence; second order coalescence.

  14. Chemical vapor deposition of NiSi using Ni(PF3)4 and Si3H8

    International Nuclear Information System (INIS)

    Ishikawa, M.; Muramoto, I.; Machida, H.; Imai, S.; Ogura, A.; Ohshita, Y.

    2007-01-01

    NiSi x films were deposited using chemical vapor deposition (CVD) with a Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system. The step coverage quality of deposited NiSi x was investigated using a horizontal type of hot-wall low pressure CVD reactor, which maintained a constant temperature throughout the deposition area. The step coverage quality improved as a function of the position of the gas flow direction, where PF 3 gas from decomposition of Ni(PF 3 ) 4 increased. By injecting PF 3 gas into the Ni(PF 3 ) 4 and Si 3 H 8 /H 2 gas system, the step coverage quality markedly improved. This improvement in step coverage quality naturally occurred when PF 3 gas was present, indicating a strong relationship. The Si/Ni deposit ratio at 250 deg. C is larger than at 180 deg. C. It caused a decreasing relative deposition rate of Ni to Si. PF 3 molecules appear to be adsorbed on the surface of the deposited film and interfere with faster deposition of active Ni deposition species

  15. Textured indium tin oxide thin films by chemical solution deposition and rapid thermal processing

    International Nuclear Information System (INIS)

    Mottern, Matthew L.; Tyholdt, Frode; Ulyashin, Alexander; Helvoort, Antonius T.J. van; Verweij, Henk; Bredesen, Rune

    2007-01-01

    The microstructure of state-of-the-art chemical solution deposited indium tin oxide thin films typically consists of small randomly oriented grains, high porosity and poor homogeneity. The present study demonstrates how the thin film microstructure can be improved significantly by tailoring the precursor solutions and deposition conditions to be kinetically and thermodynamically favorable for generation of homogeneous textured thin films. This is explained by the occurrence of a single heterogeneous nucleation mechanism. The as-deposited thin films, crystallized at 800 deg. C, have a high apparent density, based on a refractive index of ∼ 1.98 determined by single wavelength ellipsometry at 633 nm. The microstructure of the films consists of columnar grains with preferred orientation as determined by X-ray diffraction and transmission electron microscopy. The resistivity, measured by the four point probe method, is ∼ 2 x 10 -3 Ω cm prior to post-deposition treatments

  16. Importance of deposit information in the design and execution of steam generator chemical cleaning

    International Nuclear Information System (INIS)

    Flores, O.; Remark, J.

    1997-01-01

    During the planning stages of the chemical cleaning of the San Onofre Nuclear Generating Station (SONGS) units 2 and 3 steam generators, it was determined that an understanding of the steam generator deposit loading and composition was essential to the design and success of the project. It was also determined that qualification testing, preferably with actual deposits from the SONGS steam generators, was also essential. SONGS units 2 and 3 have Combustion Engineering (CE)-designed pressurized water reactors. Each unit has two CE model 3410 steam generators. Each steam generator has 9350 alloy 600 tubes with 1.9-cm (3/4 in.) outside diameter. Unit 2 began commercial operation in 1983, and unit 3, in 1984. The purpose of this technical paper is to explain the effort and methodology for deposit composition, characterization, and quantification. In addition, the deposit qualification testing and design of the cleaning are discussed

  17. Electrical and optical properties of Cu–Cr–O thin films fabricated by chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lunca Popa, P., E-mail: petru.luncapopa@list.lu; Crêpellière, J.; Leturcq, R.; Lenoble, D.

    2016-08-01

    We present electrical and optical properties of CuCrO{sub 2} thin films deposited by chemical vapour deposition, as well as the influence of depositions' parameters on these properties. Oxygen partial pressure and precursor's concentrations have the greatest influence on optical and electrical properties of the films. Values of conductivities ranging from 10{sup −4} to 10 S/cm were obtained using different deposition conditions. The conductivity is thermally activated with an activation energy ranging from 57 to 283 meV. Thermoelectric measurements confirm the p-type conduction, and demonstrate high carrier concentration typical for a degenerate semiconductor. The as-deposited films show a medium degree of crystallinity, a maximum optical transmission up to 80% in the visible range with a corresponding band gap around 3.2 eV. - Highlights: • CuCrO{sub 2} thin films deposited via a new innovative method - DLICVD. • Band gap and electrical conductivity can be tuned by controlling deposition parameters • Key process parameter is the metallic/oxygen atomic ratio involved in the process • Electrical conductivities values spanning 5 orders of magnitudes were obtained using different deposition parameters.

  18. NASA evaluation of Type 2 chemical depositions. [effects of deicer deposition on aircraft tire friction performance

    Science.gov (United States)

    Yager, Thomas J.; Stubbs, Sandy M.; Howell, W. Edward; Webb, Granville L.

    1993-01-01

    Recent findings from NASA Langley tests to define effects of aircraft Type 2 chemical deicer depositions on aircraft tire friction performance are summarized. The Aircraft Landing Dynamics Facility (ALDF) is described together with the scope of the tire cornering and braking friction tests conducted up to 160 knots ground speed. Some lower speed 32 - 96 km/hr (20 - 60 mph) test run data obtained using an Instrumented Tire Test Vehicle (ITTV) to determine effects of tire bearing pressure and transverse grooving on cornering friction performance are also discussed. Recommendations are made concerning which parameters should be evaluated in future testing.

  19. Deposition of titanium coating on SiC fiber by chemical vapor deposition with Ti-I{sub 2} system

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Xian, E-mail: luo_shenfan@hotmail.com; Wu, Shuai; Yang, Yan-qing; Jin, Na; Liu, Shuai; Huang, Bin

    2017-06-01

    Highlights: • The transformation paths of (Ti + I{sub 2}) powder to Ti coating is: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}) → Ti. • Uniform coating was obtained on SiC fiber, but it contained Si and C elements. • Deposition rate of the coating increased with the increase of temperature. • Deposition thickness increased with time and achieved the maximum at 90 min. - Abstract: Titanium coating was prepared on SiC fiber using titanium-iodine (Ti-I{sub 2}) mixture by hot-wall chemical vapor deposition. Thermodynamic analysis and experimental observation were carried out in this work. The thermodynamic analysis of the reactions in the Ti-I{sub 2} system indicates that Ti and I{sub 2} raw powder materials transform to titanium coating as follows: Ti + I{sub 2} → (TiI{sub 2}, TiI{sub 3}), and (TiI{sub 2}, TiI{sub 3}) → Ti. In theory, the conversions of TiI{sub 3} and TiI{sub 2} reach the maximum when Ti:I{sub 2} is 1:1.5, while in actual experiment that reached the maximum when Ti:I{sub 2} was 1:2, as there existed the waste of I{sub 2} due to sublimation. Typical deposited coating is relatively flat and uniform. However, as SiC is prone to react with Ti at high temperatures, the obtained coating contained some Si and C elements except for Ti. So the coating was not a pure Ti coating but contained some carbides and silicides. Deposition rate of the coating increased with the increase of temperature. The deposited thickness increased with the increase of heat preservation time, and achieved the maximum thickness at 90 min.

  20. Characterization of chemical contaminants generated by a desktop fused deposition modeling 3-dimensional Printer.

    Science.gov (United States)

    Stefaniak, Aleksandr B; LeBouf, Ryan F; Yi, Jinghai; Ham, Jason; Nurkewicz, Timothy; Schwegler-Berry, Diane E; Chen, Bean T; Wells, J Raymond; Duling, Matthew G; Lawrence, Robert B; Martin, Stephen B; Johnson, Alyson R; Virji, M Abbas

    2017-07-01

    Printing devices are known to emit chemicals into the indoor atmosphere. Understanding factors that influence release of chemical contaminants from printers is necessary to develop effective exposure assessment and control strategies. In this study, a desktop fused deposition modeling (FDM) 3-dimensional (3-D) printer using acrylonitrile butadiene styrene (ABS) or polylactic acid (PLA) filaments and two monochrome laser printers were evaluated in a 0.5 m 3 chamber. During printing, chamber air was monitored for vapors using a real-time photoionization detector (results expressed as isobutylene equivalents) to measure total volatile organic compound (TVOC) concentrations, evacuated canisters to identify specific VOCs by off-line gas chromatography-mass spectrometry (GC-MS) analysis, and liquid bubblers to identify carbonyl compounds by GC-MS. Airborne particles were collected on filters for off-line analysis using scanning electron microscopy with an energy dispersive x-ray detector to identify elemental constituents. For 3-D printing, TVOC emission rates were influenced by a printer malfunction, filament type, and to a lesser extent, by filament color; however, rates were not influenced by the number of printer nozzles used or the manufacturer's provided cover. TVOC emission rates were significantly lower for the 3-D printer (49-3552 µg h -1 ) compared to the laser printers (5782-7735 µg h -1 ). A total of 14 VOCs were identified during 3-D printing that were not present during laser printing. 3-D printed objects continued to off-gas styrene, indicating potential for continued exposure after the print job is completed. Carbonyl reaction products were likely formed from emissions of the 3-D printer, including 4-oxopentanal. Ultrafine particles generated by the 3-D printer using ABS and a laser printer contained chromium. Consideration of the factors that influenced the release of chemical contaminants (including known and suspected asthmagens such as styrene and

  1. Management of radioactive liquid waste at the Idaho Chemical Processing Plant

    International Nuclear Information System (INIS)

    Bendixsen, C.L.

    1992-01-01

    Highly radioactive liquid wastes (HLLW) are routinely produced during spent nuclear fuel processing at the Idaho Chemical Processing Plant (ICPP), located at the Idaho National Engineering Laboratory (INEL). This paper discusses the processes and safe practices for management of the radioactive process waste streams, which processes include collection, concentration, interim storage, calcination to granular solids, and long-term intermediate storage. Over four million gallons of HLLW have been converted to a recoverable granular solid form through waste liquid injection into a high-temperature, fluidized bed wherein the wastes are converted to their respective solid oxides. The development of a glass ceramic solid for the long-term permanent disposal of the high level waste (HLW) solids is also described

  2. Chemical solution deposition of CaCu3Ti4O12 thin film

    Indian Academy of Sciences (India)

    Administrator

    CaCu3Ti4O12; thin film; chemical solution deposition; dielectric properties. 1. Introduction. The CaCu3Ti4O12. (CCTO) compound has recently attracted considerable ... and Kelvin probe force microscopy (Chung et al 2004). Intrinsic .... SEM images of CCTO thin films as a function of sintering temperature. silicon based ...

  3. Preparation of nanocrystalline ZnS by a new chemical bath deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Sartale, S.D. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Sankapal, B.R. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Lux-Steiner, M. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany); Ennaoui, A. [Department of Heterogeneous Material Systems (SE2), Hahn-Meitner-Institut, Glienicker Strasse 100, D-14109, Berlin (Germany)]. E-mail: ennaoui@hmi.de

    2005-06-01

    We report a new chemical bath deposition route for the preparation of dense, compact and uniform nanocrystalline ZnS thin films, where thiourea acts as a complexing agent as well as a source of sulfide ions. The structural and morphological characterizations suggest that the film and the residual powder in the bath are formed by the aggregation of clusters of ZnS, namely cluster-by-cluster growth mechanism. X-ray diffraction (XRD) and HRTEM analyses indicate that the film and powder formed in the bath have cubic zinkblende structure. The films have high transmittance of about 75% in the visible region. Post-deposition annealing in Ar slightly improves the crystallinity and decreases the optical bandgap with increasing the annealing temperature.

  4. Preparation of nanocrystalline ZnS by a new chemical bath deposition route

    International Nuclear Information System (INIS)

    Sartale, S.D.; Sankapal, B.R.; Lux-Steiner, M.; Ennaoui, A.

    2005-01-01

    We report a new chemical bath deposition route for the preparation of dense, compact and uniform nanocrystalline ZnS thin films, where thiourea acts as a complexing agent as well as a source of sulfide ions. The structural and morphological characterizations suggest that the film and the residual powder in the bath are formed by the aggregation of clusters of ZnS, namely cluster-by-cluster growth mechanism. X-ray diffraction (XRD) and HRTEM analyses indicate that the film and powder formed in the bath have cubic zinkblende structure. The films have high transmittance of about 75% in the visible region. Post-deposition annealing in Ar slightly improves the crystallinity and decreases the optical bandgap with increasing the annealing temperature

  5. Electrochromic and electrochemical capacitive properties of tungsten oxide and its polyaniline nanocomposite films obtained by chemical bath deposition method

    CSIR Research Space (South Africa)

    Nwanya, AC

    2014-05-01

    Full Text Available Polyanine and its nanocomposite WO3/PANI films were deposited on fluorine doped tin oxide (FTO) glassslides by simple chemical bath deposition method. The morphology structure of the composite film wasstudied using atomic force microscopy (AFM...

  6. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Salt Removal from the Uranium Deposits of Electrorefiner

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, S. W.; Park, K. M.; Lee, S. J.; Park, S. B.; Cho, C. H.; Choi, S. Y.; Lee, H. S.; Kim, J. G. [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2010-10-15

    Electrorefining is a key step in pyroprocessing. The electrorefining process is generally composed of two recovery steps. The deposit of uranium onto a solid cathode and the recovery of the remaining uranium and TRU elements simultaneously by a liquid cadmium cathode. The solid cathode processing is necessary to separate the salt from the cathode since the uranium deposit in a solid cathode contains electrolyte salt. In the liquid cathode, cadmium metal should be removed to recover actinide product. A physical separation process, such as distillation separation, is more attractive than a chemical or dissolution process because physical processes generate much less secondary process. Distillation process was employed for the cathode processing due to the advantages of minimal generation of secondary waste, compact unit process, simple and low cost equipment. The basis for vacuum distillation separation is the difference in vapor pressures between salt and uranium. A solid cathode deposit is heated in a heating region and salt vaporizes, while non volatile uranium remains behind. It is very important to increase the throughput of the salt separation system due to the high uranium content of spent nuclear fuel and high salt fraction of uranium dendrites. The evaporation rate of the LiCl-KCl eutectic salt in vacuum distiller is not so high to come up with the generation capacity of uranium dendrites in electro-refiner. Therefore, wide evaporation area or high distillation temperature is necessary for the successful salt separation. In this study, the solid-liquid separation was proposed prior to distillation of salt and a feasibility of the separation of the liquid salt by a metallic wire mesh (sieve) was tested for the reduction of the burden of the following vacuum distillation process

  8. Salt Removal from the Uranium Deposits of Electrorefiner

    International Nuclear Information System (INIS)

    Kwon, S. W.; Park, K. M.; Lee, S. J.; Park, S. B.; Cho, C. H.; Choi, S. Y.; Lee, H. S.; Kim, J. G.

    2010-01-01

    Electrorefining is a key step in pyroprocessing. The electrorefining process is generally composed of two recovery steps. The deposit of uranium onto a solid cathode and the recovery of the remaining uranium and TRU elements simultaneously by a liquid cadmium cathode. The solid cathode processing is necessary to separate the salt from the cathode since the uranium deposit in a solid cathode contains electrolyte salt. In the liquid cathode, cadmium metal should be removed to recover actinide product. A physical separation process, such as distillation separation, is more attractive than a chemical or dissolution process because physical processes generate much less secondary process. Distillation process was employed for the cathode processing due to the advantages of minimal generation of secondary waste, compact unit process, simple and low cost equipment. The basis for vacuum distillation separation is the difference in vapor pressures between salt and uranium. A solid cathode deposit is heated in a heating region and salt vaporizes, while non volatile uranium remains behind. It is very important to increase the throughput of the salt separation system due to the high uranium content of spent nuclear fuel and high salt fraction of uranium dendrites. The evaporation rate of the LiCl-KCl eutectic salt in vacuum distiller is not so high to come up with the generation capacity of uranium dendrites in electro-refiner. Therefore, wide evaporation area or high distillation temperature is necessary for the successful salt separation. In this study, the solid-liquid separation was proposed prior to distillation of salt and a feasibility of the separation of the liquid salt by a metallic wire mesh (sieve) was tested for the reduction of the burden of the following vacuum distillation process

  9. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  10. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  11. Radionuclide deposition control

    International Nuclear Information System (INIS)

    1980-01-01

    A method is described for controlling the deposition, on to the surfaces of reactor components, of the radionuclides manganese-54, cobalt-58 and cobalt-60 from a liquid stream containing the radionuclides. The method consists of disposing a getter material (nickel) in the liquid stream, and a non-getter material (tantalum, tungsten or molybdenum) as a coating on the surfaces where deposition is not desired. The process is described with special reference to its use in the coolant circuit in sodium cooled fast breeder reactors. (U.K.)

  12. Investigation of Electrochemically Deposited and Chemically Reduced Platinum Nanostructured Thin Films as Counter Electrodes in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Chih-Hung Tsai

    2018-02-01

    Full Text Available In this paper, we demonstrated that platinum (Pt counter electrodes (CEs fabricated using electrochemical deposition and chemical reduction can replace conventional high-temperature thermally decomposed Pt electrodes. In this study, Pt electrodes were fabricated using thermal decomposition, electrochemical deposition, and chemical reduction, and the influence of the different Pt counter electrodes on the efficiency of the dye-sensitized solar cells (DSSCs was analyzed. The properties of the various Pt CEs were analyzed using scanning electron microscopy (SEM, surface area analysis, X-ray diffraction (XRD, electrochemical impedance spectroscopy (EIS, and cyclic voltammetry (CV. DSSCs with various Pt CEs were characterized using current density-voltage (J-V, incident photo-current conversion efficiency (IPCE, and EIS measurements. The results show that the power conversion efficiencies of these three types of DSSC devices were between 7.43% and 7.72%. The DSSCs based on the Pt electrode fabricated through electrochemical deposition exhibited the optimal power conversion efficiency. Because the processes of electrochemical deposition and chemical reduction do not require high-temperature sintering, these two methods are suitable for the fabrication of Pt on flexible plastic substrates.

  13. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  14. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2006-01-01

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film

  15. Human serum albumin (HSA) adsorption onto a-SiC:H thin films deposited by hot wire chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P. [Department of Metallurgical Engineering and Materials Science, Indian Institute of Technology, Bombay (India) and Samtel Centre for Display Technologies, Indian Institute of Technology Kanpur, India, Kanpur 208016 (India)]. E-mail: bibhup@iitb.ac.in

    2006-12-15

    In the present paper, we report the study of the adsorption behavior of human serum albumin (HSA) onto surfaces of a-SiC:H thin films deposited by using the hot wire chemical vapor deposition (HWCVD) technique. The surface composition and surface energy of the various substrates as well as the evaluation of the adsorbed amount of protein has been carried out by means of X-ray photoelectron spectroscopy (XPS), Fourier transform infra-red (FTIR) spectroscopy, AFM and contact angle measurements. At the immediate effect of HSA interaction with a-SiC:H films N is adsorbed on the surface and stabilized after 3 days. Preliminary observation found that Si and O atom are desorbed from the surface while C and N set adsorbed to the surface of the a-SiC:H film.

  16. Liquid-Phase Packaging of a Glucose Oxidase Solution with Parylene Direct Encapsulation and an Ultraviolet Curing Adhesive Cover for Glucose Sensors

    Directory of Open Access Journals (Sweden)

    Seiichi Takamatsu

    2010-06-01

    Full Text Available We have developed a package for disposable glucose sensor chips using Parylene encapsulation of a glucose oxidase solution in the liquid phase and a cover structure made of an ultraviolet (UV curable adhesive. Parylene was directly deposited onto a small volume (1 μL of glucose oxidase solution through chemical vapor deposition. The cover and reaction chamber were constructed on Parylene film using a UV-curable adhesive and photolithography. The package was processed at room temperature to avoid denaturation of the glucose oxidase. The glucose oxidase solution was encapsulated and unsealed. Glucose sensing was demonstrated using standard amperometric detection at glucose concentrations between 0.1 and 100 mM, which covers the glucose concentration range of diabetic patients. Our proposed Parylene encapsulation and UV-adhesive cover form a liquid phase glucose-oxidase package that has the advantages of room temperature processing and direct liquid encapsulation of a small volume solution without use of conventional solidifying chemicals.

  17. Production of liquid fuels and chemicals from pyrolysis of Bangladeshi bicycle/rickshaw tire wastes

    Energy Technology Data Exchange (ETDEWEB)

    Islam, M. Rofiqul; Tushar, M.S.H.K. [Department of Mechanical Engineering, Rajshahi University of Engineering and Technology, Rajshahi 6204 (Bangladesh); Haniu, H. [Department of Mechanical Engineering, Kitami Institute of Technology, Kitami City, Hokkaido 090-8507 (Japan)

    2008-05-15

    Tire wastes in the form of used bicycle/rickshaw tires available in Bangladesh were pyrolyzed in a fixed-bed fire-tube heating reactor under different pyrolysis conditions to determine the role of final temperature, sweeping gas flow rate and feed size on the product yields and liquid product composition. Final temperature range studied was between 375 and 575 C and the highest liquid product yield was obtained at 475 C. Liquid products obtained under the most suitable conditions were characterized by elemental analyses, FT-IR, {sup 1}H NMR and GC-MS techniques. The results show that it is possible to obtain liquid products that are comparable to petroleum fuels and valuable chemical feedstock from bicycle/rickshaw tire wastes if the pyrolysis conditions are chosen accordingly. (author)

  18. Survey of renewable chemicals produced from lignocellulosic biomass during ionic liquid pretreatment

    Directory of Open Access Journals (Sweden)

    Varanasi Patanjali

    2013-01-01

    Full Text Available Abstract Background Lignin is often overlooked in the valorization of lignocellulosic biomass, but lignin-based materials and chemicals represent potential value-added products for biorefineries that could significantly improve the economics of a biorefinery. Fluctuating crude oil prices and changing fuel specifications are some of the driving factors to develop new technologies that could be used to convert polymeric lignin into low molecular weight lignin and or monomeric aromatic feedstocks to assist in the displacement of the current products associated with the conversion of a whole barrel of oil. We present an approach to produce these chemicals based on the selective breakdown of lignin during ionic liquid pretreatment. Results The lignin breakdown products generated are found to be dependent on the starting biomass, and significant levels were generated on dissolution at 160°C for 6 hrs. Guaiacol was produced on dissolution of biomass and technical lignins. Vanillin was produced on dissolution of kraft lignin and eucalytpus. Syringol and allyl guaiacol were the major products observed on dissolution of switchgrass and pine, respectively, whereas syringol and allyl syringol were obtained by dissolution of eucalyptus. Furthermore, it was observed that different lignin-derived products could be generated by tuning the process conditions. Conclusions We have developed an ionic liquid based process that depolymerizes lignin and converts the low molecular weight lignin fractions into a variety of renewable chemicals from biomass. The generated chemicals (phenols, guaiacols, syringols, eugenol, catechols, their oxidized products (vanillin, vanillic acid, syringaldehyde and their easily derivatized hydrocarbons (benzene, toluene, xylene, styrene, biphenyls and cyclohexane already have relatively high market value as commodity and specialty chemicals, green building materials, nylons, and resins.

  19. Investigation of the influence of liquid water films on O3 and PAN deposition on plant leaf surfaces treated with organic / inorganic compounds

    Science.gov (United States)

    Sun, Shang; Moravek, Alexander; von der Heyden, Lisa; Held, Andreas; Kesselmeier, Jürgen; Sörgel, Matthias

    2016-04-01

    Liquid water films on environmental surfaces play an important role in various fields of interest (Burkhardt and Eiden, 1994). For example, the deposition of water soluble trace gases could be increased by surface moisture. Chameides and Stelson (1992) found out that the dissolution of trace gases in airborne particulate matter increases with rising water/solid ratio of the particles. Further, Flechard et al. (1999) concluded that deliquescent salt particles represent a potential sink for trace gases, depending on their chemical property. The formation of surface water films and its influence on the gas deposition was proposed by many previous studies (Fuentes and Gillespie, 1992, Burkhardt and Eiden, 1994, van Hove et al., 1989, Burkhardt et al., 1999, Flechard et al., 1999). In this study we investigate the influence of leaf surface water films on the deposition of O3 and PAN under controlled laboratory conditions. A twin cuvette system described in Sun et al. (2015) was used to control the environmental parameters such as light, temperature, trace gas mixing ratio and humidity. Furthermore, the leaf surface was treated with various organic and inorganic solutions to investigate the influence of deposited compounds on the electrical surface conductance of the leaves and the surface deposition of O3 and PAN at various relative humidities. The result shows that RHcrit, where the electrical surface conductance (G) increases exponentially, was 40 % during the light period and 50 % during the dark period. Furthermore, we observed that the formation of the leaf surface liquid film was depended on the deposited compounds on the leaf cuticles. For the O3 deposition on plants (Quercus ilex) a clear enhancement at rising environmental air humidity under light and dark condition was found. The increase during light conditions can be related partly to increasing stomatal conductance with higher RH. From the non-stomatal deposition measured in dark experiments, we could

  20. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  1. Optical and structural characterization of nickel oxide-based thin films obtained by chemical bath deposition

    International Nuclear Information System (INIS)

    Vidales-Hurtado, M.A.; Mendoza-Galvan, A.

    2008-01-01

    Nickel oxide-based thin films were obtained using the chemical bath deposition method on glass and silicon substrates. The precursor solution used was a mixture of nickel nitrate, urea, and deionized water. Molar concentration of nickel (0.3-1.0 M), deposition time, and immersing cycles were considered as deposition variables. Infrared spectroscopy and X-ray diffraction data reveal that all as-deposited films correspond to the transparent turbostratic phase α(II)-Ni(OH) 2 . However, the rate of deposition depends on nickel content in the solution. After annealing in air at temperatures above of 300 deg. C, the films are transformed to the NiO phase and show a grey/black color. In these films, scanning electron microscopy images show aggregates of thin stacked sheets on their surface, such aggregates can be easily removed leaving only a thin NiO layer of about 30 nm adhered firmly to the substrate, regardless of nickel concentration in the solution and deposition time. In order to obtain thicker NiO films with good optical properties a procedure is developed performing several immersing-annealing cycles

  2. Optimization of silicon oxynitrides by plasma-enhanced chemical vapor deposition for an interferometric biosensor

    Science.gov (United States)

    Choo, Sung Joong; Lee, Byung-Chul; Lee, Sang-Myung; Park, Jung Ho; Shin, Hyun-Joon

    2009-09-01

    In this paper, silicon oxynitride layers deposited with different plasma-enhanced chemical vapor deposition (PECVD) conditions were fabricated and optimized, in order to make an interferometric sensor for detecting biochemical reactions. For the optimization of PECVD silicon oxynitride layers, the influence of the N2O/SiH4 gas flow ratio was investigated. RF power in the PEVCD process was also adjusted under the optimized N2O/SiH4 gas flow ratio. The optimized silicon oxynitride layer was deposited with 15 W in chamber under 25/150 sccm of N2O/SiH4 gas flow rates. The clad layer was deposited with 20 W in chamber under 400/150 sccm of N2O/SiH4 gas flow condition. An integrated Mach-Zehnder interferometric biosensor based on optical waveguide technology was fabricated under the optimized PECVD conditions. The adsorption reaction between bovine serum albumin (BSA) and the silicon oxynitride surface was performed and verified with this device.

  3. Development of a general model for determination of thermal conductivity of liquid chemical compounds at atmospheric pressure

    DEFF Research Database (Denmark)

    Gharagheizi, Farhad; Ilani‐Kashkouli, Poorandokht; Sattari, Mehdi

    2013-01-01

    In this communication, a general model for representation/presentation of the liquid thermal conductivity of chemical compounds (mostly organic) at 1 atm pressure for temperatures below normal boiling point and at saturation pressure for temperatures above the normal boiling point is developed...... using the Gene Expression Programming algorithm. Approximately 19,000 liquid thermal conductivity data at different temperatures related to 1636 chemical compounds collected from the DIPPR 801 database are used to obtain the model as well as to assess its predictive capability. The parameters...

  4. Characteristics of chlorites from Huangnihu uranium deposit and their implications in uranium metallogenic environment in the southern part of Jiangxi Province

    International Nuclear Information System (INIS)

    Hu Zhihua; Lin Jinrong; Pang Yaqing; Gao Fei; Rong Jiashu; Guo Shuying

    2013-01-01

    Chlorite is genetically related to uranium mineralization in Huangnihu uranium deposit. By means of microscopic and electronic microprobe analysis, the authors investigated chemical composition and texture of the chlorite and found that chlorite in Huangnihu deposit has the following characteristics: 1. they are mainly Fe-rich chlorite composed of chamosite and brunsvigite, of which chemical composition is mainly affected by mud and mafic rock; 2. the Fe-Mg and Al"I"V-Si substitution dominates the octahedral substitution supplemented by Al"V"I-Fe substitution; the oolitic chlorite and biotite feinted chlorite closely associated with uranium were formed at temperatures of 216.23 ∼ 256.73℃ (average 228.6℃). The chemical composition and forming environment of the oolitic chlorite and biotite illusion chlorite suggests that Huangnihu uranium deposit is a low-moderate temperature hydrothermal uranium deposit formed in a reducing environment and iron-rich formation, the ore-forming fluid mainly originated from shale rock, partly from ultramafic or mafic liquid. (authors)

  5. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  6. Dopamine/TiO{sub 2} hybrid thin films prepared by the liquid phase deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez-Tauste, David [Departament de Quimica, Universitat Autonoma de Barcelona, Campus UAB, Edifici Cn, 08290 Cerdanyola del Valles, Barcelona (Spain)], E-mail: davidg@qf.uab.es; Domenech, Xavier [Departament de Quimica, Universitat Autonoma de Barcelona, Campus UAB, Edifici Cn, 08290 Cerdanyola del Valles, Barcelona (Spain); Domingo, Concepcion [Instituto de Ciencia de Materiales (CSIC), Campus UAB, 08290 Cerdanyola del Valles, Barcelona (Spain); Ayllon, Jose A. [Departament de Quimica, Universitat Autonoma de Barcelona, Campus UAB, Edifici Cn, 08290 Cerdanyola del Valles, Barcelona (Spain)

    2008-04-30

    Liquid phase deposition method is applied to one-step production of a hybrid material composed by dopamine(DA) and TiO{sub 2} anatase. An optimized amount of the enediol derivative is added to a fluoride titania precursor aqueous solution in order to entrap this modifier within the growing TiO{sub 2}, yielding a DA/TiO{sub 2} nanocomposite material. Uniform, well-adhered and brown-colored thin films are deposited on indium tin oxide covered glass substrate. The DA/TiO{sub 2} hybrid material has been characterized by infrared spectroscopy, electronic microscopy, X-ray diffraction and UV-vis spectroscopy. The formation of the hybrid material seems to be reasonably explained by linkage of different TiO{sub 2} nanocrystallites taking advantage of both enediol and amine groups of DA.

  7. Chemical equilibrium calculations for the high pressure and temperature dissociation of liquid nitrogen

    Energy Technology Data Exchange (ETDEWEB)

    Hamilton, D.C.; Ree, F.H.

    1987-07-01

    Calculations are reported for the equation-of-state properties of shock-compressed liquid nitrogen. The statistical mechanical, chemical equilibrium calculations, which allow for the simultaneous presence of both the diatomic and monatomic forms of nitrogen, show good agreement with recent dynamic experiments.

  8. Chemical equilibrium calculations for the high pressure and temperature dissociation of liquid nitrogen

    International Nuclear Information System (INIS)

    Hamilton, D.C.; Ree, F.H.

    1987-07-01

    Calculations are reported for the equation-of-state properties of shock-compressed liquid nitrogen. The statistical mechanical, chemical equilibrium calculations, which allow for the simultaneous presence of both the diatomic and monatomic forms of nitrogen, show good agreement with recent dynamic experiments

  9. Characterization for rbs of layers de grown cdznse for chemical deposition (cbd)

    International Nuclear Information System (INIS)

    Pedrero, E.; Larramendi, E.; Calzadilla, O.

    1999-01-01

    Depth and composition of CdZnSe thin films grown by Chemical Bath Deposition were characterized by Rutherford Backscattering Spectrometry. Depth and the relative cuantitity of Se, both decrease when annealed in air. The films have a non-stoichiometric Cd:Zn:Se composition constant from the substrate to the surface , with vacancies of Se. After annealing all the films come up to a similar composition: Cd( Zn 0.2 )Se

  10. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  11. Formation of a ZnS/Zn(S,O) bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H.-W.; Lux-Steiner, M. C.

    2006-06-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. In order to shed light on the differences of other Zn-compound buffers deposited in conventional chemical baths [chemical bath deposition (CBD)] compared to the buffer layers deposited by this alternative CBD process, the composition of the deposited buffers was investigated by x-ray excited Auger electron and x-ray photoelectron spectroscopy to potentially clarify their superiority in terms of device performance. We have found that in the early stages of this alternative CBD process a thin ZnS layer is formed on the CIS, whereas in the second half of the CBD the growth rate is greatly increased and Zn(S,O) with a ZnS/(ZnS+ZnO) ratio of ~80% is deposited. Thus, a ZnS/Zn(S,O) bilayer buffer is deposited on the CIS thin film solar cell absorbers by the alternative chemical deposition route used in this investigation. No major changes of these findings after a postannealing of the buffer/CIS sample series and recharacterization could be identified.

  12. Chemically deposited Sb2S3 thin films for optical recording

    International Nuclear Information System (INIS)

    Shaji, S; Arato, A; Castillo, G Alan; Palma, M I Mendivil; Roy, T K Das; Krishnan, B; O'Brien, J J; Liu, J

    2010-01-01

    Laser induced changes in the properties of Sb 2 S 3 thin films prepared by chemical bath deposition are described in this paper. Sb 2 S 3 thin films of thickness 550 nm were deposited from a solution containing SbCl 3 and Na 2 S 2 O 3 at 27 0 C for 5 h. These thin films were irradiated by a 532 nm continuous wave laser beam under different conditions at ambient atmosphere. X-ray diffraction analysis showed amorphous to polycrystalline transformation due to laser exposure of these thin films. Morphology and composition of these films were described. Optical properties of these films before and after laser irradiation were analysed. The optical band gap of the material was decreased due to laser induced crystallization. The results obtained confirm that there is further scope for developing this material as an optical recording media.

  13. Chemically vapor-deposited ZrB2 as a selective solar absorber

    International Nuclear Information System (INIS)

    Randich, E.; Allred, D.D.

    1981-01-01

    Coatings of ZrB 2 and TiB 2 for photothermal solar absorber applications were prepared using chemical vapor deposition (CVD) techniques. Oxidation tests suggest a maximum temperature limit for air exposure of 600 K for TiB 2 and 800 K for ZrB 2 . Both materials exhibit innate spectral selectivity with an emittance at 375 K ranging from 0.06 to 0.09, a solar absorptance for ZrB 2 ranging from 0.67 to 0.77 and a solar absorptance for TiB 2 ranging from 0.46 to 0.59. ZrB 2 has better solar selectivity and more desirable oxidation behavior than TiB 2 . A 0.071 μm antireflection coating of Si 3 N 4 deposited onto the ZrB 2 coating leads to an increase in absorptance from 0.77 to 0.93, while the emittance remains unchanged. (Auth.)

  14. Ultra-high-performance liquid chromatography-tandem mass spectrometry measurement of climbazole deposition from hair care products onto artificial skin and human scalp.

    Science.gov (United States)

    Chen, Guoqiang; Hoptroff, Michael; Fei, Xiaoqing; Su, Ya; Janssen, Hans-Gerd

    2013-11-22

    A sensitive and specific ultra-high-performance liquid chromatography-tandem mass spectrometry (UHPLC-MS/MS) method was developed and validated for the measurement of climbazole deposition from hair care products onto artificial skin and human scalp. Deuterated climbazole was used as the internal standard. Atmospheric pressure chemical ionization (APCI) in positive mode was applied for the detection of climbazole. For quantification, multiple reaction monitoring (MRM) transition 293.0>69.0 was monitored for climbazole, and MRM transition 296.0>225.1 for the deuterated climbazole. The linear range ran from 4 to 2000 ng mL(-1). The limit of detection (LOD) and the limit of quantification (LOQ) were 1 ng mL(-1) and 4 ng mL(-1), respectively, which enabled quantification of climbazole on artificial skin and human scalp at ppb level (corresponding to 16 ng cm(-2)). For the sampling of climbazole from human scalp the buffer scrub method using a surfactant-modified phosphate buffered saline (PBS) solution was selected based on a performance comparison of tape stripping, the buffer scrub method and solvent extraction in in vitro studies. Using this method, climbazole deposition in in vitro and in vivo studies was successfully quantified. Copyright © 2013 Elsevier B.V. All rights reserved.

  15. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  16. Bituminous breeds oil of Binagady deposite of Azerbaijan

    International Nuclear Information System (INIS)

    Djabbarova, L.U.; Ibadov, N.A.; Akhmedbekova, S.

    2010-11-01

    Full text: Processing of such kinds of raw materials like natural bituminous breeds and combustible shales at present represents as a new and perspective direction for satisfaction of growing demand with motor fuels and chemical raw materials. There are 200 million tons of bituminous breeds in 11 deposits in Azerbaijan. Bitumenkeeping soils can be used while road construction in natural form, as a component of asphalt concrete mixes for coverings and basis. Bitumens are irreplaceable waterproofing material that has a wide use in a nuclear power industry by the help of if its resistance to radiation impact, for entombment of radioactive materials. There were presented the results of carried out works about experimental researches of formation laws of gaseous products from bituminous breeds of Kirmaki deposit site of Azerbaijan. Radiation-thermal transformations of bituminous breeds and liquid products of their thermal decomposition have been studied in a wide range of temperature change, absorbed dose and dose capacity. Formation kinetics had been studied, radiation chemical outputs defined, also the structure of gaseous products of disintegration. [ru

  17. TPR system: a powerful technique to monitor carbon nanotube formation during chemical vapour deposition

    International Nuclear Information System (INIS)

    Tristao, Juliana Cristina; Moura, Flavia Cristina Camilo; Lago, Rochel Montero; Sapag, Karim

    2010-01-01

    In this work, a TPR (Temperature Programmed Reduction) system is used as a powerful tool to monitor carbon nanotubes production during CVD (Chemical Vapour Deposition), The experiments were carried out using catalyst precursors based on Fe-Mo supported on Al 2 O 3 and methane as carbon source. As methane reacts on the Fe metal surface, carbon is deposited and H2 is produced. TPR is very sensitive to the presence of H2 and affords information on the temperature where catalyst is active to form different forms of carbon, the reaction kinetics, the catalyst deactivation and carbon yields. (author)

  18. Synthesis of 5-(hydroxymethyl)furfural in Ionic Liquids - Paving the Way to Renewable Chemicals

    DEFF Research Database (Denmark)

    Ståhlberg, Tim; Fu, Wenjing; Woodley, John

    2011-01-01

    The synthesis of 5-(hydroxymethyl)furfural (HMF) in ionic liquids is a field that has grown rapidly in recent years. Unique dissolving properties for crude biomass in combination with a high selectivity for HMF formation from hexose sugars make ionic liquids attractive reaction media for the prod......The synthesis of 5-(hydroxymethyl)furfural (HMF) in ionic liquids is a field that has grown rapidly in recent years. Unique dissolving properties for crude biomass in combination with a high selectivity for HMF formation from hexose sugars make ionic liquids attractive reaction media...... for the production of chemicals from renewable resources. A wide range of new catalytic systems that are unique for the transformation of glucose and fructose to HMF in ionic liquids has been found. However, literature examples of scale-up and process development are still scarce, and future research needs...... directions in process technology....

  19. Evaluation of the effects of enzyme-based liquid chemical stabilizers on subgrade soils

    CSIR Research Space (South Africa)

    Mgangira, Martin B

    2009-07-01

    Full Text Available The purpose of this study was to asses the strength of enzyme treated soil material. Thus the aim of the paper is to present laboratory results on the effects of two enzyme-based liquid chemicals as soil stabilizers. Soil samples were prepared...

  20. Thermoluminescence characterisation of chemical vapour deposited diamond films

    CERN Document Server

    Mazzocchi, S; Bucciolini, M; Cuttone, G; Pini, S; Sabini, M G; Sciortino, S

    2002-01-01

    The thermoluminescence (TL) characteristics of a set of six chemical vapour deposited diamond films have been studied with regard to their use as off-line dosimeters in radiotherapy. The structural characterisation has been performed by means of Raman spectroscopy. Their TL responses have been tested with radiotherapy beams ( sup 6 sup 0 Co photons, photons and electrons from a linear accelerator (Linac), 26 MeV protons from a TANDEM accelerator) in the dose range 0.1-7 Gy. The dosimetric characterisation has yielded a very good reproducibility, a very low dependence of the TL response on the type of particle and independence of the radiation energy. The TL signal is not influenced by the dose rate and exhibits a very low thermal fading. Moreover, the sensitivity of the diamond samples compares favourably with that of standard TLD100 dosimeters.

  1. Catalyst-free growth of InN nanorods by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Min Hwa; Moon, Dae Young; Park, Jinsub; Nanishi, Yasushi; Yi, Gyu-Chul; Yoon, Euijoon

    2012-01-01

    We demonstrated the growth of catalyst-free InN nanostructures including nanorods on (0001) Al 2 O 3 substrates using metal-organic chemical vapor deposition. As the growth time increased, growth rate along c-direction increased superlinearly with decreasing c-plane area fractions and increasing side wall areas. It was also found that desorption from the sidewalls of InN nanostructures during the InN nanorods formation was one of essential key parameters of the growth mechanism. We propose a growth model to explain the InN nanostructure evolution by considering the side wall desorption and re-deposition of indium at top c-plane surfaces. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  3. Laser Photolysis and Thermolysis of Organic Selenides and Tellurides for Chemical Gas-phase Deposition of Nanostructured Materials

    Directory of Open Access Journals (Sweden)

    Josef Pola

    2009-03-01

    Full Text Available Laser radiation-induced decomposition of gaseous organic selenides and tellurides resulting in chemical deposition of nanostructured materials on cold surfaces is reviewed with regard to the mechanism of the gas-phase decomposition and properties of the deposited materials. The laser photolysis and laser thermolysis of the Se and Te precursors leading to chalcogen deposition can also serve as a useful approach to nanostructured chalcogen composites and IVA group (Si, Ge, Sn element chalcogenides provided that it is carried out simultaneously with laser photolysis or thermolysis of polymer and IVA group element precursor.

  4. Effects of heat treatment on the microstructure of amorphous boron carbide coating deposited on graphite substrates by chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Siwei; Zeng Bin; Feng Zude; Liu Yongsheng; Yang Wenbin; Cheng Laifei; Zhang Litong

    2010-01-01

    A two-layer boron carbide coating is deposited on a graphite substrate by chemical vapor deposition from a CH 4 /BCl 3 /H 2 precursor mixture at a low temperature of 950 o C and a reduced pressure of 10 KPa. Coated substrates are annealed at 1600 o C, 1700 o C, 1800 o C, 1900 o C and 2000 o C in high purity argon for 2 h, respectively. Structural evolution of the coatings is explored by electron microscopy and spectroscopy. Results demonstrate that the as-deposited coating is composed of pyrolytic carbon and amorphous boron carbide. A composition gradient of B and C is induced in each deposition. After annealing, B 4 C crystallites precipitate out of the amorphous boron carbide and grow to several hundreds nanometers by receiving B and C from boron-doped pyrolytic carbon. Energy-dispersive spectroscopy proves that the crystallization is controlled by element diffusion activated by high temperature annealing, after that a larger concentration gradient of B and C is induced in the coating. Quantified Raman spectrum identifies a graphitization enhancement of pyrolytic carbon. Transmission electron microscopy exhibits an epitaxial growth of B 4 C at layer/layer interface of the annealed coatings. Mechanism concerning the structural evolution on the basis of the experimental results is proposed.

  5. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    Within this thesis, several important subjects related to the use of amorphous silicon nitride made by plasma enhanced chemical vapour deposition as an anti-reflective coating on silicon solar cells are presented. The first part of the thesis covers optical simulations to optimise single and double layer anti-reflective coatings with respect to optical performance when situated on a silicon solar cell. The second part investigates the relationship between important physical properties of silicon nitride films when deposited under different conditions. The optical simulations were either based on minimising the reflectance off a silicon nitride/silicon wafer stack or maximising the transmittance through the silicon nitride into the silicon wafer. The former method allowed consideration of the reflectance off the back surface of the wafer, which occurs typically at wavelengths above 1000 nm due to the transparency of silicon at these wavelengths. However, this method does not take into consideration the absorption occurring in the silicon nitride, which is negligible at low refractive indexes but quite significant when the refractive index increases above 2.1. For high-index silicon nitride films, the latter method is more accurate as it considers both reflectance and absorbance in the film to calculate the transmittance into the Si wafer. Both methods reach similar values for film thickness and refractive index for optimised single layer anti-reflective coatings, due to the negligible absorption occurring in these films. For double layer coatings, though, the reflectance based simulations overestimated the optimum refractive index for the bottom layer, which would have lead to excessive absorption if applied to real anti-reflective coatings. The experimental study on physical properties for silicon nitride films deposited under varying conditions concentrated on the estimation of properties important for its applications, such as optical properties, passivation

  6. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  7. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  8. Fabrication of ultrathin multilayered superomniphobic nanocoatings by liquid flame spray, atomic layer deposition, and silanization

    Science.gov (United States)

    Sorvali, Miika; Vuori, Leena; Pudas, Marko; Haapanen, Janne; Mahlberg, Riitta; Ronkainen, Helena; Honkanen, Mari; Valden, Mika; Mäkelä, Jyrki M.

    2018-05-01

    Superomniphobic, i.e. liquid-repellent, surfaces have been an interesting area of research during recent years due to their various potential applications. However, producing such surfaces, especially on hard and resilient substrates like stainless steel, still remains challenging. We present a stepwise fabrication process of a multilayered nanocoating on a stainless steel substrate, consisting of a nanoparticle layer, a nanofilm, and a layer of silane molecules. Liquid flame spray was used to deposit a TiO2 nanoparticle layer as the bottom layer for producing a suitable surface structure. The interstitial Al2O3 nanofilm, fabricated by atomic layer deposition (ALD), stabilized the nanoparticle layer, and the topmost fluorosilane layer lowered the surface energy of the coating for enhanced omniphobicity. The coating was characterized with field emission scanning electron microscopy, focused ion beam scanning electron microscopy, x-ray photoelectron spectroscopy, contact angle (CA) and sliding angle (SA) measurements, and microscratch testing. The widely recognized requirements for superrepellency, i.e. CA > 150° and SA < 10°, were achieved for deioinized water, diiodomethane, and ethylene glycol. The mechanical stability of the coating could be varied by tuning the thickness of the ALD layer at the expense of repellency. To our knowledge, this is the thinnest superomniphobic coating reported so far, with the average thickness of about 70 nm.

  9. Ab initio study of electron-ion structure factors in binary liquids with different types of chemical bonding

    International Nuclear Information System (INIS)

    Klevets, Ivan; Bryk, Taras

    2014-01-01

    Electron-ion structure factors, calculated in ab initio molecular dynamics simulations, are reported for several binary liquids with different kinds of chemical bonding: metallic liquid alloy Bi–Pb, molten salt RbF, and liquid water. We derive analytical expressions for the long-wavelength asymptotes of the partial electron-ion structure factors of binary systems and show that the analytical results are in good agreement with the ab initio simulation data. The long-wavelength behaviour of the total charge structure factors for the three binary liquids is discussed

  10. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    Energy Technology Data Exchange (ETDEWEB)

    Ghrib, M., E-mail: mondherghrib@yahoo.fr [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Gaidi, M.; Ghrib, T.; Khedher, N. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia); Ben Salam, M. [L3M, Department of Physics, Faculty of Sciences of Bizerte, 7021 Zarzouna (Tunisia); Ezzaouia, H. [Laboratoire de Photovoltaique (L.P.V.), Centre de Recherche et des Technologies de l' Energie, BP 95, Hammam-Lif 2050 (Tunisia)

    2011-08-15

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  11. Morphological and optical properties changes in nanocrystalline Si (nc-Si) deposited on porous aluminum nanostructures by plasma enhanced chemical vapor deposition for Solar energy applications

    International Nuclear Information System (INIS)

    Ghrib, M.; Gaidi, M.; Ghrib, T.; Khedher, N.; Ben Salam, M.; Ezzaouia, H.

    2011-01-01

    Photoluminescence (PL) spectroscopy was used to determine the electrical band gap of nanocrystalline silicon (nc-Si) deposited by plasma enhancement chemical vapor deposition (PECVD) on porous alumina structure by fitting the experimental spectra using a model based on the quantum confinement of electrons in Si nanocrystallites having spherical and cylindrical forms. This model permits to correlate the PL spectra to the microstructure of the porous aluminum silicon layer (PASL) structure. The microstructure of aluminum surface layer and nc-Si films was systematically studied by atomic force microscopy (AFM), transmission electron microscopy (TEM), Raman spectroscopy and X-ray diffraction (XRD). It was found that the structure of the nanocrystalline silicon layer (NSL) is dependent of the porosity (void) of the porous alumina layer (PAL) substrate. This structure was performed in two steps, namely the PAL substrate was prepared using sulfuric acid solution attack on an Al foil and then the silicon was deposited by plasma enhanced chemical vapor deposition (PECVD) on it. The optical constants (n and k as a function of wavelength) of the deposited films were obtained using variable angle spectroscopic ellipsometry (SE) in the UV-vis-NIR regions. The SE spectrum of the porous aluminum silicon layer (PASL) was modeled as a mixture of void, crystalline silicon and aluminum using the Cauchy model approximation. The specific surface area (SSA) was estimated and was found to decrease linearly when porosity increases. Based on this full characterization, it is demonstrated that the optical characteristics of the films are directly correlated to their micro-structural properties.

  12. Room temperature synthesis and characterization of CdO nanowires by chemical bath deposition (CBD) method

    International Nuclear Information System (INIS)

    Dhawale, D.S.; More, A.M.; Latthe, S.S.; Rajpure, K.Y.; Lokhande, C.D.

    2008-01-01

    A chemical synthesis process for the fabrication of CdO nanowires is described. In the present work, transparent and conductive CdO films were synthesized on the glass substrate using chemical bath deposition (CBD) at room temperature. These films were annealed in air at 623 K and characterized for the structural, morphological, optical and electrical properties were studied by means of X-ray diffraction (XRD), scanning electron microscopy (SEM), optical and electrical resistivity. The XRD analysis showed that the as-deposited amorphous can be converted in to polycrystalline after annealing. Annealed CdO nanowires are 60-65 nm in diameter and length ranges typically from 2.5 to 3 μm. The optical properties revealed the presence of direct and indirect band gaps with energies 2.42 and 2.04 eV, respectively. Electrical resistivity measurement showed semiconducting behavior and thermoemf measurement showed n-type electrical conductivity

  13. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  14. Evaluation of niobium dimethylamino-ethoxide for chemical vapour deposition of niobium oxide thin films

    International Nuclear Information System (INIS)

    Dabirian, Ali; Kuzminykh, Yury; Wagner, Estelle; Benvenuti, Giacomo; Rushworth, Simon; Hoffmann, Patrik

    2014-01-01

    Chemical vapour deposition (CVD) processes depend on the availability of suitable precursors. Precursors that deliver a stable vapour pressure are favourable in classical CVD processes, as they ensure process reproducibility. In high vacuum CVD (HV-CVD) process vapour pressure stability of the precursor is of particular importance, since no carrier gas assisted transport can be used. The dimeric Nb 2 (OEt) 10 does not fulfil this requirement since it partially dissociates upon heating. Dimethylamino functionalization of an ethoxy ligand of Nb(OEt) 5 acts as an octahedral field completing entity and leads to Nb(OEt) 4 (dmae). We show that Nb(OEt) 4 (dmae) evaporates as monomeric molecule and ensures a stable vapour pressure and, consequently, stable flow. A set of HV-CVD experiments were conducted using this precursor by projecting a graded molecular beam of the precursor onto the substrate at deposition temperatures from 320 °C to 650 °C. Film growth rates ranging from 8 nm·h −1 to values larger than 400 nm·h −1 can be obtained in this system illustrating the high level of control available over the film growth process. Classical CVD limiting conditions along with the recently reported adsorption–reaction limited conditions are observed and the chemical composition, and microstructural and optical properties of the films are related to the corresponding growth regime. Nb(OEt) 4 (dmae) provides a large process window of deposition temperatures and precursor fluxes over which carbon-free and polycrystalline niobium oxide films with growth rates proportional to precursor flux are obtained. This feature makes Nb(OEt) 4 (dmae) an attractive precursor for combinatorial CVD of niobium containing complex oxide films that are finding an increasing interest in photonics and photoelectrochemical water splitting applications. The adsorption–reaction limited conditions provide extremely small growth rates comparable to an atomic layer deposition (ALD) process

  15. Deposition and characterization of noble metal onto surfaces of 304l stainless steel

    International Nuclear Information System (INIS)

    Contreras R, A.; Arganis J, C. R.; Aguilar T, J. A.; Medina A, A. L.

    2010-10-01

    Noble metal chemical addition (NMCA) plus hydrogen water chemistry is an industry-wide accepted approach for potential intergranular stress corrosion cracking mitigation of BWR internals components. NMCA is a method of applying noble metal onto BWR internals surfaces using reactor water as the transport medium that causes the deposition of noble metal from the liquid onto surfaces. In this work different platinum concentration solutions were deposited onto pre-oxidized surfaces of 304l steel at 180 C during 48 hr in an autoclave. In order to simulate the zinc water conditions, deposits of Zn and Pt-Zn were also carried out. The solutions used to obtain the deposits were: sodium hexahydroxyplatinate (IV), zinc nitrate hydrate and zinc oxide. The deposits obtained were characterized by scanning electron microscopy and X-ray diffraction. Finally, the electrochemical corrosion potential of pre-oxidized samples with Pt deposit were obtained and compared with the electrochemical corrosion potential of only pre-oxidized samples. (Author)

  16. Deposition of carbon nanotubes onto aramid fibers using as-received and chemically modified fibers

    International Nuclear Information System (INIS)

    Rodríguez-Uicab, O.; Avilés, F.; Gonzalez-Chi, P.I; Canché-Escamilla, G.; Duarte-Aranda, S.; Yazdani-Pedram, M.; Toro, P.; Gamboa, F.; Mazo, M.A.; Nistal, A.; Rubio, J.

    2016-01-01

    Highlights: • The surface of aramid fibers was functionalized by two acid treatments. • The treatment based on HNO_3/H_2SO_4 reduced the mechanical properties of the fibers. • CNTs were deposited on the aramid fibers, reaching electrical conductivity. • Homogeneous CNT distribution was achieved by using pristine fibers or chlorosulfonic acid. - Abstract: Multiwall carbon nanotubes (MWCNTs) oxidized by an acid treatment were deposited on the surface of as-received commercial aramid fibers containing a surface coating (“sizing”), and fibers modified by either a chlorosulfonic treatment or a mixture of nitric and sulfuric acids. The surface of the aramid fiber activated by the chemical treatments presents increasing density of CO, COOH and OH functional groups. However, these chemical treatments reduced the tensile mechanical properties of the fibers, especially when the nitric and sulfuric acid mixture was used. Characterization of the MWCNTs deposited on the fiber surface was conducted by scanning electron microscopy, Raman spectroscopy mapping and X-ray photoelectron spectroscopy. These characterizations showed higher areal concentration and more homogeneous distribution of MWCNTs over the aramid fibers for as-received fibers and for those modified with chlorosulfonic acid, suggesting the existence of interaction between the oxidized MWCNTs and the fiber coating. The electrical resistance of the MWCNT-modified aramid yarns comprising ∼1000 individual fibers was in the order of MΩ/cm, which renders multifunctional properties.

  17. Characterization of CuInS{sub 2} thin films prepared by chemical bath deposition and their implementation in a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Lugo, S.; López, I. [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Peña, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Calixto, M. [Instituto de Energías Renovables, Universidad Nacional Autónoma de México, C.P. 62580, Temixco, Morelos, México (Mexico); Hernández, T. [Universidad Autónoma de Nuevo León, UANL, Facultad de Ciencias Químicas, Laboratorio de Materiales I, Av. Universidad, Cd. Universitaria 66451, San Nicolás de los Garza, Nuevo León, México (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo”, S/N C.P. 63155, Tepic, Nayarit, México (Mexico); and others

    2014-10-31

    CuInS{sub 2} thin films were formed by the sequential deposition of In{sub 2}S{sub 3}–CuS layers on glass substrates, by chemical bath deposition technique, and heating these multilayer 1 h at 350 °C and 400 mPa. The morphology and thickness of the CuInS{sub 2} thin films were analysed by scanning electron microscopy, showing particles with elongated shape and length about 40 nm, and thickness of 267 and 348 nm for samples from 15 and 24 h of deposition time in the chemical bath of In{sub 2}S{sub 3}, respectively. The energy band gap values of the films were around 1.4 eV, whereas the electrical conductivity showed values from 64.91 to 4.11 × 10{sup −3} Ω{sup −1} cm{sup −1} for the samples of 15 and 24 h of In{sub 2}S{sub 3} deposition bath, respectively. The obtained CuInS{sub 2} films showed appropriate values for their application as an absorbing layer in photovoltaic structures of the type: glass/SnO{sub 2}:F/CdS/Sb{sub 2}S{sub 3}/CuInS{sub 2}/PbS/C/Ag. The whole structure was obtained through chemical bath deposition technique. The solar cell corresponding to 15 h of In{sub 2}S{sub 3} deposition duration bath showed energy-conversion efficiency (η) of 0.53% with open circuit voltage (V{sub oc}) of 530 mV, short circuit current density (J{sub sc}) of 2.43 mA cm{sup −2}, and fill factor (FF) of 0.41. In the case of the structure with 24 h of deposition of In{sub 2}S{sub 3} bath, η = 0.43% was measured with the following parameters: V{sub oc} = 330 mV, J{sub sc} = 4.78 mA cm{sup −2} and FF = 0.27. - Highlights: • CuInS{sub 2} films were formed by chemical bath deposition followed by a heat treatment. • Prepared CuInS{sub 2} thin films can work as an effective absorbing layer in a solar cell. • A complete solar cell structure was made by a chemical bath deposition method.

  18. Chemical bath deposition of Cu{sub 3}BiS{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Deshmukh, S.G., E-mail: deshmukhpradyumn@gmail.com; Vipul, Kheraj, E-mail: vipulkheraj@gmail.com [Department of Applied Physics, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India); Panchal, A.K. [Department of Electrical Engineering, Sardar Vallabhbhai National Institute of Technology, Ichchhanath, Surat (India)

    2016-05-06

    First time, copper bismuth sulfide (Cu{sub 3}BiS{sub 3}) thin films were synthesized on the glass substrate using simple, low-cost chemical bath deposition (CBD) technique. The synthesized parameters such as temperature of bath, pH and concentration of precursors were optimized for the deposition of uniform, well adherent Cu{sub 3}BiS{sub 3} thin films. The optical, surface morphology and structural properties of the Cu{sub 3}BiS{sub 3} thin films were studied using UV-VIS-NIR spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD). The as- synthesized Cu{sub 3}BiS{sub 3} film exhibits a direct band gap 1.56 to 1.58 eV having absorption coefficient of the order of 10{sup 5} cm{sup −1}. The XRD declares the amorphous nature of the films. SEM images shows films were composed of close-packed fine spherical nanoparticles of 70-80 nm in diameter. The chemical composition of the film was almost stoichiometric. The optical study indicates that the Cu{sub 3}BiS{sub 3} films can be applied as an absorber layer for thin film solar cells.

  19. Liquid-phase exfoliation of chemical vapor deposition-grown single layer graphene and its application in solution-processed transparent electrodes for flexible organic light-emitting devices

    International Nuclear Information System (INIS)

    Wu, Chaoxing; Li, Fushan; Wu, Wei; Chen, Wei; Guo, Tailiang

    2014-01-01

    Efficient and low-cost methods for obtaining high performance flexible transparent electrodes based on chemical vapor deposition (CVD)-grown graphene are highly desirable. In this work, the graphene grown on copper foil was exfoliated into micron-size sheets through controllable ultrasonication. We developed a clean technique by blending the exfoliated single layer graphene sheets with conducting polymer to form graphene-based composite solution, which can be spin-coated on flexible substrate, forming flexible transparent conducting film with high conductivity (∼8 Ω/□), high transmittance (∼81% at 550 nm), and excellent mechanical robustness. In addition, CVD-grown-graphene-based polymer light emitting diodes with excellent bendable performances were demonstrated

  20. Chemically evolving systems for oil recovery enhancement in heavy oil deposits

    Science.gov (United States)

    Altunina, L. K.; Kuvshinov, I. V.; Kuvshinov, V. A.; Stasyeva, L. A.

    2017-12-01

    This work presents the results of laboratory studies and field tests of new physicochemical technologies for enhanced oil recovery of heavy oil fields under natural development conditions and with thermal-steam stimulation using oil-displacing "smart" systems. The systems are based on surfactants and buffer systems. Their rheological and acid-base properties can be regulated by their chemical evolution directly in the formation. Field tests of the technologies carried out on high-viscosity oil deposit in the Usinskoye oilfield have shown that the EOR technologies are environmentally friendly and technologically effective.

  1. Surface morphology and preferential orientation growth of TaC crystals formed by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Xiong Xiang, E-mail: Xiong228@sina.co [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Chen Zhaoke; Huang Baiyun; Li Guodong [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China); Zheng Feng [School of Material Science and Engineering, Central South University, Changsha 410083 (China); Xiao Peng; Zhang Hongbo [State Key Lab for Powder Metallurgy, Central South University, Changsha 410083 (China)

    2009-04-02

    TaC film was deposited on (002) graphite sheet by isothermal chemical vapor deposition using TaCl{sub 5}-Ar-C{sub 3}H{sub 6} mixtures, with deposition temperature 1200 {sup o}C and pressure about 200 Pa. The influence of deposition position (or deposition rate) on preferential orientation and surface morphology of TaC crystals were investigated by X-ray diffraction and scanning electron microscopy methods. The deposits are TaC plus trace of C. The crystals are large individual columns with pyramidal-shape at deposition rate of 32.4-37.3 {mu}m/h, complex columnar at 37.3-45.6 {mu}m/h, lenticular-like at 45.6-54.6 {mu}m/h and cauliflower-like at 54.6-77.3 {mu}m/h, with <001>, near <001>, <110> and no clear preferential orientation, respectively. These results agree in part with the preditions of the Pangarov's model of the relationship between deposition rate and preferential growth orientation. The growth mechanism of TaC crystals in <001>, near <001>, <111> and no clear preferential orientation can be fairly explained by the growth parameter {alpha} with Van der Drift's model, deterioration model and Meakin model. Furthermore, a nucleation and coalescence model is also proposed to explain the formation mechanism of <110> lenticular-like crystals.

  2. Electrodeposition of ruthenium, rhodium and palladium from nitric acid and ionic liquid media: Recovery and surface morphology of the deposits

    Energy Technology Data Exchange (ETDEWEB)

    Jayakumar, M.; Venkatesan, K.A.; Sudha, R. [Fuel Chemistry Division, Indira Gandhi Centre for Atomic Research, Kalpakkam, Tamil Nadu 603102 (India); Srinivasan, T.G., E-mail: tgs@igcar.gov.com [Fuel Chemistry Division, Indira Gandhi Centre for Atomic Research, Kalpakkam, Tamil Nadu 603102 (India); Vasudeva Rao, P.R. [Fuel Chemistry Division, Indira Gandhi Centre for Atomic Research, Kalpakkam, Tamil Nadu 603102 (India)

    2011-07-15

    Research highlights: {yields} Platinum group metals are man-made noble metals. {yields} Electrochemical recovery of fission platinoids. {yields} Recovery from nitric acid medium. {yields} Recovery from ionic liquid medium. {yields} Platinoids with exotic surface morphologies. - Abstract: Electrodeposition is a promising technique for the recovery of platinum group metals with unique surface morphologies. The electrodeposition of palladium, ruthenium and rhodium from aqueous nitric acid, and non-aqueous 1-butyl-3-methylimidazolium chloride ionic liquid medium was studied at stainless steel electrode. The surface morphology and elemental composition of the resultant deposit were probed by scanning electron microscopy (SEM) and energy dispersive X-ray (EDS) analysis. Deposits with diverse surface morphologies and metal compositions were obtained by varying the composition of the electrolytic medium and applied potential. The results demonstrate the possibility of tailoring the morphologies of PGMs by controlling the composition and potential needed for electrodeposition.

  3. Comparative study of ZnSe thin films deposited from modified chemical bath solutions with ammonia-containing and ammonia-free precursors

    International Nuclear Information System (INIS)

    Chen Liangyan; Zhang Daoli; Zhai Guangmei; Zhang Jianbing

    2010-01-01

    Ammonia is one of the complexing agents which are the most commonly used in the precursors of ZnSe thin films by chemical bath deposition, but its high volatility may be harmful to human beings and environments. In our experiments, ZnSe films were obtained from modified chemical solutions with ammonia-containing and ammonia-free precursors. X-ray diffraction, field-emission scanning electron microscope (FSEM), and absorption spectrum were applied to investigate the microstructure, morphology and optical properties of the samples obtained from both growth conditions, which were investigated in this work. The ammonia-free chemical bath deposited ZnSe films showed comparable properties with the ammonia-containing ones, indicating that ZnSe films from ammonia-free chemical solution may be preferred buffer layer in thin film solar cells with less environmental contamination.

  4. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  5. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  6. Effect of plasma composition on nanocrystalline diamond layers deposited by a microwave linear antenna plasma-enhanced chemical vapour deposition system

    Czech Academy of Sciences Publication Activity Database

    Taylor, Andrew; Ashcheulov, Petr; Čada, Martin; Fekete, Ladislav; Hubík, Pavel; Klimša, Ladislav; Olejníček, Jiří; Remeš, Zdeněk; Jirka, Ivan; Janíček, P.; Bedel-Pereira, E.; Kopeček, Jaromír; Mistrík, J.; Mortet, Vincent

    2015-01-01

    Roč. 212, č. 11 (2015), s. 2418-2423 ISSN 1862-6300 R&D Projects: GA ČR GA13-31783S; GA MŠk LO1409 Grant - others:FUNBIO(XE) CZ.2.16/3.1.00/21568 Institutional support: RVO:68378271 ; RVO:61388955 Keywords : diamond * electrical conductivity * nanocrystalline materials * optical emission spectroscopy * plasma enhanced chemical vapour deposition * SiC Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.648, year: 2015

  7. Chemical effects associated to (n, γ) nuclear reactions in diluted aqueous solutions of liquid or frozen organic halogenides

    International Nuclear Information System (INIS)

    Bermudez Rodriguez, I.M.

    1985-09-01

    Chemical effects associated to nuclear transformation 37 Cl (n, γ) 38 Cl or 127 I (n, γ) 128 I in solid or liquid aqueous solutions of ethyl iodide, trichloro-ethylene, thyroxine or DDT irradiated in a nuclear reactor are studied. The retention of radiohalogen under its initial chemical shape decrease with solute concentration in liquid phase but is almost constant with solute dilution in the solid phase. Potential applications in neutron activation analysis evidencing halogenated molecules in irradiated media are discussed. 57 refs [fr

  8. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  9. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  10. Atomic-layer chemical-vapor-deposition of TiN thin films on Si(100) and Si(111)

    CERN Document Server

    Kim, Y S; Kim, Y D; Kim, W M

    2000-01-01

    An atomic-layer chemical vapor deposition (AL-CVD) system was used to deposit TiN thin films on Si(100) and Si(111) substrates by cyclic exposures of TiCl sub 4 and NH sub 3. The growth rate was measured by using the number of deposition cycles, and the physical properties were compared with those of TiN films grown by using conventional deposition methods. To investigate the growth mechanism, we suggest a growth model for TiN n order to calculate the growth rate per cycle with a Cerius program. The results of the calculation with the model were compared with the experimental values for the TiN film deposited using the AL-CVD method. The stoichiometry of the TiN film was examined by using Auger electron spectroscopy, and the chlorine and the oxygen impurities were examined. The x-ray diffraction and the transmission electron microscopy results for the TiN film exhibited a strong (200) peak and a randomly oriented columnar microstructure. The electrical resistivity was found to decrease with increasing deposit...

  11. Kinetics of low pressure chemical vapor deposition of tungsten silicide from dichlorocilane reduction of tungsten hexafluoride

    International Nuclear Information System (INIS)

    Srinivas, D.; Raupp, G.B.; Hillman, J.

    1990-01-01

    The authors report on experiments to determine the intrinsic surface reaction rate dependences and film properties' dependence on local reactant partial pressures and wafer temperature in low pressure chemical vapor deposition (LPCVD) of tungsten silicide from dichlorosilane reduction of tungsten hexafluoride. Films were deposited in a commercial-scale Spectrum CVD cold wall single wafer reactor under near differential, gradientless conditions. Over the range of process conditions investigated, deposition rate was found to be first order in dichlorosillane and negative second order in tungsten hexafluoride partial pressure. The apparent activation energy in the surface reaction limited regime was found to be 70-120 kcal/mol. The silicon to tungsten ratio of as deposited silicide films ranged from 1.1 to 2.4, and increased with increasing temperature and dichlorosillane partial pressure, and decreased with increasing tungsten hexafluoride pressure. These results suggest that the apparent silicide deposition rate and composition are controlled by the relative rates of at least two competing reactions which deposit stoichiometric tungsten silicides and/or silicon

  12. Comparison of chemical solution deposition systems for the fabrication of lead zirconate titanate thin films

    International Nuclear Information System (INIS)

    Lecarpentier, F.; Daglish, M.; Kemmitt, T.

    2001-01-01

    Ferroelectric thin films of lead zirconate titanate Pb(Zr x Ti 1-x )O 3 (PZT) were prepared from five chemical solution deposition (CSD) systems, namely methoxyethanol, citrate, diol, acetic acid and triethanolamine. Physical characteristics of the solutions, processing parameters and physical and electrical properties of the films were used to assess the relative advantages and disadvantages of the different chemical systems. All the CSD systems decomposed to produce single phase perovskite PZT at temperatures above 650 deg C. Thin film deposition was influenced by the specific characteristics of each system such as wetting on the substrate and viscosity. Distinct precursor effects on the thin film crystallinity and electrical performance were revealed. The diol route yielded films with the highest crystallite size, highest permittivity and lowest loss tangent. The relative permittivity exhibited by films made by the other routes were 25% to 35% lower at equivalent thicknesses. Copyright (2001) The Australian Ceramic Society

  13. Toxicological evaluation of liquids proposed for use in direct contact liquid--liquid heat exchangers for solar heated and cooled buildings

    Energy Technology Data Exchange (ETDEWEB)

    Buchan, R.M.; Majestic, J.R.; Billau, R.

    1976-09-01

    This report contains the results of the toxicological evaluation part of the project entitled, ''Direct Contact Liquid-Liquid Heat Exchangers for Solar Heated and Cooled Buildings.'' Obviously any liquid otherwise suitable for use in such a device should be subjected to a toxicological evaluation. 34 liquids (24 denser than water, 10 less dense) have physical and chemical properties that would make them suitable for use in such a device. In addition to the complexity involved in selecting the most promising liquids from the standpoint of their chemical and physical properties is added the additional difficulty of also considering their toxicological properties. Some of the physical and chemical properties of these liquids are listed. The liquids are listed in alphabetical order within groups, the denser than water liquids are listed first followed by those liquids less dense than water.

  14. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  15. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  16. Mechanisms controlling temperature dependent mechanical and electrical behavior of SiH4 reduced chemically vapor deposited W

    International Nuclear Information System (INIS)

    Joshi, R.V.; Prasad, V.; Krusin-Elbaum, L.; Yu, M.; Norcott, M.

    1990-01-01

    The effects of deposition temperature on growth, composition, structure, adhesion properties, stress, and resistivity of chemically vapor deposited W deposited purely by SiH 4 reduction of WF 6 are discussed. At lower deposition temperatures, due to incomplete Si reduction reaction, a small amount of Si is incorporated in the film. This elemental Si in W is responsible for the observed high stresses and high resistivities over a wide temperature range. With the increase in the deposition temperature, the conversion of incorporated Si as well as the initial Si reduction are taking place, stimulating increased grain growth and thereby relieving stress and reducing resistivity. The optimum values for stress and resistivity are achieved around 500 degree C, as Si content is at its minimum. At higher temperatures the reaction between residual Si and W, is the prime cause of resistivity increase

  17. A pinning puzzle: two similar, non-superconducting chemical deposits in YBCO-one pins, the other does not

    Energy Technology Data Exchange (ETDEWEB)

    Sawh, Ravi-Persad; Weinstein, Roy; Gandini, Alberto; Skorpenske, Harley; Parks, Drew, E-mail: Weinstein@uh.ed [Beam Particle Dynamics Laboratories, University of Houston, Houston, TX 77204-5005 (United States); Department of Physics, University of Houston, Houston, TX 77204-5005 (United States); Texas Center for Superconductivity at UH, University of Houston, Houston, TX 77204-5002 (United States)

    2009-09-15

    The pinning effects of two kinds of U-rich deposits in YBCO (YBa{sub 2}Cu{sub 3}O{sub 7-{delta}}) are compared. One is a five-element compound, (U{sub 0.6}Pt{sub 0.4})YBa{sub 2}O{sub 6}, which is a paramagnetic double perovskite which forms as profuse stable nanosize deposits, and pins very well. The other is a four-element compound, (U{sub 0.4}Y{sub 0.6})BaO{sub 3}, which is a ferromagnetic single perovskite which forms as profuse stable nanosize deposits and pins very weakly or not at all. The pinning comparison is done with nearly equal deposit sizes and number of deposits per unit volume for the two compounds. Evidence for the pinning capability, chemical makeup, x-ray diffraction signature, and magnetic properties of the two compounds is reported.

  18. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  19. Fabrication of Vertically Aligned CNT Composite for Membrane Applications Using Chemical Vapor Deposition through In Situ Polymerization

    Directory of Open Access Journals (Sweden)

    Munir Mohammad

    2013-01-01

    Full Text Available We report the fabrication of vertically aligned carbon nanotubes (CNT composite using thermal chemical vapor deposition (CVD. A forest of vertically aligned CNTs was grown using catalytic CVD. Fluorocarbon polymer, films were deposited in the spaces between vertically aligned MWCNTs using thermal CVD apparatus developed in-house. The excessive polymer top layer was etched by exposing the sample to water plasma. Infrared spectroscopy confirmed the attachment of functional groups to CNTs. Alignment of CNTs, deposition of polymer and postetched specimens were analyzed by field emission scanning electron microscope (FE-SEM. Uniform distribution of monomodel vertically aligned CNTs embedded in the deposited polymer matrix was observed in the micrograph. Observed uniform distribution otherwise is not possible using conventional techniques such as spin coating.

  20. Morphology and structure of Ti-doped diamond films prepared by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Liu, Xuejie; Lu, Pengfei; Wang, Hongchao; Ren, Yuan; Tan, Xin; Sun, Shiyang; Jia, Huiling

    2018-06-01

    Ti-doped diamond films were deposited through a microwave plasma chemical vapor deposition (MPCVD) system for the first time. The effects of the addition of Ti on the morphology, microstructure and quality of diamond films were systematically investigated. Secondary ion mass spectrometry results show that Ti can be added to diamond films through the MPCVD system using tetra n-butyl titanate as precursor. The spectra from X-ray diffraction, Raman spectroscopy, and X-ray photoelectron spectroscopy and the images from scanning electron microscopy of the deposited films indicate that the diamond phase clearly exists and dominates in Ti-doped diamond films. The amount of Ti added obviously influences film morphology and the preferred orientation of the crystals. Ti doping is beneficial to the second nucleation and the growth of the (1 1 0) faceted grains.

  1. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    Science.gov (United States)

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  2. Structure and chemical characteristics of natural mineral deposit Terbunskaya (Lipetsk region, Russia)

    Energy Technology Data Exchange (ETDEWEB)

    Motyleva, S., E-mail: motyleva-svetlana@mail.ru; Mertvishcheva, M. [All-Russian Horticular Institute for Breeding, Agrotechnology and Nursery Russian Academy of Agricultural Sciences, Moskow (Russian Federation); Shchuchka, R.; Gulidova, V. [Yelets state university named after I. A. Bunin, Yelets (Russian Federation)

    2015-07-22

    New knowledge about the mineralogical features Terbunsky mineral. Investigated 5 fractions isolated from the incision (2-2,5 m). Terbunskaya deposit belongs to minerals Santonian age. Scanning electron microscopy and energy dispersive analysis of fractions isolated studied in detail. In the coarse fractions found ancient organic remains of algae and micro-organisms that have been sedimented together with the mineral component during geological periods. The share of organic inclusions does not exceed 1.5%. Chemical composition confirms the presence of silicon and carbonate organisms. Advantageously proportion of minerals having a layered structure with a plurality of micro and nano pore size 600 - 80-nm and an average chemical composition (wt%): Na (0,64), Mg (0,54), Al (13.48), Si (27 57), K (2.39) Ca (0.75)

  3. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    Science.gov (United States)

    Maraeva, E. V.; Alexandrova, O. A.; Forostyanaya, N. A.; Levitskiy, V. S.; Mazing, D. S.; Maskaeva, L. N.; Markov, V. Ph; Moshnikov, V. A.; Shupta, A. A.; Spivak, Yu M.; Tulenin, S. S.

    2015-11-01

    In this study lead sulphide - cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements.

  4. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  5. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  6. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  7. Deposition of carbon nanotubes onto aramid fibers using as-received and chemically modified fibers

    Energy Technology Data Exchange (ETDEWEB)

    Rodríguez-Uicab, O. [Centro de Investigación Científica de Yucatán A.C., Unidad de Materiales, Calle 43 No.130, Col. Chuburna de Hidalgo, C.P. 97200 Mérida, Yucatán (Mexico); Avilés, F., E-mail: faviles@cicy.mx [Centro de Investigación Científica de Yucatán A.C., Unidad de Materiales, Calle 43 No.130, Col. Chuburna de Hidalgo, C.P. 97200 Mérida, Yucatán (Mexico); Gonzalez-Chi, P.I; Canché-Escamilla, G.; Duarte-Aranda, S. [Centro de Investigación Científica de Yucatán A.C., Unidad de Materiales, Calle 43 No.130, Col. Chuburna de Hidalgo, C.P. 97200 Mérida, Yucatán (Mexico); Yazdani-Pedram, M. [Facultad de Ciencias Químicas y Farmacéuticas, Universidad de Chile, S. Livingstone 1007, Independencia, Santiago (Chile); Toro, P. [Facultad de Ciencias Físicas y Matemáticas, Universidad de Chile, Av. Beauchef 850, Santiago (Chile); Gamboa, F. [Centro de Investigacion y de Estudios Avanzados del IPN, Unidad Mérida, Depto. de Física Aplicada, Km. 6 Antigua Carretera a Progreso, 97310 Mérida, Yucatán (Mexico); Mazo, M.A.; Nistal, A.; Rubio, J. [Instituto de Cerámica y Vidrio (ICV-CSIC), Kelsen 5, 28049 Madrid (Spain)

    2016-11-01

    Highlights: • The surface of aramid fibers was functionalized by two acid treatments. • The treatment based on HNO{sub 3}/H{sub 2}SO{sub 4} reduced the mechanical properties of the fibers. • CNTs were deposited on the aramid fibers, reaching electrical conductivity. • Homogeneous CNT distribution was achieved by using pristine fibers or chlorosulfonic acid. - Abstract: Multiwall carbon nanotubes (MWCNTs) oxidized by an acid treatment were deposited on the surface of as-received commercial aramid fibers containing a surface coating (“sizing”), and fibers modified by either a chlorosulfonic treatment or a mixture of nitric and sulfuric acids. The surface of the aramid fiber activated by the chemical treatments presents increasing density of CO, COOH and OH functional groups. However, these chemical treatments reduced the tensile mechanical properties of the fibers, especially when the nitric and sulfuric acid mixture was used. Characterization of the MWCNTs deposited on the fiber surface was conducted by scanning electron microscopy, Raman spectroscopy mapping and X-ray photoelectron spectroscopy. These characterizations showed higher areal concentration and more homogeneous distribution of MWCNTs over the aramid fibers for as-received fibers and for those modified with chlorosulfonic acid, suggesting the existence of interaction between the oxidized MWCNTs and the fiber coating. The electrical resistance of the MWCNT-modified aramid yarns comprising ∼1000 individual fibers was in the order of MΩ/cm, which renders multifunctional properties.

  8. Modelling pollutant deposition to vegetation: scaling down from the canopy to the biochemical level

    International Nuclear Information System (INIS)

    Taylor, G.E. Jr.; Constable, J.V.H.

    1994-01-01

    In the atmosphere, pollutants exist in either the gas, particle or liquid (rain and cloud water) phase. The most important gas-phase pollutants from a biological or ecological perspective are oxides of nitrogen (nitrogen dioxide, nitric acid vapor), oxides of sulfur (sulfur dioxide), ammonia, tropospheric ozone and mercury vapor. For liquid or particle phase pollutants, the suite of pollutants is varied and includes hydrogen ion, multiple heavy metals, and select anions. For many of these pollutants, plant canopies are a major sink within continental landscapes, and deposition is highly dependent on the (i) physical form or phase of the pollutant, (ii) meteorological conditions above and within the plant canopy, and (iii) physiological or biochemical properties of the leaf, both on the leaf surface and within the leaf interior. In large measure, the physical and chemical processes controlling deposition at the meteorological and whole-canopy levels are well characterized and have been mathematically modelled. In contrast, the processes operating on the leaf surface and within the leaf interior are not well understood and are largely specific for individual pollutants. The availability of process-level models to estimate deposition is discussed briefly at the canopy and leaf level; however, the majority of effort is devoted to modelling deposition at the leaf surface and leaf interior using the two-layer stagnant film model. This model places a premium on information of a physiological and biochemical nature, and highlights the need to distinguish clearly between the measurements of atmospheric chemistry and the physiologically effective exposure since the two may be very dissimilar. A case study of deposition in the Los Angeles Basin is used to demonstrate the modelling approach, to present the concept of exposure dynamics in the atmosphere versus that in the leaf interior, and to document the principle that most forest canopies are exposed to multiple chemical

  9. Preparation and characterization of SnO2 thin film by chemical bath deposition method for solar cell application

    International Nuclear Information System (INIS)

    Wan Mohd Zin Wan Yunus; Saeideh Ebrahimiasl; Anuar Kassim

    2009-01-01

    Full text: Tin oxide thin films were synthesized by chemical bath deposition method on glass substrate .The as-deposited thin films were characterized for compositional, structural, surface morphological, optical and electrical properties. The X-ray diffraction patterns of the sample indicate that all samples are polycrystalline structure. AFM images show that the films consist of small uniform grains and are free of pinholes. (author)

  10. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  11. Near-room temperature deposition of W and WO3 thin films by hydrogen atom assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Lee, W.W.; Reeves, R.R.

    1992-01-01

    A novel near-room temperatures CVD process has been developed using H-atoms reaction with WF 6 to produced tungsten and tungsten oxide films. The chemical, physical and electrical properties of these films were studied. Good adhesion and low resistivity of W films were measured. Conformal WO 3 films were obtained on columnar tungsten using a small amount of molecular oxygen in the gas stream. A reaction mechanism was evaluated on the basis of experimental results. The advantages of the method include deposition of adherent films in a plasma-free environment, near-room temperature, with a low level of impurity

  12. The study of metal sulphide nanomaterials obtained by chemical bath deposition and hot-injection technique

    International Nuclear Information System (INIS)

    Maraeva, E V; Alexandrova, O A; Levitskiy, V S; Mazing, D S; Moshnikov, V A; Shupta, A A; Spivak, Yu M; Forostyanaya, N A; Maskaeva, L N; Markov, V Ph; Tulenin, S S

    2015-01-01

    In this study lead sulphide – cadmium sulphide based layers were obtained through chemical deposition of water solutions and cadmium sulphide quantum dots were formed through hot-injection technique. The article discusses the results of surface investigations with the use of atomic force microscopy, Raman spectroscopy and photoluminescence measurements. (paper)

  13. Electro-chemical deposition of zinc oxide nanostructures by using two electrodes

    Directory of Open Access Journals (Sweden)

    B. A. Taleatu

    2011-09-01

    Full Text Available One of the most viable ways to grow nanostructures is electro deposition. However, most electrodeposited samples are obtained by three-electrode electrochemical cell. We successfully use a much simpler two-electrode cell to grow different ZnO nanostructures from common chemical reagents. Concentration, pH of the electrolytes and growth parameters like potentials at the electrodes, are tailored to allow fast growth without complexity. Morphology and surface roughness are investigated by Scanning Electron and Air Force Microscopy (SEM and AFM respectively, crystal structure by X-Ray Diffraction measurements (XRD and ZnO stoichiometry by core level photoemission spectroscopy (XPS.

  14. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  15. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  16. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  17. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  18. Chemical Process for Treatment of Tellurium and Chromium Liquid Waste from I-131 Radioisotope Production

    International Nuclear Information System (INIS)

    Zainus-Salimin; Gunandjar; Dedy-Harsono; Hendro; Sugeng-Purnomo; Mohammad-Faruq; Zulfakhri

    2000-01-01

    The I-131 radioisotope is used in nuclear medicine for diagnosis and therapy. The I-131 radioisotope is produced by wet distillation at Bandung Nuclear Research Center and generated about 4,875 Itr of liquid waste containing 2,532.8 ppm of tellurium and 1,451.8 ppm chromium at pH 1. Considering its negative impact to the environment caused by toxic behaviour of tellurium and chromium, it is necessary to treat chemically that's liquid waste. The research of chemical treatment of tellurium and chromium liquid waste from I-131 radioisotope production has been done. The steps of process are involved of neutralisation with NaOH, coagulation-flocculation process for step I using Ca(OH) 2 coagulant for precipitation of sulphate, sulphite, oxalic, chrome Cr 3+ , and coagulation-flocculation process for step II using BaCI 2 coagulant for precipitation of chrome Cr 6+ and tellurium from the supernatant of coagulation in step I. The best result of experiment was achieved at 0.0161 ppm of chromium concentration on the supernatant from coagulation-flocculation of step I using 3.5 g Ca(OH) 2 for 100 ml of liquid waste, and 0.95 ppm of tellurium concentration on the final supernatant from coagulation-flocculation by of step II using 0.7 g BaCI 2 for supernatant from coagulation of step I. (author)

  19. Preparation of potassium tantalate niobate thin films by chemical solution deposition and their characterization

    Czech Academy of Sciences Publication Activity Database

    Buršík, Josef; Železný, Vladimír; Vaněk, Přemysl

    2005-01-01

    Roč. 25, č. 12 (2005), s. 2151-2154 ISSN 0955-2219 R&D Projects: GA ČR GA202/02/0238; GA MŠk(CZ) LN00A028; GA MŠk OC 528.001 Institutional research plan: CEZ:AV0Z40320502 Keywords : films * tantalates * chemical solution deposition Subject RIV: CA - Inorganic Chemistry Impact factor: 1.567, year: 2005

  20. Effect of pH on the properties of ZnS thin films grown by chemical bath deposition

    International Nuclear Information System (INIS)

    Ben Nasr, T.; Kamoun, N.; Kanzari, M.; Bennaceur, R.

    2006-01-01

    Zinc sulphide thin films have been deposited on glass substrates using the chemical bath deposition technique. The depositions were carried out in the pH range of 10 to 11.5. Structure of these films was characterized by X-ray diffraction and scanning electron microscopy. Optical properties were studied by spectrophotometric measurements. Influence of the increased pH value on structural and optical properties is described and discussed in terms of transmission improvement in the visible range. Transmission spectra indicate a high transmission coefficient (∼70%). The direct band gap energy is found to be about 3.67 eV for the films prepared at pH equal to 11.5