WorldWideScience

Sample records for chemical etching mechanism

  1. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Directory of Open Access Journals (Sweden)

    Kun-Dar Li

    2018-02-01

    Full Text Available To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  2. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    Science.gov (United States)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, and preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  3. The chemical and electrochemical anisotropic etching of silicon

    International Nuclear Information System (INIS)

    Dixon, E.

    1997-06-01

    The success of silicon IC technology in producing a wide variety of microstructures relies heavily on the orientation dependant etching observed for silicon in alkaline media. Despite the rapid growth of this industry, the chemical and electrochemical mechanisms by which anisotropic etching occurs remain poorly understood. The most common etchant systems in use are ethylenediamine-pyrocatechol-water (EPW) and potassium hydroxide-isopropanol-water (KOH-IPA), and whilst these systems are highly plane selective they each have distinct disadvantages. The occurrence of inhomogeneities such as micropyramids and pits on the surface of etched substrates is a particularly disadvantageous characteristic of many alkaline etching systems. A complete understanding of the chemical and electrochemical anisotropic etching mechanisms is essential in order to obtain more reproducible etching, improved etch rate ratios and the development of more reliable etching baths. Wet chemical etching experiments to evaluate the etching rates for the different alkali metal cations have shown that similar etch rates are observed for LiOH, NaOH and KOH but those of RbOH and CsOH are significantly lower. The presence of impurities was shown to worsen the etched wafer's surface finish obtained in these etching baths. Additives have been shown to dramatically improve the surface finish with the presence of IPA in conjunction with etchant oxygenation virtually eliminating all surface defects. Electrochemical experiments were used to assess the electrochemical behaviour of Si p-(100) in of a wide variety of etchants and variations were seen according to the etchant used. A.C impedance spectroscopy showed a variation in the flat-band potential (V FB ) according to alkali metal hydroxide etchant used. These trends were similarly observed in the presence of isopropanol. Oxygenation was observed to reproducibly alter the flat-band potentials. A.c impedance spectroscopic studies additionally confirmed the

  4. Model of wet chemical etching of swift heavy ions tracks

    Science.gov (United States)

    Gorbunov, S. A.; Malakhov, A. I.; Rymzhanov, R. A.; Volkov, A. E.

    2017-10-01

    A model of wet chemical etching of tracks of swift heavy ions (SHI) decelerated in solids in the electronic stopping regime is presented. This model takes into account both possible etching modes: etching controlled by diffusion of etchant molecules to the etching front, and etching controlled by the rate of a reaction of an etchant with a material. Olivine ((Mg0.88Fe0.12)2SiO4) crystals were chosen as a system for modeling. Two mechanisms of chemical activation of olivine around the SHI trajectory are considered. The first mechanism is activation stimulated by structural transformations in a nanometric track core, while the second one results from neutralization of metallic atoms by generated electrons spreading over micrometric distances. Monte-Carlo simulations (TREKIS code) form the basis for the description of excitations of the electronic subsystem and the lattice of olivine in an SHI track at times up to 100 fs after the projectile passage. Molecular dynamics supplies the initial conditions for modeling of lattice relaxation for longer times. These simulations enable us to estimate the effects of the chemical activation of olivine governed by both mechanisms. The developed model was applied to describe chemical activation and the etching kinetics of tracks of Au 2.1 GeV ions in olivine. The estimated lengthwise etching rate (38 µm · h-1) is in reasonable agreement with that detected in the experiments (24 µm · h-1).

  5. A reconsideration for forming mechanism of optic fiber probe fabricated by static chemical etching

    Science.gov (United States)

    Chen, Yiru; Shen, Ruiqi

    2016-07-01

    The studies on the mechanism of static chemical etching are supplemented in this paper. Surface tension and diffusion effect are both taken into account. Theoretical analysis and data fitting show that the slant angle of the liquid-liquid interface leads to the maximum liquid rising, when diffusion effect is negligible.

  6. Thermodynamics of nuclear track chemical etching

    Science.gov (United States)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  7. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  8. Passivation of mechanically polished, chemically etched and anodized zirconium in various aqueous solutions: Impedance measurements

    International Nuclear Information System (INIS)

    Abo-Elenien, G.M.; Abdel-Salam, O.E.

    1987-01-01

    Zirconium and its alloys are finding increasing applications especially in water-cooled nuclear reactors. Because of the fact that zirconium is electronegative (E 0 = -1.529V) its corrosion resistance in aqueous solutions is largely determined by the existence of a thin oxide film on its surface. The structure and properties of this film depend in the first place on the method of surface pre-treatment. This paper presents an experimental study of the nature of the oxide film on mechanically polished, chemically etched and anodized zirconium. Ac impedance measurements carried out in various acidic, neutral and alkaline solutions show that the film thickness depends on the method of surface pre-treatment and the type of electrolyte solution. The variation of the potential and impedance during anodization of zirconium at low current density indicates that the initial stages of polarization consist of oxide build-up at a rate dependent on the nature of the electrode surface and the electrolyte. Oxygen evolution commences at a stage where oxide thickening starts to decline. The effect of frequency on the measured impedance indicates that the surface reactivity, and hence the corrosion rate, decreases in the following order: mechanically polished > chemically etched > anodized

  9. Roles of Ag in fabricating Si nanowires by the electroless chemical etching technique

    International Nuclear Information System (INIS)

    Wan, X.; Wang, Q. K.; Wangyang, P. H.; Tao, H.

    2012-01-01

    Silicon wafers coated with a film of Ag pattern are used for investigating roles of Ag in the fabrication of silicon nanowire arrays (SiNWs) by the electroless chemical etching technique. The diameter of SiNWs grown in the mixed AgNO 3 /HF solution ranges from 20 to 250 nm. A growth mechanism for such obtained SiNWs is proposed and further experimentally verified. As a comparison as well as to better understand this chemical process, another popular topic on growing SiNWs in the H 2 O 2 /HF solution is also studied. Originating from different chemical reaction mechanisms, Ag film could protect the underneath Si in the AgNO 3 /HF solution and it could, on the contrary, accelerate etching of the underneath Si in the H 2 O 2 /HF solution.

  10. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  11. Chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer

    International Nuclear Information System (INIS)

    Komaki, Y.; Tsujimura, S.; Seguchi, T.

    1979-01-01

    The chemical etching of fission tracks in ethylene-tetrafluoroethylene copolymer was studied. Etched holes 3000 to 4000 A in diameter were recognized by electron microscopy for a film bombarded by fission fragments in oxygen and etched in a 12N sodium hydroxide solution at 125 0 C. The radial etching rate at 125 0 C was 6 to 8 A/hr, which is less than 17 A/hr for polyvinylidene fluoride in the same sodium hydroxide concentration at 85 0 C. The smaller rate is a reflection of the larger chemical resistivity of ethylene-tetrafluoroethylene copolymer than polyvinylidene fluoride. (author)

  12. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  13. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    International Nuclear Information System (INIS)

    Geng, Xuewen; Grismer, Dane A; Bohn, Paul W; Duan, Barrett K; Zhao, Liancheng

    2013-01-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal–semiconductor interface. (paper)

  14. Simulation of convection-driven wet-chemical etching

    NARCIS (Netherlands)

    Driesen, C.H.

    1999-01-01

    In a wet-chemical etching process, the resulting etched shape is smaller than the originally designed shape at the mask. This is caused by the fact that, as soon as material next to the mask is dissolved, material under the mask will be dissolved too. This is the so-called undercut effect. During an

  15. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  16. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  17. Bilayer–metal assisted chemical etching of silicon microwire arrays for photovoltaic applications

    Directory of Open Access Journals (Sweden)

    R. W. Wu

    2016-02-01

    Full Text Available Silicon microwires with lateral dimension from 5 μm to 20 μm and depth as long as 20 μm are prepared by bilayer metal assisted chemical etching (MaCE. A bilayer metal configuration (Metal 1 / Metal 2 was applied to assist etching of Si where metal 1 acts as direct catalyst and metal 2 provides mechanical support. Different metal types were investigated to figure out the influence of metal catalyst on morphology of etched silicon. We find that silicon microwires with vertical side wall are produced when we use Ag/Au bilayer, while cone–like and porous microwires formed when Pt/Au is applied. The different micro-/nano-structures in as-etched silicon are demonstrated to be due to the discrepancy of work function of metal catalyst relative to Si. Further, we constructed a silicon microwire arrays solar cells in a radial p–n junction configurations in a screen printed aluminum paste p–doping process.

  18. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    Science.gov (United States)

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  19. Reactive Ion Etching as Cleaning Method Post Chemical Mechanical Polishing for Phase Change Memory Device

    International Nuclear Information System (INIS)

    Min, Zhong; Zhi-Tang, Song; Bo, Liu; Song-Lin, Feng; Bomy, Chen

    2008-01-01

    In order to improve nano-scale phase change memory performance, a super-clean interface should be obtained after chemical mechanical polishing (CMP) of Ge 2 Sb 2 Te 5 phase change films. We use reactive ion etching (RIE) as the cleaning method. The cleaning effect is analysed by scanning electron microscopy and an energy dispersive spectrometer. The results show that particle residue on the surface has been removed. Meanwhile, Ge 2 Sb 2 Te 5 material stoichiometric content ratios are unchanged. After the top electrode is deposited, current-voltage characteristics test demonstrates that the set threshold voltage is reduced from 13 V to 2.7V and the threshold current from 0.1mA to 0.025mA. Furthermore, we analyse the RIE cleaning principle and compare it with the ultrasonic method

  20. Unveiling the wet chemical etching characteristics of polydimethylsiloxane film for soft micromachining applications

    International Nuclear Information System (INIS)

    Kakati, A; Maji, D; Das, S

    2017-01-01

    Micromachining of a polydimethylsiloxane (PDMS) microstructure by wet chemical etching is explored for microelectromechanical systems (MEMS) and microfluidic applications. A 100 µ m thick PDMS film was patterned with different microstructure designs by wet chemical etching using a N-methyl-2-pyrrolidone (C 16 H 36 FN) and tetra-n-butylammonium fluoride (C 5 H 9 NO) mixture solution with 3:1 volume ratio after lithography for studying etching characteristics. The patterning parameters, such as etch rate, surface roughness, pH of etchant solution with time, were thoroughly investigated. A detailed study of surface morphology with etching time revealed nonlinear behaviour of the PDMS surface roughness and etch rate. A maximum rate of 1.45 µ m min −1 for 10 min etching with surface roughness of 360 nm was achieved. A new approach of wet chemical etching with pH controlled doped etchant was introduced for lower surface roughness of etched microstructures, and a constant etch rate during etching. Variation of the etching rate and surface roughness by pH controlled etching was performed by doping 5–15 gm l −1 of silicic acid (SiO 2xH2 O) into the traditional etchant solution. PDMS etching by silicic acid doped etchant solution showed a reduction in surface roughness from 400 nm to 220 nm for the same 15 µ m etching. This study is beneficial for micromachining of various MEMS and microfluidic structures such as micropillars, microchannels, and other PDMS microstructures. (paper)

  1. Effect of chemical etching on the surface roughness of CdZnTe and CdMnTe gamma radiation detectors

    International Nuclear Information System (INIS)

    Hossain, A.; Babalola, S.; Bolotnikov, A.E.; Camarda, G.S.; Cui, Y.; Yang, G.; Guo, M.; Kochanowska, D.; Mycielski, A.; Burger, A.; James, R.B.

    2008-01-01

    Generally, mechanical polishing is performed to diminish the cutting damage followed by chemical etching to remove the remaining damage on crystal surfaces. In this paper, we detail the findings from our study of the effects of various chemical treatments on the roughness of crystal surfaces. We prepared several CdZnTe (CZT) and CdMnTe (CMT) crystals by mechanical polishing with 5 (micro)m and/or lower grits of Al 2 O 3 abrasive papers including final polishing with 0.05-(micro)m particle size alumina powder and then etched them for different periods with a 2%, 5% Bromine-Methanol (B-M) solution, and also with an E-solution (HNO 3 :H 2 O:Cr 2 O 7 ). The material removal rate (etching rate) from the crystals was found to be 10 (micro)m, 30 (micro)m, and 15 (micro)m per minute, respectively. The roughness of the resulting surfaces was determined by the Atomic Force Microscopy (AFM) to identify the most efficient surface processing method by combining mechanical and chemical polishing

  2. Metal-assisted chemical etch porous silicon formation method

    Science.gov (United States)

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  3. Physical chemistry of wet chemical anisotropic etching of silicon

    NARCIS (Netherlands)

    Elwenspoek, Michael Curt

    1995-01-01

    In this paper we explain a view to understand the anisotropy of the etching of silicon in certain wet chemical agents (such as KOH). The starting point is the assumption that the [Left angle bracket]111[Right Angle Bracket] face of silicon is a flat face, the etch rate of which is then governed by a

  4. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  5. Wet-etch sequence optimisation incorporating time dependent chemical maintenance

    NARCIS (Netherlands)

    Kruif, B.J. de

    2015-01-01

    Wafer fabrication is the major cost contributor in semiconductor manufacturing. One of the steps in the fabrication is the removal of exposed layers in an automatic wet-etch station with chemicals. In time, these chemicals get polluted and their effectiveness decreases. Therefore, the chemicals in

  6. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  7. Nano/micro particle beam for ceramic deposition and mechanical etching

    International Nuclear Information System (INIS)

    Chun, Doo-Man; Kim, Min-Saeng; Kim, Min-Hyeng; Ahn, Sung-Hoon; Yeo, Jun-Cheol; Lee, Caroline Sunyong

    2010-01-01

    Nano/micro particle beam (NPB) is a newly developed ceramic deposition and mechanical etching process. Additive (deposition) and subtractive (mechanical etching) processes can be realized in one manufacturing process using ceramic nano/micro particles. Nano- or micro-sized powders are sprayed through the supersonic nozzle at room temperature and low vacuum conditions. According to the process conditions, the ceramic powder can be deposited on metal substrates without thermal damage, and mechanical etching can be conducted in the same process with a simple change of process conditions and powders. In the present work, ceramic aluminum oxide (Al 2 O 3 ) thin films were deposited on metal substrates. In addition, the glass substrate was etched using a mask to make small channels. Deposited and mechanically etched surface morphology, coating thickness and channel depth were investigated. The test results showed that the NPB provides a feasible additive and subtractive process using ceramic powders.

  8. The etching of InP in HCl solutions : a chemical mechanism

    NARCIS (Netherlands)

    Notten, P.H.L.

    1984-01-01

    The etch rate of InP in solutions of high HCl concentration was shown to be independent of the applied potential ina wide potential range negative with respect to the flatband value. Dissolution of the solid led to the formation of PH3.The etch rate, which was not mass-transport controlled, was

  9. Chemical etching and polishing of InP

    International Nuclear Information System (INIS)

    Kurth, E.; Reif, A.; Gottschalch, V.; Finster, J.; Butter, E.

    1988-01-01

    This paper describes possibilities of several chemical preparations for the selective cleaning of InP surfaces. The investigations of the surface states after the chemical treatment were carried out by means of XPS measurements. A pre-etching with (NH 4 ) 2 S 2 O 8 :H 2 SO 4 :H 2 O and a polishing with 1% bromine in methanol produce optically smooth (100)-and (111) P surfaces free of oxides. (author)

  10. The mechanism of selective corrugation removal by KOH anisotropic wet etching

    International Nuclear Information System (INIS)

    Shikida, M; Inagaki, N; Sasaki, H; Amakawa, H; Fukuzawa, K; Sato, K

    2010-01-01

    The mechanism of selective corrugation removal by anisotropic wet etching—which reduces a periodic corrugation, called 'scalloping', formed on the sidewalls of microstructures by the Bosch process in deep reactive-ion etching (D-RIE)—was investigated. In particular, the corrugation-removal mechanism was analyzed by using the etching rate distribution pattern, and two equations for predicting the corrugation-removal time by the etching were derived. A Si{1 0 0} wafer was first etched by D-RIE at a depth of 29.4 µm (60 cycles) to form the corrugation on the sidewall surface. The height and pitch of the corrugation were 196 and 494 nm, respectively. Selective removal of the corrugation by using 50% KOH (40 °C) was experimentally tried. The corrugation formed on Si{1 0 0} sidewall surfaces was gradually reduced in size as the etching progressed, and it was completely removed after 5 min of etching. Similarly, the corrugation formed on a Si{1 1 0} sidewall surface was also selectively removed by KOH etching (etching time: 3 min). The roughness value of the sidewall surface was reduced from 17.6 nm to a few nanometers by the etching. These results confirm that the corrugation-removal mechanism using anisotropic wet etching can be explained in terms of the distribution pattern of etching rate

  11. Photoelectrochemical etching of gallium nitride surface by complexation dissolution mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao-Rong [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); University of Chinese Academy of Sciences, 100049 Beijing (China); Hou, Fei; Wang, Zu-Gang; Zhang, Shao-Hui [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China); Changchun University of Science and Technology, 130022 Changchun (China); Pan, Ge-Bo, E-mail: gbpan2008@sinano.ac.cn [Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, 215123 Suzhou (China)

    2017-07-15

    Graphical abstract: GaN surface was etched by 0.3 M EDTA-2Na. The proposed complexation dissolution mechanism can be applicable to almost all neutral etchants under the prerequisite of strong light and electric field. - Highlights: • GaN surface was etched by EDTA-2Na. • GaN may be dissolved into EDTA-2Na by forming Ga–EDTA complex. • We propose the complexation dissolution mechanism for the first time. - Abstract: Gallium nitride (GaN) surface was etched by 0.3 M ethylenediamine tetraacetic acid disodium (EDTA-2Na) via photoelectrochemical etching technique. SEM images reveal the etched GaN surface becomes rough and irregular. The pore density is up to 1.9 × 10{sup 9} per square centimeter after simple acid post-treatment. The difference of XPS spectra of Ga 3d, N 1s and O 1s between the non-etched and freshly etched GaN surfaces can be attributed to the formation of Ga–EDTA complex at the etching interface between GaN and EDTA-2Na. The proposed complexation dissolution mechanism can be broadly applicable to almost all neutral etchants under the prerequisite of strong light and electric field. From the point of view of environment, safety and energy, EDTA-2Na has obvious advantages over conventionally corrosive etchants. Moreover, as the further and deeper study of such nearly neutral etchants, GaN etching technology has better application prospect in photoelectric micro-device fabrication.

  12. Superhydrophobic coatings for aluminium surfaces synthesized by chemical etching process

    Directory of Open Access Journals (Sweden)

    Priya Varshney

    2016-10-01

    Full Text Available In this paper, the superhydrophobic coatings on aluminium surfaces were prepared by two-step (chemical etching followed by coating and one-step (chemical etching and coating in a single step processes using potassium hydroxide and lauric acid. Besides, surface immersion time in solutions was varied in both processes. Wettability and surface morphologies of treated aluminium surfaces were characterized using contact angle measurement technique and scanning electron microscopy, respectively. Microstructures are formed on the treated aluminium surfaces which lead to increase in contact angle of the surface (>150°. Also on increasing immersion time, contact angle further increases due to increase in size and depth of microstructures. Additionally, these superhydrophobic coatings show excellent self-cleaning and corrosion-resistant behavior. Water jet impact, floatation on water surface, and low temperature condensation tests assert the excellent water-repellent nature of coatings. Further, coatings are to be found mechanically, thermally, and ultraviolet stable. Along with, these coatings are found to be excellent regeneration ability as verified experimentally. Although aforesaid both processes generate durable and regenerable superhydrophobic aluminium surfaces with excellent self-cleaning, corrosion-resistant, and water-repellent characteristics, but one-step process is proved more efficient and less time consuming than two-step process and promises to produce superhydrophobic coatings for industrial applications.

  13. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    International Nuclear Information System (INIS)

    Souto, E.B.; Campos, L.L.

    2006-01-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm 2 of the polycarbonate were irradiated with 5 mSv of fast neutrons ( 241 Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H 2 O, 40% C 2 H 5 OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in the plateau to avoid that small

  14. Characterization of etch pit formation via the Everson-etching method on CdZnTe crystal surfaces from the bulk to the nanoscale

    International Nuclear Information System (INIS)

    Teague, Lucile C.; Duff, Martine C.; Cadieux, James R.; Soundararajan, Raji; Shick, Charles R.; Lynn, Kelvin G.

    2011-01-01

    A combination of atomic force microscopy, optical microscopy, and mass spectrometry was employed to study CdZnTe crystal surface and used etchant solution following exposure of the CdZnTe crystal to the Everson etch solution. We discuss the results of these studies in relationship to the initial surface preparation methods, the performance of the crystals as radiation spectrometers, the observed etch pit densities, and the chemical mechanism of surface etching. Our results show that the surface features that are exposed to etchants result from interactions with the chemical components of the etchants as well as pre-existing mechanical polishing.

  15. Optimization of microwave-induced chemical etching for rapid development of neutron-induced recoil tracks in CR-39 detectors

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Bandyopadhyay, T.

    2014-01-01

    A systematic investigation is carried out to optimize the recently established microwave-induced chemical etching (MICE) parameters for rapid development of neutron-induced recoil tracks in CR-39 detectors. Several combinations of all available microwave powers with different etching durations were analysed to determine the most suitable etching condition. The etching duration was found to reduce with increasing microwave power and the tracks were observed at about 18, 15, 12, and 6 min for 300, 450, 600 and 900 W of microwave powers respectively compared to a few hours in chemical etching (CE) method. However, for complete development of tracks the etching duration of 30, 40, 50 and 60 min were found to be suitable for the microwave powers of 900, 600, 450 and 300 W, respectively. Temperature profiles of the etchant for all the available microwave powers at different etching durations were generated to regulate the etching process in a controlled manner. The bulk etch rates at different microwave powers were determined by 2 methods, viz., gravimetric and removed thickness methods. A logarithmic expression was used to fit the variation of bulk etch rate with microwave power. Neutron detection efficiencies were obtained for all the cases and the results on track parameters obtained with MICE technique were compared with those obtained from another detector processed with chemical etching. - Highlights: • Microwave-induced chemical etching method is optimized for rapid development of recoil tracks due to neutrons in CR-39 detector. • Several combinations of microwave powers and etching durations are investigated to standardize the suitable etching condition. • Bulk-etch rates are determined for all microwave powers by two different methods, viz. gravimetric and removed thickness method. • The method is found to be simple, effective and much faster compared to conventional chemical etching

  16. Chemical etching studies of a Brazilian polycarbonate to fast neutron detection

    Energy Technology Data Exchange (ETDEWEB)

    Souto, E.B.; Campos, L.L. [Instituto de Pesquisas Energeticas e Nucleares, IPEN- CNEN/SP Radiation Metrology Center (CMR) Av. Prof. Lineu Prestes, 2242 CEP: 05508-000 Sao Paulo - SP (Brazil)]. e-mail: ebsouto@ipen.br

    2006-07-01

    The Dosimetric Materials Laboratory (LMD) of the Radiation Metrology Center (CMR) is developing a personal dosimeter for fast neutrons using the technique of solid state nuclear track detectors (SSNTD). This technique is based on the recorded damage (tracks) in dielectric materials due to the impact of charged particles. The tracks are revealed and amplified for visualization in optic microscope through a technique known as chemical etching. The LMD is investigating a Brazilian commercial polycarbonate as a new passive fast neutron's detector in substitution to the traditional materials, as the cellulose nitrate LR-115 and the polycarbonates Makrofol and CR-39. The variation of the etching parameters (chemical solution, time and temperature) alters the response of the material; the best revelation conditions provide the best relationship among the amount of revealed tracks, their clearness and the time spent for this. The polycarbonate studied is a resin of same chemical monomer of Makrofol (C,6H,403). Samples of 3 x 1 cm{sup 2} of the polycarbonate were irradiated with 5 mSv of fast neutrons ({sup 241}Am-Be) and revealed with the chemical solution PEW-40 (15% KOH, 45% H{sub 2}O, 40% C{sub 2}H{sub 5}OH), commonly used for Makrofol. The studied etching parameters were time and temperature. Groups of four samples were revealed at temperatures of 50, 65, 75, 90 and 100 C with etching times varying from one to six hours. The used track's counting procedure was that referred in the literature. The best response to fast neutrons was obtained at 75 C; in spite of their similar answers, smaller temperatures join larger uncertainties in the track's counting and poorer clearness. At this temperature, the number of revealed tracks increases with the etching time approximately until a plateau at three hours. For etching times higher than four hours the polycarbonate presents overlap of tracks. If the temperature is adjusted to 75 C, the etching time should be in

  17. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    Science.gov (United States)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  18. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  19. Nitride-based Schottky diodes and HFETs fabricated by photo-enhanced chemical wet etching

    International Nuclear Information System (INIS)

    Su, Y.K.; Chang, S.J.; Kuan, T.M.; Ko, C.H.; Webb, J.B.; Lan, W.H.; Cherng, Y.T.; Chen, S.C.

    2004-01-01

    Photo-enhanced chemical (PEC) wet etching technology was used to etch GaN and AlGaN epitaxial layers. It was found that the maximum etch rates were 510, 1960, 300, and 0 nm/mm for GaN, Al 0.175 Ga 0.825 N, Al 0.23 Ga 0.77 N, and Al 0.4 Ga 0.6 N, respectively. It was also found that we could achieve a high Al 0.175 Ga 0.825 N to GaN etch rate ratio of 12.6. Nitride-based Schottky diodes and heterostructure field effect transistors (HFETs) were also fabricated by PEC wet etching. It was found that we could achieve a saturated I D larger than 850 mA/mm and a maximum g m about 163 mS/mm from PEC wet etched HFET with a 0.5 μm gate length. Compared with dry etched devices, the leakage currents observed from the PEC wet etched devices were also found to be smaller

  20. Effect of chemical etching on the Cu/Ni metallization of poly (ether ether ketone)/carbon fiber composites

    International Nuclear Information System (INIS)

    Di Lizhi; Liu Bin; Song Jianjing; Shan Dan; Yang Dean

    2011-01-01

    Poly(ether ether ketone)/carbon fiber composites (PEEK/Cf) were chemical etched by Cr 2 O 3 /H 2 SO 4 solution, electroless plated with copper and then electroplated with nickel. The effects of chemical etching time and temperature on the adhesive strength between PEEK/Cf and Cu/Ni layers were studied by thermal shock method. The electrical resistance of some samples was measured. X-ray photoelectron spectroscopy (XPS) was used to analyze the surface composition and functional groups. Scanning electron microscopy (SEM) was performed to observe the surface morphology of the composite, the chemical etched sample, the plated sample and the peeled metal layer. The results indicated that C=O bond increased after chemical etching. With the increasing of etching temperature and time, more and more cracks and partially exposed carbon fibers appeared at the surface of PEEK/Cf composites, and the adhesive strength increased consequently. When the composites were etched at 60 deg. C for 25 min and at 70-80 deg. C for more than 15 min, the Cu/Ni metallization layer could withstand four thermal shock cycles without bubbling, and the electrical resistivity of the metal layer of these samples increased with the increasing of etching temperature and time.

  1. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    Science.gov (United States)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  2. Coupling of single quantum emitters to plasmons propagating on mechanically etched wires

    DEFF Research Database (Denmark)

    Kumar, Shailesh; Huck, Alexander; Lu, Ying-Wei

    2013-01-01

    We demonstrate the coupling of a single nitrogen vacancy center in a nanodiamond to propagating plasmonic modes of mechanically etched silver nanowires. The mechanical etch is performed on single crystalline silver nanoplates by the tip of an atomic force microscope cantilever to produce wires...

  3. Wet etching and chemical polishing of InAs/GaSb superlattice photodiodes

    International Nuclear Information System (INIS)

    Chaghi, R; Cervera, C; Aït-Kaci, H; Grech, P; Rodriguez, J B; Christol, P

    2009-01-01

    In this paper, we studied wet chemical etching fabrication of the InAs/GaSb superlattice mesa photodiode for the mid-infrared region. The details of the wet chemical etchants used for the device process are presented. The etching solution is based on orthophosphoric acid (H 3 PO 4 ), citric acid (C 6 H 8 O 7 ) and H 2 O 2 , followed by chemical polishing with the sodium hypochlorite (NaClO) solution and protection with photoresist polymerized. The photodiode performance is evaluated by current–voltage measurements. The zero-bias resistance area product R 0 A above 4 × 10 5 Ω cm 2 at 77 K is reported. The device did not show dark current degradation at 77 K after exposition during 3 weeks to the ambient air

  4. Fibre Laser Cutting and Chemical Etching of AZ31 for Manufacturing Biodegradable Stents

    Directory of Open Access Journals (Sweden)

    Ali Gökhan Demir

    2013-01-01

    Full Text Available The use of magnesium-alloy stents shows promise as a less intrusive solution for the treatment of cardiovascular pathologies as a result of the high biocompatibility of the material and its intrinsic dissolution in body fluids. However, in addition to requiring innovative solutions in material choice and design, these stents also require a greater understanding of the manufacturing process to achieve the desired quality with improved productivity. The present study demonstrates the manufacturing steps for the realisation of biodegradable stents in AZ31 magnesium alloy. These steps include laser microcutting with a Q-switched fibre laser for the generation of the stent mesh and subsequent chemical etching for the cleaning of kerf and surface finish. Specifically, for the laser microcutting step, inert and reactive gas cutting conditions were compared. The effect of chemical etching on the reduction in material thickness, as well as on spatter removal, was also evaluated. Prototype stents were produced, and the material composition and surface quality were characterised. The potentialities of combining nanosecond laser microcutting and chemical etching are shown and discussed.

  5. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  6. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  7. A Study of the arsenic profiles in NMOS by using chemical etching and simulation

    International Nuclear Information System (INIS)

    Jung, Won-Chae; Lee, Kil-Dong

    2004-01-01

    For integrated semiconductor devices, the one-, two-, and three-dimensional impurity distributions are very important for the analyzing the devices. The one-dimensional arsenic profiles were measured by using secondary ion mass spectroscopy (SIMS), and simulation data were obtained by using the TSUPREM4 and UT-Marlowe programs. The two-dimensional profiles of arsenic were directly measured by using the chemical etching-method, and the measured 2D profiles were compared with simulation data obtained from TSUPREM4. A Taurus simulation tool was used to obtain the 3D arsenic profiles. The simulated data of UT-Marlowe in 1D agreed very well with the SIMS data. The measured two-dimensional transmission electron microscope (TEM) data obtained by using the chemical etching-method matched very well with the results of the TSUPREM4 simulation. The chemical etching and the TEM measurement methods demonstrated and visualized the two-dimensional impurity distributions and structures of the devices.

  8. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  9. Evaluation study between the chemical and electrochemical etching for solid state nuclear track detectors

    International Nuclear Information System (INIS)

    Ramos, S.; Espinosa, G.; Golzarri, J.I.

    1991-01-01

    Since there are several methods of etching in the solid state nuclear track detectors (SSNTD) it is necessary to know which gives the best results for a specific problem. The purpose of this work is to analyze and compare both the chemical etching and the electrochemical etching. The SSNTD has a preferential response to certain kinds of particles and energies, according to the material used as detector. On the other hand the efficiency is a function of the incidence angle of the radiation and some other parameters such as temperature, concentration and type of solvent used in the etching process, and the method used for the etching. Therefore, it is necessary to extend as much as possible our knowledge of such parameters in order to choose the more efficient one for a specific problem

  10. Masking considerations in chemically assisted ion beam etching of GaAs/AlGaAs laser structures

    International Nuclear Information System (INIS)

    Behfar-Rad, A.; Wong, S.S.; Davis, R.J.; Wolf, E.D.; Cornell Univ., Ithaca, NY

    1989-01-01

    The use of photoresist, Cr, and SiO 2 as etch masks for GaAs/AlGaAs structures in chemically assisted ion beam etching is reported. The optimized etch with a photoresist mask results in a high degree of anisotropy and smooth sidewalls. However, the etched surface contains undesirable features. The etch with a Cr mask is also highly anisotropic, and the etched surface is free of features. The drawback with Cr masks is that the sidewalls are rough. Vertical and smooth sidewalls as well as a featureless surface are obtained with a SiO 2 mask. The SiO 2 mask has been employed to etch the facets of monolithic GaAs/AlGaAs-based laser structures

  11. Three-Dimensional Optical Trapping for Cell Isolation Using Tapered Fiber Probe by Dynamic Chemical Etching

    International Nuclear Information System (INIS)

    Taguchi, K; Okada, J; Nomura, Y; Tamura, K

    2012-01-01

    In this paper, chemically etched fiber probe was proposed for laser trapping and manipulation of cells. We fabricated tapered fiber probe by dynamic chemical etching technique. Three-Dimensional optical trap of a yeast cell dispersed in water solution could be formed by the fiber tip with 17deg tip. Optical forces were sufficient to move the yeast cell for trapping and manipulation. From these experimental results, it was found that our proposed tapered fiber tip was a promising tool for cell isolation.

  12. On the topography of sputtered or chemically etched crystals: surface energies minimised

    International Nuclear Information System (INIS)

    Chadderton, L.T.; Cope, J.O.

    1984-01-01

    The sputtering of single or polycrystalline metal surfaces by heavy ions gives rise to the characteristic topographical features of etch pits, ripples, and cones (pyramids). For cones and pyramids, in particular, no completely satisfactory explanation exists as to the origin of the basic geometry. Scanning electron micrographs are shown. It is proposed that for topographical features of both chemical etch and ion beam origin on single crystal surfaces, the presence of facets on cones and pyramids in particular, is due to the minimization of surface energy. (U.K.)

  13. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  14. Three-Dimensional Glass Monolithic Micro-Flexure Fabricated by Femtosecond Laser Exposure and Chemical Etching

    Directory of Open Access Journals (Sweden)

    Viktor Tielen

    2014-09-01

    Full Text Available Flexures are components of micro-mechanisms efficiently replacing classical multi-part joints found at the macroscale. So far, flexures have been limited to two-dimensional planar designs due to the lack of a suitable three-dimensional micromanufacturing process. Here we demonstrate and characterize a high-strength transparent monolithic three-dimensional flexural component fabricated out of fused silica using non-ablative femtosecond laser processing combined with chemical etching. As an illustration of the potential use of this flexure, we propose a design of a Hoecken linkage entirely made with three-dimensional cross-spring pivot hinges.

  15. Anisotropic chemical etching of semipolar {101-bar 1-bar}/{101-bar +1} ZnO crystallographic planes: polarity versus dangling bonds

    International Nuclear Information System (INIS)

    Palacios-Lidon, E; Perez-GarcIa, B; Colchero, J; Vennegues, P; Zuniga-Perez, J; Munoz-Sanjose, V

    2009-01-01

    ZnO thin films grown by metal-organic vapor phase epitaxy along the nonpolar [112-bar] direction and exhibiting semipolar {101-bar 1-bar}/{101-bar +1} facets have been chemically etched with HCl. In order to get an insight into the influence of the ZnO wurtzite structure in the chemical reactivity of the material, Kelvin probe microscopy and convergent beam electron diffraction have been employed to unambiguously determine the absolute polarity of the facets, showing that {101-bar +1} facets are unstable upon etching in an HCl solution and transform into (000+1)/{101-bar 1-bar} planes. In contrast, {101-bar 1-bar} undergo homogeneous chemical etching perpendicular to the initial crystallographic plane. The observed etching behavior has been explained in terms of surface oxygen dangling bond density, suggesting that the macroscopic polarity plays a secondary role in the etching process.

  16. Metal-assisted chemical etching of CIGS thin films for grain size analysis

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Chaowei [Research and Development Centre, Hanergy Thin Film Power Group Limited, Chengdu (China); Loi, Huu-Ha; Duong, Anh; Parker, Magdalena [Failure Analysis Department, MiaSole Hi-Tech Corp., Santa Clara, CA (United States)

    2016-09-15

    Grain size of the CIGS absorber is an important monitoring factor in the CIGS solar cell manufacturing. Electron backscatter diffraction (EBSD) analysis is commonly used to perform CIGS grain size analysis in the scanning electron microscope (SEM). Although direct quantification on SEM image using the average grain intercept (AGI) method is faster and simpler than EBSD, it is hardly applicable on CIGS thin films. The challenge is that, not like polycrystalline silicon, to define grain boundaries by selective chemical etching is not easily realizable for the multi-component CIGS alloy. In this Letter, we present direct quantification of CIGS thin film grain size using the AGI method by developing metal-assisted wet chemical etching process to define CIGS grain boundaries. The calculated value is similar to EBSD result. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    Energy Technology Data Exchange (ETDEWEB)

    Upadhyay, Janardan [Old Dominion Univ., Norfolk, VA (United States); Im, Do [Old Dominion Univ., Norfolk, VA (United States); Popovic, Svetozar [Old Dominion Univ., Norfolk, VA (United States); Valente-Feliciano, Anne -Marie [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Phillips, H. Larry [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Vuskovic, Leposova [Old Dominion Univ., Norfolk, VA (United States)

    2015-03-18

    The understanding of the Ar/Cl2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. Furthermore, to understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate.

  18. The influence of chemical etching time on efficiency of radon detection using CR-39

    Energy Technology Data Exchange (ETDEWEB)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C., E-mail: adrireway@hotmail.com, E-mail: jaquelinekappke@gmail.com, E-mail: daninarloch@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Fisica; Del Claro, Flavia; Paschuk, Sergei A., E-mail: flaviadelclaro@gmail.com, E-mail: spaschuk@gmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Programa de Pos-Graduaca em Engenharia Eletrica e Informatica Industrial; Correa, Janine N., E-mail: janine_nicolosi@hotmail.com [Universidade Tecnologica Federal do Parana (UTFPR), Curitiba, PR (Brazil). Departamento Academico de Construcao Civil

    2015-07-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of {sup 222}Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of {sup 222}Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  19. The influence of chemical etching time on efficiency of radon detection using CR-39

    International Nuclear Information System (INIS)

    Reway, Adriana P.; Kappke, Jaqueline; Narloch, Danielle C.; Del Claro, Flavia; Paschuk, Sergei A.; Correa, Janine N.

    2015-01-01

    Natural radiation is the principal source of human exposure to ionizing radiation. Radon is noble radioactive gas that emanates from the soil and rocks entering the atmosphere of dwellings where it could be accumulated. The inhalation of 222 Rn represents a significant health risk. Solid-State Nuclear Track Detectors (SSNTD) represents an efficient method for alpha particle detection and measurements of the activity concentration of 222 Rn. The aim of present work was to study the etching time impact on CR-39 efficiency in radon activity measurements. The investigation was performed using 80 CR-39 detectors, which were exposed to a source of radon. After the exposition, alpha particle tracks development was achieved by chemical etching using 6.25M NaOH solution and ethanol (2%) at 70°C. Etching alpha particle tracks were identified and counted manually using the optical microscope with magnification of 100x and glass overlay mask. The etching time ranged from 7 to 14 hours. The results show that there is an increase in the number of visible tracks with increased etching time. The number of traces obtained for 7 hours and 8 hours of revelation was 1430 +/- 90 and 2090 +/- 160, respectively. However, for etching time of 13 and 14 hours was not observed statistical increase in the number of visible tracks. The number of tracks in this situation was 3630 +/- 180 and 3870 +/- 160 to 13 and 14 hours etching. Thus, for assumed etching parameters, the etching optimal time was observed 14 hours. (author)

  20. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    Science.gov (United States)

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  1. Obtaining porous silicon suitable for sensor technology using MacEtch nonelectrolytic etching

    Directory of Open Access Journals (Sweden)

    Iatsunskyi I. R.

    2013-12-01

    Full Text Available The author suggests to use the etching method MacEtch (metal-assisted chemical etching for production of micro- and nanostructures of porous silicon. The paper presents research results on the morphology structures obtained at different parameters of deposition and etching processes. The research has shown that, depending on the parameters of deposition of silver particles and silicon wafers etching, the obtained surface morphology may be different. There may be both individual crater-like pores and developed porous or macroporous surface. These results indicate that the MacEtch etching is a promising method for obtaining micro-porous silicon nanostructures suitable for effective use in gas sensors and biological object sensors.

  2. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    Science.gov (United States)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-08-01

    Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F-) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F-, smaller azimuth angle of Fsbnd Ag(T4)sbnd Si, shorter bond length of Fsbnd Si compared with Fsbnd Ag. As F- was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF4 when it bonded with enough F- while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F- to Si.

  3. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    Science.gov (United States)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  4. Synthesis and Characterization of Chemically Etched Nanostructured Silicon

    KAUST Repository

    Mughal, Asad Jahangir

    2012-05-01

    Silicon is an essential element in today’s modern world. Nanostructured Si is a more recently studied variant, which has currently garnered much attention. When its spatial dimensions are confined below a certain limit, its optical properties change dramatically. It transforms from an indirect bandgap material that does not absorb or emit light efficiently into one which can emit visible light at room temperatures. Although much work has been conducted in understanding the properties of nanostructured Si, in particular porous Si surfaces, a clear understanding of the origin of photoluminescence has not yet been produced. Typical synthesis approaches used to produce nanostructured Si, in particular porous Si and nanocrystalline Si have involved complex preparations used at high temperatures, pressures, or currents. The purpose of this thesis is to develop an easier synthesis approach to produce nanostructured Si as well as arrive at a clearer understanding of the origin of photoluminescence in these systems. We used a simple chemical etching technique followed by sonication to produce nanostructured Si suspensions. The etching process involved producing pores on the surface of a Si substrate in a solution containing hydrofluoric acid and an oxidant. Nanocrystalline Si as well as nanoscale amorphous porous Si suspensions were successfully synthesized using this process. We probed into the phase, composition, and origin of photoluminescence in these materials, through the use of several characterization techniques. TEM and SEM were used to determine morphology and phase. FT-IR and XPS were employed to study chemical compositions, and steady state and time resolved optical spectroscopy techniques were applied to resolve their photoluminescent properties. Our work has revealed that the type of oxidant utilized during etching had a significant impact on the final product. When using nitric acid as the oxidant, we formed nanocrystalline Si suspensions composed of

  5. Sensitivity of chemically and electrochemically etched CR 39 polymers to the neutrons of AmBe source

    International Nuclear Information System (INIS)

    Turek, K.; Spurny, F.; Dajko, G.; Somogyi, G.

    1981-01-01

    Seven samples of polymers by different manufacturers were used in a study of the sensitivity of CR 39 polymers to Am-Be neutrons. In the polymer, proton tracks for a relatively broad energy range can also be recorded. The following characteristics were studied: the sample background for different etching methods, the dependence of sensitivity on the etched thickness and on neutron fluence, the effect of type and thickness of external proton emitters, and the effect of the choice of electric parameters on the resulting sensitivity in electrochemical etching. Good results were obtained when chemical and electrochemical etching was used in combination. It was found that with electrochemical etching, sensitivity decreases for neutron fluence exceeding 10 8 cm -2 . The sensitivity of the studied CR 39 polymer samples only little differed. When the most sensitive polymer was used, the minimum dose equivalent in the human body for Am-Be neutrons which could be determined using combination etching was 0.4 mSv (ie., 40 mrems). (B.S.)

  6. Fluorinion transfer in silver-assisted chemical etching for silicon nanowires arrays

    International Nuclear Information System (INIS)

    Feng, Tianyu; Xu, Youlong; Zhang, Zhengwei; Mao, Shengchun

    2015-01-01

    Graphical abstract: - Highlights: • How Ag transfers F − to the adjacent Si atom was investigated and deduced by DFT at atomic scale. • Three-electrode CV tests proved the transferring function of Ag in the etching reaction. • Uniform SiNWAs were fabricated on unpolished silicon wafers with KOH pretreatment. - Abstract: Uniform silicon nanowires arrays (SiNWAs) were fabricated on unpolished rough silicon wafers through KOH pretreatment followed by silver-assisted chemical etching (SACE). Density functional theory (DFT) calculations were used to investigate the function of silver (Ag) at atomic scale in the etching process. Among three adsorption sites of Ag atom on Si(1 0 0) surface, Ag(T4) above the fourth-layer surface Si atoms could transfer fluorinion (F − ) to adjacent Si successfully due to its stronger electrostatic attraction force between Ag(T4) and F − , smaller azimuth angle of F−Ag(T4)−Si, shorter bond length of F−Si compared with F−Ag. As F − was transferred to adjacent Si by Ag(T4) one by one, the Si got away from the wafer in the form of SiF 4 when it bonded with enough F − while Ag(T4) was still attached onto the Si wafer ready for next transfer. Cyclic voltammetry tests confirmed that Ag can improve the etching rate by transferring F − to Si

  7. Bio-inspired silicon nanospikes fabricated by metal-assisted chemical etching for antibacterial surfaces

    Science.gov (United States)

    Hu, Huan; Siu, Vince S.; Gifford, Stacey M.; Kim, Sungcheol; Lu, Minhua; Meyer, Pablo; Stolovitzky, Gustavo A.

    2017-12-01

    The recently discovered bactericidal properties of nanostructures on wings of insects such as cicadas and dragonflies have inspired the development of similar nanostructured surfaces for antibacterial applications. Since most antibacterial applications require nanostructures covering a considerable amount of area, a practical fabrication method needs to be cost-effective and scalable. However, most reported nanofabrication methods require either expensive equipment or a high temperature process, limiting cost efficiency and scalability. Here, we report a simple, fast, low-cost, and scalable antibacterial surface nanofabrication methodology. Our method is based on metal-assisted chemical etching that only requires etching a single crystal silicon substrate in a mixture of silver nitrate and hydrofluoric acid for several minutes. We experimentally studied the effects of etching time on the morphology of the silicon nanospikes and the bactericidal properties of the resulting surface. We discovered that 6 minutes of etching results in a surface containing silicon nanospikes with optimal geometry. The bactericidal properties of the silicon nanospikes were supported by bacterial plating results, fluorescence images, and scanning electron microscopy images.

  8. Optical and Electrical Performance of ZnO Films Textured by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Shiuh-Chuan HER

    2015-11-01

    Full Text Available Zinc oxide (ZnO films were prepared by radio frequency (RF magnetron sputtering on the glass substrate as transparent conductive oxide films. For silicon solar cells, a proper surface texture is essential to introduce light scattering and subsequent light trapping to enhance the current generation. In this study, the magnetron-sputtered ZnO films were textured by wet-chemical etching in diluted hydrochloric acid (HCl for better light scattering. The diffuse transmittance of the surface textured ZnO films was measured to evaluate the light scattering. The influence of hydrochloric acid concentration on the morphology, optical and electrical properties of the surface-textured ZnO film was investigated. The ZnO film etched in 0.05M HCl solution for 30 s exhibited average diffuse transmittance in the visible wavelength range of 9.52 % and good resistivity of 1.10 x 10-3 W×cm while the as-deposited ZnO film had average diffuse transmittance of 0.51 % and relatively high resistivity of 5.84 x 10-2 W×cm. Experimental results illustrated that the optical and electrical performance of ZnO films can be significantly improved by introducing the surface texture through the wet-chemical etching process.DOI: http://dx.doi.org/10.5755/j01.ms.21.4.9624

  9. WO3 and W Thermal Atomic Layer Etching Using "Conversion-Fluorination" and "Oxidation-Conversion-Fluorination" Mechanisms.

    Science.gov (United States)

    Johnson, Nicholas R; George, Steven M

    2017-10-04

    The thermal atomic layer etching (ALE) of WO 3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO 3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl 3 ) and hydrogen fluoride (HF). BCl 3 converts the WO 3 surface to a B 2 O 3 layer while forming volatile WO x Cl y products. Subsequently, HF spontaneously etches the B 2 O 3 layer producing volatile BF 3 and H 2 O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl 3 and HF reactions were self-limiting versus exposure. The WO 3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl 3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO 3 layer using O 2 /O 3 . Subsequently, the WO 3 layer is etched with BCl 3 and HF. SE could simultaneously monitor the W and WO 3 thicknesses and conversion of W to WO 3 . SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl 3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

  10. Optically transparent glass micro-actuator fabricated by femtosecond laser exposure and chemical etching

    NARCIS (Netherlands)

    Lenssen, B.L.K.; Bellouard, Y.

    2012-01-01

    Femtosecond laser manufacturing combined with chemical etching has recently emerged as a flexible platform for fabricating three-dimensional devices and integrated optical elements in glass substrates. Here, we demonstrate an optically transparent micro-actuator fabricated out of a single piece of

  11. Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries

    Energy Technology Data Exchange (ETDEWEB)

    West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2015-08-01

    This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.

  12. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  13. Minimizing Isolate Catalyst Motion in Metal-Assisted Chemical Etching for Deep Trenching of Silicon Nanohole Array.

    Science.gov (United States)

    Kong, Lingyu; Zhao, Yunshan; Dasgupta, Binayak; Ren, Yi; Hippalgaonkar, Kedar; Li, Xiuling; Chim, Wai Kin; Chiam, Sing Yang

    2017-06-21

    The instability of isolate catalysts during metal-assisted chemical etching is a major hindrance to achieve high aspect ratio structures in the vertical and directional etching of silicon (Si). In this work, we discussed and showed how isolate catalyst motion can be influenced and controlled by the semiconductor doping type and the oxidant concentration ratio. We propose that the triggering event in deviating isolate catalyst motion is brought about by unequal etch rates across the isolate catalyst. This triggering event is indirectly affected by the oxidant concentration ratio through the etching rates. While the triggering events are stochastic, the doping concentration of silicon offers a good control in minimizing isolate catalyst motion. The doping concentration affects the porosity at the etching front, and this directly affects the van der Waals (vdWs) forces between the metal catalyst and Si during etching. A reduction in the vdWs forces resulted in a lower bending torque that can prevent the straying of the isolate catalyst from its directional etching, in the event of unequal etch rates. The key understandings in isolate catalyst motion derived from this work allowed us to demonstrate the fabrication of large area and uniformly ordered sub-500 nm nanoholes array with an unprecedented high aspect ratio of ∼12.

  14. Atomic force microscopy of histological sections using a chemical etching method

    International Nuclear Information System (INIS)

    Tiribilli, B.; Bani, D.; Quercioli, F.; Ghirelli, A.; Vassalli, M.

    2005-01-01

    Physiology and pathology have a big deal on tissue morphology, and the intrinsic spatial resolution of an atomic force microscope (AFM) is able to observe ultrastructural details. In order to investigate cellular and subcellular structures in histological sections with the AFM, we used a new simple method for sample preparation, i.e. chemical etching of semithin sections from epoxy resin-embedded specimens: such treatment appears to melt the upper layers of the embedding resin; thus, removing the superficial roughness caused by the edge of the microtome knife and bringing into high relief the biological structures hidden in the bulk. Consecutive ultrathin sections embedded in epoxy resin were observed with a transmission electron microscope (TEM) to compare the different imaging properties on the same specimen sample. In this paper we report, as an example, our AFM and TEM images of two different tissue specimens, rat pancreas and skeletal muscle fibres, showing that most of the inner details are visible with the AFM. These results suggest that chemical etching of histological sections may be a simple, fast and cost-effective method for AFM imaging with ultrastructural resolution

  15. The electrochemistry of InP in Br2/HBr solutions and its relevance to etching behaviour

    NARCIS (Netherlands)

    Notten, P.H.L.; Damen, A.A.J.M.

    1987-01-01

    Etch rate-potential curves of p-InP in HBr and Br2/HBr solutions in the dark and under illumination were correlated with current-potential curves. It was found that InP is etched via a "chemical" mechanism both by HBr and Br2. In aqueous HBr solutions InP is only etched at a significant rate at

  16. Sub-micrometer-scale patterning on Zr-based metallic glass using focused ion beam irradiation and chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Kawasegi, Noritaka [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Morita, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Yamada, Shigeru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Takano, Noboru [Graduate School of Science and Engineering for Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Oyama, Tatsuo [Department of Mechanical and Intellectual Systems Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Ashida, Kiwamu [Advanced Manufacturing Research Institute, National Institute of Advanced Industrial Science and Technology, 1-2-1 Namiki, Tsukuba, Ibaraki 305-8564 (Japan); Momota, Sadao [Department of Intelligent Mechanical Systems Engineering, Kochi University of Technology, 185 Tosayamada, Kochi 782-8502 (Japan); Taniguchi, Jun [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Miyamoto, Iwao [Department of Applied Electronics, Tokyo University of Science, 2641 Yamazaki, Noda, Chiba 278-8510 (Japan); Ofune, Hitoshi [YKK Corporation, 200 Yoshida, Kurobe, Toyama 938-8601 (Japan)

    2007-09-19

    This report describes a method of sub-micrometer-scale rapid patterning on a Zr-based metallic glass surface using a combination of focused ion beam irradiation and wet chemical etching. We found that a Zr-based metallic glass surface irradiated with Ga{sup +} ions could be selectively etched; a concave structure with a width and depth of several tens to hundreds of nanometers rapidly formed in the irradiated area. Moreover, we determined that the etching was enhanced by the presence of Ga{sup +} ions rather than a change in the crystal structure, and the structure could be fabricated while the substrate remained amorphous. The shape of the structure was principally a function of the dose and the etch time.

  17. Effects of high neutron doses and duration of the chemical etching on the optical properties of CR-39

    International Nuclear Information System (INIS)

    Sahoo, G.S.; Tripathy, S.P.; Paul, S.; Sharma, S.C.; Joshi, D.S.; Gupta, A.K.; Bandyopadhyay, T.

    2015-01-01

    Effects of the duration of chemical etching on the transmittance, absorbance and optical band gap width of the CR-39 (Polyallyl diglycol carbonate) detectors irradiated to high neutron doses (12.7, 22.1, 36.0 and 43.5 Sv) were studied. The neutrons were produced by bombardment of a thick Be target with 12 MeV protons of different fluences. The unirradiated and neutron-irradiated CR-39 detectors were subjected to a stepwise chemical etching at 1 h intervals. After each step, the transmission spectra of the detectors were recorded in the range from 200 to 900 nm, and the absorbances and optical band gap widths were determined. The effect of the etching on the light transmittance of unirradiated detectors was insignificant, whereas it was very significant in the case of the irradiated detectors. The dependence of the optical absorbance on the neutron dose is linear at short etching periods, but exponential at longer ones. The optical band gap narrows with increasing etching time. It is more significant for the irradiated dosimeters than for the unirradiated ones. The rate of the narrowing of the optical band gap with increasing neutron dose increases with increasing duration of the etching. - Highlights: • The variation of optical properties of CR-39 at very high neutron dose is analyzed. Etching process is found to play a crucial role for change in optical properties of neutron-irradiated CR-39. • The optical absorbance varies linearly at lower dose, at very high dose absorbance saturation occurs. The dose at which saturation absorbance is observed shifts towards lower neutron dose with increase in etching time. • The rate of decrease in optical band gap with respect to neutron dose is found to be more at higher etching durations

  18. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching.

    Science.gov (United States)

    Nazarov, Denis V; Zemtsova, Elena G; Solokhin, Alexandr Yu; Valiev, Ruslan Z; Smirnov, Vladimir M

    2017-01-13

    In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions) and the etching time on the morphology and surface relief of ultrafine grained (UFG) and coarse grained (CG) titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM), atomic force microscopy (AFM), and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF) and X-ray Photoelectron Spectroscopy (XPS). Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  19. Facile fabrication of superhydrophobic surfaces from austenitic stainless steel (AISI 304) by chemical etching

    Science.gov (United States)

    Kim, Jae-Hun; Mirzaei, Ali; Kim, Hyoun Woo; Kim, Sang Sub

    2018-05-01

    Stainless steels are among the most common engineering materials and are used extensively in humid areas. Therefore, it is important that these materials must be robust to humidity and corrosion. This paper reports the fabrication of superhydrophobic surfaces from austenitic stainless steel (type AISI 304) using a facile two-step chemical etching method. In the first step, the stainless steel plates were etched in a HF solution, followed by a fluorination process, where they showed a water contact angle (WCA) of 166° and a sliding angle of 5° under the optimal conditions. To further enhance the superhydrophobicity, in the second step, they were dipped in a 0.1 wt.% NaCl solution at 100 °C, where the WCA was increased to 168° and the sliding angle was decreased to ∼2°. The long-term durability of the fabricated superhydrophobic samples for 1 month storage in air and water was investigated. The potential applicability of the fabricated samples was demonstrated by the excellent superhydrophobicity after 1 month. In addition, the self-cleaning properties of the fabricated superhydrophobic surface were also demonstrated. This paper outlines a facile, low-cost and scalable chemical etching method that can be adopted easily for large-scale purposes.

  20. Characteristics of neutron-irradiated CR-39 foils treated by sequential chemical and electrochemical etching

    International Nuclear Information System (INIS)

    Somogyi, G.; Dajko, G.; Turek, K.; Spurny, F.

    1982-01-01

    The density of background spots revealed by chemical (CE) and electrochemical (ECE) etching and by their sequential application (CE + ECE) has been measured in several sorts of CR-39 material. The trends in the variation of sensitivity to Am-Be neutrons have been determined in CR-39 sheets covered by thick proton-radiator, when changing the field strength, frequency, etchant concentration, pre-etch duration and the fluence of neutrons. The results are analyzed in order to find out an optimum set of experimental parameters which may be proposed to attain high registration sensitivity to neutrons. (author)

  1. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  2. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  3. Fabrication mechanism of friction-induced selective etching on Si(100) surface.

    Science.gov (United States)

    Guo, Jian; Song, Chenfei; Li, Xiaoying; Yu, Bingjun; Dong, Hanshan; Qian, Linmao; Zhou, Zhongrong

    2012-02-23

    As a maskless nanofabrication technique, friction-induced selective etching can easily produce nanopatterns on a Si(100) surface. Experimental results indicated that the height of the nanopatterns increased with the KOH etching time, while their width increased with the scratching load. It has also found that a contact pressure of 6.3 GPa is enough to fabricate a mask layer on the Si(100) surface. To understand the mechanism involved, the cross-sectional microstructure of a scratched area was examined, and the mask ability of the tip-disturbed silicon layer was studied. Transmission electron microscope observation and scanning Auger nanoprobe analysis suggested that the scratched area was covered by a thin superficial oxidation layer followed by a thick distorted (amorphous and deformed) layer in the subsurface. After the surface oxidation layer was removed by HF etching, the residual amorphous and deformed silicon layer on the scratched area can still serve as an etching mask in KOH solution. The results may help to develop a low-destructive, low-cost, and flexible nanofabrication technique suitable for machining of micro-mold and prototype fabrication in micro-systems.

  4. Fabrication and Optical Characterization of Silicon Nanostructure Arrays by Laser Interference Lithography and Metal-Assisted Chemical Etching

    Directory of Open Access Journals (Sweden)

    P. Heydari

    2014-10-01

    Full Text Available In this paper metal-assisted chemical etching has been applied to pattern porous silicon regions and silicon nanohole arrays in submicron period simply by using positive photoresist as a mask layer. In order to define silicon nanostructures, Metal-assisted chemical etching (MaCE was carried out with silver catalyst. Provided solution (or materiel in combination with laser interference lithography (LIL fabricated different reproducible pillars, holes and rhomboidal structures. As a result, Submicron patterning of porous areas and nanohole arrays on Si substrate with a minimum feature size of 600nm was achieved. Measured reflection spectra of the samples present different optical characteristics which is dependent on the shape, thickness of metal catalyst and periodicity of the structure. These structures can be designed to reach a photonic bandgap in special range or antireflection layer in energy harvesting applications. The resulted reflection spectra of applied method are comparable to conventional expensive and complicated dry etching techniques.

  5. Modification of the Surface Topography and Composition of Ultrafine and Coarse Grained Titanium by Chemical Etching

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2017-01-01

    Full Text Available In this study, we present the detailed investigation of the influence of the etching medium (acidic or basic Piranha solutions and the etching time on the morphology and surface relief of ultrafine grained (UFG and coarse grained (CG titanium. The surface relief and morphology have been studied by means of scanning electron microscopy (SEM, atomic force microscopy (AFM, and the spectral ellipsometry. The composition of the samples has been determined by X-ray fluorescence analysis (XRF and X-ray Photoelectron Spectroscopy (XPS. Significant difference in the etching behavior of UFG and CG titanium has been found. UFG titanium exhibits higher etching activity independently of the etching medium. Formed structures possess higher homogeneity. The variation of the etching medium and time leads to micro-, nano-, or hierarchical micro/nanostructures on the surface. Significant difference has been found between surface composition for UFG titanium etched in basic and acidic Piranha solution. Based on the experimental data, the possible reasons and mechanisms are considered for the formation of nano- and microstructures. The prospects of etched UFG titanium as the material for implants are discussed.

  6. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  7. Characterisation of anisotropic etching in KOH using network etch rate function model: influence of an applied potential in terms of microscopic properties

    International Nuclear Information System (INIS)

    Nguyen, Q D; Elwenspoek, M

    2006-01-01

    Using the network etch rate function model, the anisotropic etch rate of p-type single crystal silicon was characterised in terms of microscopic properties including step velocity, step and terrace roughening. The anisotropic etch rate data needed have been obtained using a combination of 2 wagon wheel patterns on different substrate and 1 offset trench pattern. Using this procedure the influence of an applied potential has been investigated in terms of microscopic properties. Model parameter trends show a good correlation with chemical/electrochemical reaction mechanism and mono- and dihydride terminated steps reactivity difference. Results also indicate a minimum in (111) terrace roughening which results in a peak in anisotropic ratio at the non-OCP applied potential of -1250 mV vs OCP

  8. High-performance macroporous bulk silicon anodes synthesized by template-free chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Bang, Byoung Man; Lee, Jung-In; Kim, Hyunjung; Cho, Jaephil; Park, Soojin [Interdisciplinary School of Green Energy, Ulsan National Institute of Science and Technology (UNIST), Ulsan (Korea, Republic of)

    2012-07-15

    Three-dimensional porous silicon particles can be produced via the combination of a galvanic displacement reaction and a metal-assisted chemical etching process. This simple synthetic route can be applied to make high-performance anode materials, including high specific capacity, stable cycling retention, and high rate capability, in lithium-ion batteries. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  9. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Energy Technology Data Exchange (ETDEWEB)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong, E-mail: xdwang@semi.ac.cn; Ji, An; Yang, Fuhua [Engineering Research Center for Semiconductor Integrated Technology, Institute of Semiconductors, Chinese Academy of Sciences, Beijing, 100083 (China)

    2014-03-15

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  10. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Science.gov (United States)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  11. Self-assembled monolayer resists and nanoscale lithography of silicon dioxide thin films by chemically enhanced vapor etching (CEVE)

    Science.gov (United States)

    Pan, M.; Yun, M.; Kozicki, M. N.; Whidden, T. K.

    1996-10-01

    We report on the use of electron-beam exposed monolayers of undecylenic acid in the etch rate enhancement of silicon dioxide films in HF vapor for the formation of nanoscale features in the oxide. Variations of the etching characteristics with electron beam parameters are examined and the results analyzed in terms of proposed models of the etching mechanism. Apparent variations in the relative concentrations of etch initiator with the thermal history of the samples prior to etching provides support for the dominant etch initiator within this system as the carboxylic acid moiety bound at the oxide surface. Other variations in the etching characteristics are discussed in terms of differences in localized concentrations of hydrocarbon crosslinks and the effect that this has upon the etch initiation. The process has been employed in the production of features in silicon dioxide surface masks with sizes down to 50 nm.

  12. A study of the mechanisms causing surface defects on sidewalls during Si etching for TSV (through Si via)

    International Nuclear Information System (INIS)

    Choi, Jae Woong; Loh, Woon Leng; Praveen, Sampath Kumar; Murphy, Ramana; Swee, Eugene Tan Kiat

    2013-01-01

    In this paper we report three mechanisms causing surface defects on Si sidewalls during Si etching for TSV. The first mechanism causing surface defects was a downward surface-defect formation due to the participation of the residual polymerizing gas in the transition periods between passivation steps and etch steps. The second mechanism was an upward surface-defect formation due to etchant attacking the interface between the Si and the sidewall polymer. Although the sidewall polymer was thick enough to protect the Si surface, it was not possible to avoid surface defects if the etch step was not switched to the following passivation step in time. The third mechanism was a sponge-like surface-defect formation caused by either poor polymer depositions or voids inside the sidewall polymer. The sponge-like surface defects were formed by Si isotropic etching through the weak points of the sidewall polymer. All three surface defects were considered as the major factors on TSV integration and packaging reliability issues. (paper)

  13. Etching in microsystem technology

    CERN Document Server

    Kohler, Michael

    2008-01-01

    Microcomponents and microdevices are increasingly finding application in everyday life. The specific functions of all modern microdevices depend strongly on the selection and combination of the materials used in their construction, i.e., the chemical and physical solid-state properties of these materials, and their treatment. The precise patterning of various materials, which is normally performed by lithographic etching processes, is a prerequisite for the fabrication of microdevices.The microtechnical etching of functional patterns is a multidisciplinary area, the basis for the etching p

  14. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  15. 3D electrostatic actuator fabricated by non-ablative femtosecond laser exposure and chemical etching

    Directory of Open Access Journals (Sweden)

    Yang Tao

    2015-01-01

    Full Text Available We demonstrate the novel design of an electrostatic micro-actuator based on monolithic three-dimensional (3D shapes fabricated by non-ablative femtosecond laser exposure combined with chemical etching. Further, we present a single-scan stacking approach exploited in the fabrication of the 3D actuator to create crack-free, highcontrast, high fidelity and integrated micro-structures. Influential parameters: energy per pulse, polarization, scanning spacing and stacking directionwere systematically studied to predict and control the etching rate of 3D planes.Finally, we report the characterization of the actuator and its potential application in optomechanics to show a complete scenario of femtosecond laser machined integrated 3D micro-systems incorporating multiple functionalities.

  16. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    Directory of Open Access Journals (Sweden)

    Yangyang Qi

    2014-02-01

    Full Text Available The electron transport characteristics of silicon nanowires (SiNWs fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  17. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  18. Kinetics and mechanism of the formation and etching of particle tracks in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Lueck, H.B.

    1982-05-01

    The physical and chemical processes initiated by a particle passing through a polymer are reviewed. Particular attention is devoted to the processes in PETP. The influence of the material parameters and environmental effects on the subsequent reactions in PETP is discussed. Models of the mechanism and kinetics of the alkaline degradation on the surface and in the etch channel are presented. The character and the effect of the relevant species has been taken into consideration. The mechanism of the photo-oxidative sensitivity enhancement is discussed. The models mentioned above are taken as a basis to interpret the empirical response function. It is shown, that the response function can be applied to bulk-irradiated polymers as well. Treeing in electrically stressed particle tracks assisted by an etchant can be attributed to the electrostatic pressure. However, the differences in the behaviour of the structures give evidence, that the formation of craze structures and bubbles in the presence of a nonetching electrolyte is the result of the electroosmotic pressure. (author)

  19. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film

    International Nuclear Information System (INIS)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-01-01

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices’ applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H 2 O 2 /HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing. (paper)

  20. Composite silicon nanostructure arrays fabricated on optical fibre by chemical etching of multicrystal silicon film.

    Science.gov (United States)

    Zuo, Zewen; Zhu, Kai; Ning, Lixin; Cui, Guanglei; Qu, Jun; Huang, Wanxia; Shi, Yi; Liu, Hong

    2015-04-17

    Integrating nanostructures onto optical fibers presents a promising strategy for developing new-fashioned devices and extending the scope of nanodevices' applications. Here we report the first fabrication of a composite silicon nanostructure on an optical fiber. Through direct chemical etching using an H2O2/HF solution, multicrystal silicon films with columnar microstructures are etched into a vertically aligned, inverted-cone-like nanorod array embedded in a nanocone array. A faster dissolution rate of the silicon at the void-rich boundary regions between the columns is found to be responsible for the separation of the columns, and thus the formation of the nanostructure array. The morphology of the nanorods primarily depends on the microstructure of the columns in the film. Through controlling the microstructure of the as-grown film and the etching parameters, the structural control of the nanostructure is promising. This fabrication method can be extended to a larger length scale, and it even allows roll-to-roll processing.

  1. Simple method to transfer graphene from metallic catalytic substrates to flexible surfaces without chemical etching

    International Nuclear Information System (INIS)

    Ko, P J; Takahashi, H; Sakai, H; Thu, T V; Okada, H; Sandhu, A; Koide, S

    2013-01-01

    Graphene shows promise for applications in flexible electronics. Here, we describe our procedure to transfer graphene grown on copper substrates by chemical vapor deposition to polydimethylsiloxane (PDMS) and SiO 2 /Si surfaces. The transfer of graphene was achieved by a simple, etching-free method onto flexible PDMS substrates.

  2. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  3. UV-assisted selective chemical etching of relief gratings in Er/Yb-codoped IOG1 phosphate glass

    Energy Technology Data Exchange (ETDEWEB)

    Pappas, C; Pissadakis, S [Foundation for Research and Technology-Hellas, Institute of Electronic Structure and Laser, Vasilika Vouton, PO Box 1527, Heraklion 71 110, GREECE (Greece)

    2007-04-15

    The patterning of sub-micron periodicity Bragg reflectors in Er/Yb-codoped IOG1, phosphate glass is demonstrated. A high yield patterning technique is presented, wherein high volume damage is induced into the glass matrix by exposure to intense UV radiation, and subsequently a chemical development in a strong acid selectively etches the exposed areas. The grating reflectors were fabricated by employing an elliptical Talbot interferometer and the output of a 213nm, 150ps frequency quintupled Nd:YAG laser. The grating depth of the etched relief pattern in time was measured at fixed time intervals and the dependence is presented in upon the etching time and exposure conditions. The gratings fabricated are examined by atomic and scanning electron microscopy for revealing the topology of the relief structure. Gratings with period of the order of 500nm were fabricated, having a maximum depth of 60nm.

  4. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  5. Chemically etched sharpened tip of transparent crystallized glass fibers with nonlinear optical Ba2TiSi2O8 nanocrystals

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Komatsu, Takayuki; Fujiwara, Takumi

    2007-01-01

    Glass fibers with a diameter of ∼100 μm are drawn by just pulling up melts of 40BaO·20TiO 2 ·40SiO 2 glass, and transparent crystallized glass fibers consisting of nonlinear optical fresnoite Ba 2 TiSi 2 O 8 nanocrystals (particle size: ∼100-200 nm) are fabricated by crystallization of glass fibers. Precursor glass fibers and nanocrystallized glass fibers are etched chemically using a meniscus method, in which an etching solution of 0.1wt%-HF/hexane is used. Glass fibers with sharpened tips (e.g., the taper length is ∼L=200 μm and the tip angle is ∼θ=23deg) are obtained. It is found that etched nanocrystallized glass fibers also have sharpened tips (L=50 μm, θ=80deg). Compared with precursor glass fibers, nanocrystallized glass fibers show a high resistance against chemical etching in a 0.1 wt%HF solution. Although sharpened tips in nanocrystallized glass fibers do not have nanoscaled apertures, the present study suggests that nanocrystallized glass fibers showing second harmonic generations would have a potential for fiber-type light control optical devices. (author)

  6. Evaluation of different polymers for fast neutron personnel dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Gammage, R.B.; Cotter, S.J.

    1977-01-01

    There is considerable optimism for the enhancement by electrochemical etching of fast neutron-induced recoil tracks in polycarbonate for the purpose of personnel dosimetry. The threshold energy, however, is rather high. A desirable improvement would be to lower this energy below 1 MeV. With this objective in mind, we have commenced an investigation of cellulose acetate, triacetate, and acetobutyrate in addition to polycarbonate. These cellulose derivatives are chemically more reactive and physically weaker than polycarbonate. It might, therefore, be possible to initiate the electrochemical amplification at the sites of shorter recoil atom damage tracks than is possible with polycarbonate. Some characteristics important for electrochemically etching in aqueous electrolytes are listed. Chemical etching is combined with treeing, an electrical breakdown process that starts when the dielectric strength is exceeded. These mechanical and electrical properties pertain to the dry plastics. The absorption of water molecules and electrolyte ions will cause these values to be reduced. Results and conclusions of the study are presented

  7. Study on the Effects of Corrosion Inhibitor According to the Functional Groups for Cu Chemical Mechanical Polishing in Neutral Environment

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sang Won; Kim, Jae Jeong [Institute of Chemical Process, Seoul National University, Seoul (Korea, Republic of)

    2015-08-15

    As the aluminum (Al) metallization process was replaced with copper (Cu), the damascene process was introduced, which required the planarization step to eliminate over-deposited Cu with Chemical Mechanical Polishing (CMP) process. In this study, the verification of the corrosion inhibitors, one of the Cu CMP slurry components, was conducted to find out the tendency regarding the carboxyl and amino functional group in neutral environment. Through the results of etch rate, removal rate, and chemical ability of corrosion inhibitors based on 1H-1,2,4-triazole as the base corrosion inhibitor, while the amine functional group presents high Cu etching ability, carboxyl functional group shows lower Cu etching ability than base-corrosion inhibitor which means that it increases passivation effect by making strong passivation layer. It implies that the corrosion inhibitor with amine functional group was proper to apply for 1st Cu CMP slurry owing to the high etch rate and with carboxyl functional group was favorable for the 2nd Cu CMP slurry due to the high Cu removal rate/dissolution rate ratio.

  8. Current problems in chemical track etching

    International Nuclear Information System (INIS)

    Somogyi, G.

    1984-01-01

    A schematic survey is given on the current relevant problems of the etching (or revelation) of multi-track and single-track events in dielectric solids. Some aspects of the research trends and possible new applications of the effects observable here, are also considered. (author)

  9. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal; Yang, Yang; Ng, Tien Khee; Dursun, Ibrahim; Shi, Dong; Saidaminov, Makhsud I.; Priante, Davide; Bakr, Osman; Ooi, Boon S.

    2015-01-01

    is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability

  10. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Chong, E.Y.W.; Roy, V.A.L.; Cheung, K.M.C.; Yeung, K.W.K.; Yu, K.N.

    2012-01-01

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: ► We proposed a simple method to fabricate micropillar substrates. ► Polyallyldiglycol carbonate films were irradiated and etched to form casts. ► Polydimethylsiloxane replica then formed the micropillar substrates. ► Attachment and proliferation of HeLa cells were enhanced on these substrates.

  11. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  12. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  13. Acid-catalyzed kinetics of indium tin oxide etching

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jae-Hyeok; Kim, Seong-Oh; Hilton, Diana L. [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); Cho, Nam-Joon, E-mail: njcho@ntu.edu.sg [School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Centre for Biomimetic Sensor Science, Nanyang Technological University, 50 Nanyang Drive, 637553 (Singapore); School of Chemical and Biomedical Engineering, Nanyang Technological University, 62 Nanyang Drive, 637459 (Singapore)

    2014-08-28

    We report the kinetic characterization of indium tin oxide (ITO) film etching by chemical treatment in acidic and basic electrolytes. It was observed that film etching increased under more acidic conditions, whereas basic conditions led to minimal etching on the time scale of the experiments. Quartz crystal microbalance was employed in order to track the reaction kinetics as a function of the concentration of hydrochloric acid and accordingly solution pH. Contact angle measurements and atomic force microscopy experiments determined that acid treatment increases surface hydrophilicity and porosity. X-ray photoelectron spectroscopy experiments identified that film etching is primarily caused by dissolution of indium species. A kinetic model was developed to explain the acid-catalyzed dissolution of ITO surfaces, and showed a logarithmic relationship between the rate of dissolution and the concentration of undisassociated hydrochloric acid molecules. Taken together, the findings presented in this work verify the acid-catalyzed kinetics of ITO film dissolution by chemical treatment, and support that the corresponding chemical reactions should be accounted for in ITO film processing applications. - Highlights: • Acidic conditions promoted indium tin oxide (ITO) film etching via dissolution. • Logarithm of the dissolution rate depended linearly on the solution pH. • Acid treatment increased ITO surface hydrophilicity and porosity. • ITO film etching led to preferential dissolution of indium species over tin species.

  14. Studies of biocompatibility of chemically etched CR-39 SSNTDs in view of their applications in alpha-particle radiobiological experiments

    International Nuclear Information System (INIS)

    Li, W.Y.; Chan, K.F.; Tse, A.K.W.; Fong, W.F.; Yu, K.N.

    2006-01-01

    Alpha-particle radiobiological experiments involve irradiating cells with alpha particles and require thin biocompatible materials which can record alpha-particle traversals as substrates for cell cultures. The biocompatibilities of chemically etched CR-39 solid-state nuclear track detectors (SSNTDs) using aqueous NaOH or NaOH/ehtanol are studied through the abundance and morphology of the cultured HeLa cells. The wetting properties of these etched CR-39 SSNTDs are also studied. The moderately hydrophobic CR-39 SSNTDs as well as the hydrophobic NaOH/ethanol-etched CR-39 SSNTDs are more biocompatible than the hydrophilic aqueous-NaOH-etched SSNTDs. Too small water contact angles, too large surface energy (γ s ) or the polar component γ s p do not favor the cell culture. On the other hand, the dispersive component γ s d of the surface energy and the ratio γ s p /γ s d do not seem to significantly affect the biocompatibility

  15. Oxygen etching mechanism in carbon-nitrogen (CNx) domelike nanostructures

    International Nuclear Information System (INIS)

    Acuna, J. J. S.; Figueroa, C. A.; Kleinke, M. U.; Alvarez, F.; Biggemann, D.

    2008-01-01

    We report a comprehensive study involving the ion beam oxygen etching purification mechanism of domelike carbon nanostructures containing nitrogen. The CN x nanodomes were prepared on Si substrate containing nanometric nickel islands catalyzed by ion beam sputtering of a carbon target and assisting the deposition by a second nitrogen ion gun. After preparation, the samples were irradiated in situ by a low energy ion beam oxygen source and its effects on the nanostructures were studied by x-ray photoelectron spectroscopy in an attached ultrahigh vacuum chamber, i.e., without atmospheric contamination. The influence of the etching process on the morphology of the samples and structures was studied by atomic force microscopy and field emission gun-secondary electron microscopy, respectively. Also, the nanodomes were observed by high resolution transmission electron microscopy. The oxygen atoms preferentially bond to carbon atoms by forming terminal carbonyl groups in the most reactive parts of the nanostructures. After the irradiation, the remaining nanostructures are grouped around two well-defined size distributions. Subsequent annealing eliminates volatile oxygen compounds retained at the surface. The oxygen ions mainly react with nitrogen atoms located in pyridinelike structures

  16. Surfactant-enhanced control of track-etch pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Blonskaya, I.V.; Didyk, A.Yu.; Dmitriev, S.N.; Orelovich, O.L.; Samojlova, L.I.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    The influence of surfactants on the process of chemical development of ion tracks in polymers is studied. Based on the experimental data, a mechanism of the surfactant effect on the track-etch pore morphology is proposed. In the beginning of etching the surfactant is adsorbed on the surface and creates a layer that is quasi-solid and partially protects the surface from the etching agent. However, some etchant molecules diffuse through the barrier and react with the polymer surface. This results in the formation of a small hole at the entrance to the ion track. After the hole has attained a few annometers in diameter, the surfactant molecules penetrate into the track and cover its walls. Further diffusion of the surfactant into the growing pore is hindered. The adsorbed surfactant layer is not permeable for large molecules. In contrast, small alkali molecules and water molecules diffuse into the track and provide the etching process enlarging the pore. At this stage the transport of the surfactant into the pore channel can proceed only due to the lateral diffusion in the adsorbed layer. The volume inside the pore is free of surfactant molecules and grows at a higher rate than pore entrance. After a more prolonged etching the bottle-like (or 'cigar-like') pore channels are formed. The bottle-like shape of the pore channels depends on the etching conditions such as alkali and surfactant concentration, temperature, and type of the surfactant. The use of surfactants enables one to produce track-etch membranes with improved flow rate characteristics compared with those having cylindrical pores with the same nominal pore diameters

  17. Understanding and controlling the step bunching instability in aqueous silicon etching

    Science.gov (United States)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  18. Fabrication of micropillar substrates using replicas of alpha-particle irradiated and chemically etched PADC films

    Energy Technology Data Exchange (ETDEWEB)

    Ng, C.K.M. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Chong, E.Y.W. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Roy, V.A.L. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong); Cheung, K.M.C.; Yeung, K.W.K. [Department of Orthopaedics and Traumatology, University of Hong Kong (Hong Kong); Yu, K.N., E-mail: appetery@cityu.edu.hk [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon Tong (Hong Kong)

    2012-07-15

    We proposed a simple method to fabricate micropillar substrates. Polyallyldiglycol carbonate (PADC) films were irradiated by alpha particles and then chemically etched to form a cast with micron-scale spherical pores. A polydimethylsiloxane (PDMS) replica of this PADC film gave a micropillar substrate with micron-scale spherical pillars. HeLa cells cultured on such a micropillar substrate had significantly larger percentage of cells entering S-phase, attached cell numbers and cell spreading areas. - Highlights: Black-Right-Pointing-Pointer We proposed a simple method to fabricate micropillar substrates. Black-Right-Pointing-Pointer Polyallyldiglycol carbonate films were irradiated and etched to form casts. Black-Right-Pointing-Pointer Polydimethylsiloxane replica then formed the micropillar substrates. Black-Right-Pointing-Pointer Attachment and proliferation of HeLa cells were enhanced on these substrates.

  19. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    Science.gov (United States)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  20. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    International Nuclear Information System (INIS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min −1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ. (paper)

  1. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  2. Synthesis of nanocrystals in KNb(Ge,Si)O5 glasses and chemical etching of nanocrystallized glass fibers

    International Nuclear Information System (INIS)

    Enomoto, Itaru; Benino, Yasuhiko; Fujiwara, Takumi; Komatsu, Takayuki

    2006-01-01

    The nanocrystallization behavior of 25K 2 O-25Nb 2 O 5 -(50-x)GeO 2 -xSiO 2 glasses with x=0,25,and50 (i.e., KNb(Ge,Si)O 5 glasses) and the chemical etching behavior of transparent nanocrystallized glass fibers have been examined. All glasses show nanocrystallization, and the degree of transparency of the glasses studied depends on the heat treatment temperature. Transparent nanocrystallized glasses can be obtained if the glasses are heat treated at the first crystallization peak temperature. Transparent nanocrystallized glass fibers with a diameter of about 100μm in 25K 2 O-25Nb 2 O 5 -50GeO 2 are fabricated, and fibers with sharpened tips (e.g., the taper length is about 450μm and the tip angle is about 12 o ) are obtained using a meniscus chemical etching method, in which etching solutions of 10wt%-HF/hexane and 10M-NaOH/hexane are used. Although the tip (aperture size) has not a nanoscaled size, the present study suggests that KNb(Ge,Si)O 5 nanocrystallized glass fibers have a potential for new near-field optical fiber probes with high refractive indices of around n=1.8 and high dielectric constants of around ε=58 (1kHz, room temperature)

  3. STUDYING THE STRUCTURAL, OPTICAL, CHEMICAL AND ELECTROCHEMICAL ETCHING CHANGES OF CR-39 FOR DOSEMETRIC APPLICATIONS.

    Science.gov (United States)

    Zaki, M F; Elshaer, Y H; Taha, Doaa H

    2017-12-01

    The present work shows the induced modification of the structural, optical, chemical etching and electrochemical etching parameters of CR-39 irradiated with alpha-particles. CR-39 polymer track detectors were irradiated with different fluences (1.62 × 106, 2.72 × 106, 3.82 × 106 and 5.21 × 106 particles/cm2) of alpha-particles using 241Am source. The structural and optical properties were measured by FT-IR spectroscopy, X-ray diffraction and UV/Vis spectroscopy, respectively. The FT-IR spectra reveal that no major changes in the typical functional groups of irradiated polymer detectors. The X-ray diffraction patterns show that a broad band in the region of 12° 27°, which refers to the presence of the combination of amorphous and crystalline phases. UV/Vis responses of irradiated polymer track detectors exhibit a single absorption band in the range of 254-352 nm that is correlated to the occurrence of electronic transition. Also, the changes in the chemical and electrochemical parameters due to alpha-irradiation are examined and thoroughly discussed. © The Author 2017. Published by Oxford University Press. All rights reserved. For Permissions, please email: journals.permissions@oup.com.

  4. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin

    2016-05-20

    A wet-chemical surface texturing technique, including a two-step metal-catalyzed chemical etching (MCCE) and an extra alkaline treatment, has been proven as an efficient way to fabricate high-efficiency black multicrystalline (mc) silicon solar cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically smooth surfaces could be directly achieved by improved one-step MCCE and with no posttreatment, like alkali solution. The doublet surface texture of implementing this nanobowl structure upon the industrialized acidic-textured surface showed concurrent improvement in optical and electrical properties for realizing 18.23% efficiency mc-Si solar cells (156 mm × 156 mm), which is sufficiently higher than 17.7% of the solely acidic-textured cells in the same batch. The one-step MCCE method demonstrated in this study may provide a cost-effective way to manufacture high-performance mc-Si solar cells for the present photovoltaic industry. © 2016 IEEE.

  5. Nanorods on surface of GaN-based thin-film LEDs deposited by post-annealing after photo-assisted chemical etching

    Science.gov (United States)

    Chen, Lung-Chien; Lin, Wun-Wei; Liu, Te-Yu

    2017-01-01

    This study investigates the optoelectronic characteristics of gallium nitride (GaN)-based thin-film light-emitting diodes (TF-LEDs) that are formed by a two-step transfer process that involves wet etching and post-annealing. In the two-step transfer process, GaN LEDs were stripped from sapphire substrates by the laser lift-off (LLO) method using a KrF laser and then transferred onto ceramic substrates. Ga-K nanorods were formed on the surface of the GaN-based TF-LEDs following photo-assisted chemical etching and photo-enhanced post-annealing at 100 °C for 1 min. As a result, the light output power of GaN-based TF-LEDs with wet etching and post-annealing was over 72% more than that of LEDs that did not undergo these treatments.

  6. Fabrication and Photovoltaic Characteristics of Coaxial Silicon Nanowire Solar Cells Prepared by Wet Chemical Etching

    Directory of Open Access Journals (Sweden)

    Chien-Wei Liu

    2012-01-01

    Full Text Available Nanostructured solar cells with coaxial p-n junction structures have strong potential to enhance the performances of the silicon-based solar cells. This study demonstrates a radial junction silicon nanowire (RJSNW solar cell that was fabricated simply and at low cost using wet chemical etching. Experimental results reveal that the reflectance of the silicon nanowires (SNWs declines as their length increases. The excellent light trapping was mainly associated with high aspect ratio of the SNW arrays. A conversion efficiency of ∼7.1% and an external quantum efficiency of ∼64.6% at 700 nm were demonstrated. Control of etching time and diffusion conditions holds great promise for the development of future RJSNW solar cells. Improving the electrode/RJSNW contact will promote the collection of carries in coaxial core-shell SNW array solar cells.

  7. Chemical Etching, AFM, Laser Damage Threshold, and Nonlinear Optical Studies of Potential Nonlinear Optical Crystal: Bis (L-Glutamine Potassium Nitrate

    Directory of Open Access Journals (Sweden)

    Redrothu Hanumantharao

    2013-01-01

    Full Text Available A novel semiorganic nonlinear optical crystal bis (L-glutamine potassium nitrate (BGPN grown by slow evaporation technique at ambient temperature. The grown crystal surface has been analyzed by chemical etching and atomic force microscopy (AFM studies. Amplitude parameters like area roughness, roughness average, valley height, valley depth, peak height, and peak valley height were measured successfully from AFM studies. Etching studies were carried out by various solvents like water, methanol and ethanol. The etching study indicates the occurrence of different types of etch pit patterns like striations and steplike pattern. The laser damage threshold energy has been measured by irradiating laser beam using a Q-switched Nd: YAG laser (1064 nm. Second harmonic generation (SHG studies have been performed by famous Kurtz powder technique with reference to standard potassium dihydrogen phosphate single crystals (KDP. It is found from this technique that SHG efficiency of BGPN is in comparison to that of standard KDP crystals.

  8. Nuclear track evolution by capillary condensation during etching in SSNT detectors

    International Nuclear Information System (INIS)

    Martín-Landrove, R.; Sajo-Bohus, L.; Palacios, D.

    2013-01-01

    The microscopic process taking place during chemical etching is described in terms of a dynamic framework governed by capillary condensation. The aim is to obtain physical information on how the cone shaped tracks with curved walls evolve during chemical etching under a close examination of first principles. The results obtained with the proposed theory are compared with published values to establish their range of validity. - Highlights: ► Capillary condensation seems to play a role at early etched track evolution. ► The etched track shape and the first principles behind it are easily related. ► In spite of its simplicity, theory was able to pass stringent experimental tests. ► Theory results have a simple analytical form which includes etch induction time

  9. Chemical etching of a GaSb crystal incorporated with Mn grown by the Bridgman method under microgravity conditions

    International Nuclear Information System (INIS)

    Chen Xiaofeng; Chen Nuofu; Wu Jinliang; Zhang Xiulan; Chai Chunlin; Yu Yude

    2009-01-01

    A GaSb crystal incorporated with Mn has been grown by the Bridgman method on the Polizon facility onboard the FOTON-M3 spacecraft. Structural defects and growth striations have been successfully revealed by the chemical etching method. By calculating various parameters of the convection, the striation patterns can be explained, and the critical value of the Taylor number, which characterizes the convective condition of the rotating magnetic field induced azimuthal flow, was shown. The stresses generated during crystal growth can be reflected by the observations of etch pit distribution and other structural defects. Suggestions for improving the space experiment to improve the quality of the crystal are given. (semiconductor materials)

  10. Chemical etching of a GaSb crystal incorporated with Mn grown by the Bridgman method under microgravity conditions

    Energy Technology Data Exchange (ETDEWEB)

    Chen Xiaofeng; Chen Nuofu; Wu Jinliang; Zhang Xiulan; Chai Chunlin; Yu Yude, E-mail: xfchen@semi.ac.c, E-mail: nfchen@semi.ac.c [Key Laboratory of Semiconductor Materials and Devices, Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2009-08-15

    A GaSb crystal incorporated with Mn has been grown by the Bridgman method on the Polizon facility onboard the FOTON-M3 spacecraft. Structural defects and growth striations have been successfully revealed by the chemical etching method. By calculating various parameters of the convection, the striation patterns can be explained, and the critical value of the Taylor number, which characterizes the convective condition of the rotating magnetic field induced azimuthal flow, was shown. The stresses generated during crystal growth can be reflected by the observations of etch pit distribution and other structural defects. Suggestions for improving the space experiment to improve the quality of the crystal are given. (semiconductor materials)

  11. Composition and conductance distributions of single GeSi quantum rings studied by conductive atomic force microscopy combined with selective chemical etching.

    Science.gov (United States)

    Lv, Y; Cui, J; Jiang, Z M; Yang, X J

    2013-02-15

    Atomic force microscopy imaging combined with selective chemical etching is employed to quantitatively investigate three-dimensional (3D) composition distributions of single GeSi quantum rings (QRs). In addition, the 3D quantitative composition distributions and the corresponding conductance distributions are simultaneously obtained on the same single GeSi QRs by conductive atomic force microscopy combined with selective chemical etching, allowing us to investigate the correlations between the conductance and composition distributions of single QRs. The results show that the QRs' central holes have higher Ge content, but exhibit lower conductance, indicating that the QRs' conductance distribution is not consistent with their composition distribution. By comparing the topography, composition and conductance profiles of the same single QRs before and after different etching processes, it is found that the conductance distributions of GeSi QRs do not vary with the change of composition distribution. Instead, the QRs' conductance distributions are found to be consistent with their topographic shapes, which can be supposed to be due to the shape determined electronic structures.

  12. Ultrasound effects on the electrolytically controlled etching of nuclear track filters (NTFs)

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.; Mahna, S.K.; Sud, L.V.; Singh, P.

    1990-01-01

    The mechanical stirring of the etchant creates tremendous changes in the etching properties of SSNTDs. Ultrasound stirring also produces a number of effects in liquids by giving a rapid movement to etchant. Cavitation is the most probable phenomenon caused by ultrasound and responsible for most of the effects observed in chemical reactions. Microbubbles are created in liquid medium and explosion of these microbubbles is responsible for momentarily rise in temperature. The possible effects of ultrasound on etching of particle tracks in plastic track detectors as nuclear track filters has been studied. The ultrasound effects on V t and V b have been studied in this work. (author). 5 re fs

  13. Formation of Micro- and Nanostructures on the Nanotitanium Surface by Chemical Etching and Deposition of Titania Films by Atomic Layer Deposition (ALD

    Directory of Open Access Journals (Sweden)

    Denis V. Nazarov

    2015-12-01

    Full Text Available In this study, an integrated approach was used for the preparation of a nanotitanium-based bioactive material. The integrated approach included three methods: severe plastic deformation (SPD, chemical etching and atomic layer deposition (ALD. For the first time, it was experimentally shown that the nature of the etching medium (acidic or basic Piranha solutions and the etching time have a significant qualitative impact on the nanotitanium surface structure both at the nano- and microscale. The etched samples were coated with crystalline biocompatible TiO2 films with a thickness of 20 nm by Atomic Layer Deposition (ALD. Comparative study of the adhesive and spreading properties of human osteoblasts MG-63 has demonstrated that presence of nano- and microscale structures and crystalline titanium oxide on the surface of nanotitanium improve bioactive properties of the material.

  14. Mechanical Stress in InP Structures Etched in an Inductively Coupled Plasma Reactor with Ar/Cl2/CH4 Plasma Chemistry

    Science.gov (United States)

    Landesman, Jean-Pierre; Cassidy, Daniel T.; Fouchier, Marc; Pargon, Erwine; Levallois, Christophe; Mokhtari, Merwan; Jimenez, Juan; Torres, Alfredo

    2018-02-01

    We investigated the crystal lattice deformation that can occur during the etching of structures in bulk InP using SiNx hard masks with Ar/Cl2/CH4 chemistries in an inductively coupled plasma reactor. Two techniques were used: degree of polarization (DOP) of the photo-luminescence, which gives information on the state of mechanical stress present in the structures, and spectrally resolved cathodo-luminescence (CL) mapping. This second technique also provides elements on the mechanical stress in the samples through analysis of the spectral shift of the CL intrinsic emission lines. Preliminary DOP mapping experiments have been conducted on the SiNx hard mask patterns without etching the underlying InP. This preliminary study demonstrated the potential of DOP to map mechanical stress quantitatively in the structures. In a second step, InP patterns with various widths between 1 μm and 20 μm, and various depths between 1 μm and 6 μm, were analyzed by the 2 techniques. DOP measurements were made both on the (100) top surface of the samples and on the (110) cleaved cross section. CL measurements were made only from the (100) surface. We observed that inside the etched features, close to the vertical etched walls, there is always some compressive deformation, while it is tensile just outside the etched features. The magnitude of these effects depends on the lateral and depth dimensions of the etched structures, and on the separation between them (the tensile deformation increases between them due to some kind of proximity effect when separation decreases).

  15. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  16. Method of plastic track detector electrochemical etching

    International Nuclear Information System (INIS)

    D'yakov, A.A.

    1984-01-01

    The review of studies dealing with the development of the method for the electro-chemical etching (ECE) of the plastic track detectors on the base of polyethy-leneterephthalate (PET) and polycarbonate (PC) is given. Physical essence of the method, basic parameters of the processes, applied equipment and methods of measurement automation are considered. The advantages of the method over the traditional chemical etching are pointed out. Recommendations on the detector operation modes when detecting fission fragments, α-particles and fast neutrons are given. The ECE method is based on the condition that during chemical etching the high-voltage sound frequency alternating electric field is applied to the detector. In this case the detector serves as an isolating layer betWeen two vessels with etching solution in which high-voltage electrode are submerged. At a fixed electric field potential higher (over than the threshold value) at the end of the etching track cone atree-like discharge spot arises. It is shown that when PET is used for fast neutron detection it is advisable to apply for ECE the PEW solution (15g KOH+40 g C 2 H 2 OH + 45g H 2 O) the field potential should constitute 30 kVxcm -1 at the freqUency of 9 kHz. In the case of fission fragment detection Using ECE and PC the following ECE conditions are recommended: 30% KOH etcher, field potential of 10 kVxcm -1 , 2-4 kHz frequency. It is concluded that the ECE method permits considerably eXtend the sphere of plastic track detector application for detecting ionizing particles,

  17. Preparation of Chemically Etched Tips for Ambient Instructional Scanning Tunneling Microscopy

    Science.gov (United States)

    Zaccardi, Margot J.; Winkelmann, Kurt; Olson, Joel A.

    2010-01-01

    A first-year laboratory experiment that utilizes concepts of electrochemical tip etching for scanning tunneling microscopy (STM) is described. This experiment can be used in conjunction with any STM experiment. Students electrochemically etch gold STM tips using a time-efficient method, which can then be used in an instructional grade STM that…

  18. Reduction of Residual Stresses in Sapphire Cover Glass Induced by Mechanical Polishing and Laser Chamfering Through Etching

    Directory of Open Access Journals (Sweden)

    Shih-Jeh Wu

    2016-10-01

    Full Text Available Sapphire is a hard and anti-scratch material commonly used as cover glass of mobile devices such as watches and mobile phones. A mechanical polishing using diamond slurry is usually necessary to create mirror surface. Additional chamfering at the edge is sometimes needed by mechanical grinding. These processes induce residual stresses and the mechanical strength of the sapphire work piece is impaired. In this study wet etching by phosphate acid process is applied to relief the induced stress in a 1” diameter sapphire cover glass. The sapphire is polished before the edge is chamfered by a picosecond laser. Residual stresses are measured by laser curvature method at different stages of machining. The results show that the wet etching process effectively relief the stress and the laser machining does not incur serious residual stress.

  19. Low-frequency magnetization processes in chemically etched Co-based amorphous ribbons

    International Nuclear Information System (INIS)

    Betancourt, I.; Martinez, L.A.; Valenzuela, R.

    2005-01-01

    In this report we present a study of the magnetization processes for Co-based amorphous ribbons at low frequencies (10 Hz-13 MHz) as a function of decreasing thicknesses attained by chemical etching. Reversible domain-wall bulging, characterized by initial permeability and relaxation frequency, was monitored by means of inductance measurements. The real part of inductance (proportional to initial permeability) exhibited a decreasing trend with diminishing ribbon thickness, together with an increasing tendency for the relaxation frequency. For high amplitude of the ac field (leading to domain-wall unpinning), reduced ribbon thickness showed a deleterious-enhancement effect on irreversible domain-wall displacement, which was observed for both real and imaginary inductance spectroscopic plots. Results are interpreted in terms of reduced domain-wall pinning distances resulting from thinner alloy samples

  20. Influence of the doping level on the porosity of silicon nanowires prepared by metal-assisted chemical etching

    International Nuclear Information System (INIS)

    Geyer, Nadine; Wollschläger, Nicole; Tonkikh, Alexander; Berger, Andreas; Werner, Peter; Fuhrmann, Bodo; Leipner, Hartmut S; Jungmann, Marco; Krause-Rehberg, Reinhard

    2015-01-01

    A systematic method to control the porosity of silicon nanowires is presented. This method is based on metal-assisted chemical etching (MACE) and takes advantage of an HF/H_2O_2 etching solution and a silver catalyst in the form of a thin patterned film deposited on a doped silicon wafer. It is found that the porosity of the etched nanowires can be controlled by the doping level of the wafer. For low doping concentrations, the wires are primarily crystalline and surrounded by only a very thin layer of porous silicon (pSi) layer, while for highly doped silicon, they are porous in their entire volume. We performed a series of controlled experiments to conclude that there exists a well-defined critical doping concentration separating the crystalline and porous regimes. Furthermore, transmission electron microscopy investigations showed that the pSi has also a crystalline morphology on a length scale smaller than the pore size, determined from positron annihilation lifetime spectroscopy to be mesoscopic. Based on the experimental evidence, we devise a theoretical model of the pSi formation during MACE and apply it for better control of the nanowire morphology. (paper)

  1. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  2. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  3. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  4. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  5. Chemical etching of Tungsten thin films for high-temperature surface acoustic wave-based sensor devices

    Energy Technology Data Exchange (ETDEWEB)

    Spindler, M., E-mail: m.spindler@ifw-dresden.de [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany); Herold, S.; Acker, J. [BTU Cottbus – Senftenberg, Faculty of Sciences, P.O. Box 101548, 01968 Senftenberg (Germany); Brachmann, E.; Oswald, S.; Menzel, S.; Rane, G. [IFW Dresden, SAWLab Saxony, P.O. Box 270116, D-01171 Dresden (Germany)

    2016-08-01

    Surface acoustic wave devices are widely used as wireless sensors in different application fields. Recent developments aimed to utilize those devices as temperature sensors even in the high temperature range (T > 300 °C) and in harsh environmental conditions. Therefore, conventional materials, which are used for the substrate and for the interdigital transducer finger electrodes such as multilayers or alloys based on Al or Cu have to be exchanged by materials, which fulfill some important criteria regarding temperature related effects. Electron beam evaporation as a standard fabrication method is not well applicable for depositing high temperature stable electrode materials because of their very high melting points. Magnetron sputtering is an alternative deposition process but is also not applicable for lift-off structuring without any further improvement of the structuring process. Due to a relatively high Ar gas pressure of about 10{sup −1} Pa, the sidewalls of the photoresist line structures are also covered by the metallization, which subsequently prevents a successful lift-off process. In this study, we investigate the chemical etching of thin tungsten films as an intermediate step between magnetron sputtering deposition of thin tungsten finger electrodes and the lift-off process to remove sidewall covering for a successful patterning process of interdigital transducers. - Highlights: • We fabricated Tungsten SAW Electrodes by magnetron sputtering technology. • An etching process removes sidewall covering of photoresist, which allows lift-off. • Tungsten etching rates based on a hydrogen peroxide solutions were determined.

  6. High-aspect-ratio microstructures with versatile slanting angles on silicon by uniform metal-assisted chemical etching

    Science.gov (United States)

    Li, Liyi; Zhang, Cheng; Tuan, Chia-Chi; Chen, Yun; Wong, C.-P.

    2018-05-01

    High-aspect-ratio (HAR) microstructures on silicon (Si) play key roles in photonics and electromechanical devices. However, it has been challenging to fabricate HAR microstructures with slanting profiles. Here we report successful fabrication of uniform HAR microstructures with controllable slanting angles on (1 0 0)-Si by slanted uniform metal-assisted chemical etching (SUMaCE). The trenches have width of 2 µm, aspect ratio greater than 20:1 and high geometric uniformity. The slanting angles can be adjusted between 2-70° with respect to the Si surface normal. The results support a fundamental hypothesis that under the UMaCE condition, the preferred etching direction is along the normal of the thin film catalysts, regardless of the relative orientation of the catalyst to Si substrates or the crystalline orientation of the substrates. The SUMaCE method paves the way to HAR 3D microfabrication with arbitrary slanting profiles inside Si.

  7. Bulk and track etching of PET studied by spectrophotometer

    International Nuclear Information System (INIS)

    Zhu, Z.Y.; Duan, J.L.; Maekawa, Y.; Koshikawa, H.; Yoshida, M.

    2004-01-01

    UV-VIS spectra of poly(ethylene terephthalate) (PET) solutions formed by etching PET in NaOH solution were analyzed with respect to the etching time. A linear relationship between absorptions centered at 4.45 and 5.11 eV with weight loss of PET in NaOH solution was established. The relation was applied to study the influence of UV light illumination on bulk etching of PET and to evaluate pore size of etched-through tracks. It is found that bulk etching of PET can be greatly enhanced by UV illumination in air in the wavelength range around 313 nm. A surface area of about 350 nm in thickness shows a 23 times increase in bulk-etching rate after illuminated for 6 h. The phenomenon is attributed to the oxygen-assisted photo-degradation through generating of new photo-unstable species. The enhancement in bulk etching was immediately reduced as the etching proceeds below the surface with an exponential decay constant of about 1.5 μm -1 . Etching of Xe ion irradiated PET films gives extra etching products with similar chemical structure as revealed by spectrophotometer measurements. Quantitative analysis of etching products from latent tracks implies that pores of about 14.6 nm in radius are formed after etching in 0.74 N NaOH at 40 deg. C for 35 min, which is in agreement with the conductometric measurement

  8. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  9. Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor

    International Nuclear Information System (INIS)

    Kim, Nam-Hoon; Ko, Pil-Ju; Seo, Yong-Jin; Lee, Woo-Sun

    2006-01-01

    Most high-k materials cannot to be etched easily. Problems such as low etch rate, poor sidewall angle, plasma damage, and process complexity have emerged in high-density DRAM fabrication. Chemical mechanical polishing (CMP) by the damascene process has been used to pattern high-k materials for high-density capacitor. Barium titanate (BTO) thin film, a typical high-k material, was polished with three types of silica slurry having different pH values. Sufficient removal rate with adequate selectivity to realize the pattern mask of tetra-ethyl ortho-silicate (TEOS) film for the vertical sidewall angle was obtained. The changes of X-ray diffraction pattern and dielectric constant by CMP process were negligible. Planarization was also achieved for the subsequent multilevel processes. Our new CMP approach will provide a guideline for effective patterning of high-k materials by CMP

  10. Surface characterization after subaperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas; Rauschenbach, Bernd [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Leipzig (Germany)

    2010-07-01

    In usual ion beam etching processes using inert gas (Ar, Xe, Kr..) the material removal is determined by physical sputtering effects on the surface. The admixture of suitable gases (CF{sub 4}+O{sub 2}) into the glow discharge of the ion beam source leads to the generation of reactive particles, which are accelerated towards the substrate where they enhance the sputtering process by formation of volatile chemical reaction products. During the last two decades research in Reactive Ion Beam Etching (RIBE) has been done using a broad beam ion source which allows the treatment of smaller samples (diameter sample < diameter beam). Our goal was to apply a sub-aperture Kaufman-type ion source in combination with an applicative movement of the sample with respect to the source, which enables us to etch areas larger than the typical lateral dimensions of the ion beam. Concerning this matter, the etching behavior in the beam periphery plays a decisive role and has to be investigated. We use interferometry to characterize the final surface topography and XPS measurements to analyze the chemical composition of the samples after RIBE.

  11. A model of chemical etching of olivine in the vicinity of the trajectory of a swift heavy ion

    Energy Technology Data Exchange (ETDEWEB)

    Gorbunov, S.A., E-mail: s.a.gorbunov@mail.ru [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Rymzhanov, R.A. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); Starkov, N.I. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Volkov, A.E. [Lebedev Physical Institute of the Russian Academy of Sciences, Leninskij pr. 53, 119991 Moscow (Russian Federation); Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation); National Research Centre ‘Kurchatov Institute’, Kurchatov Sq. 1, 123182 Moscow (Russian Federation); Malakhov, A.I. [Joint Institute for Nuclear Research, Joliot-Curie 6, 141980 Dubna, Moscow Region (Russian Federation)

    2015-12-15

    Searching of superheavy elements, the charge spectra of heavy nuclei in Galactic Cosmic Rays was investigated within the OLYMPIA experiment using the database of etched ion tracks in meteorite olivine. Etching results in the formation of hollow syringe-like channels with diameters of 1–10 μm along the trajectories of these swift heavy ions (SHI). According to the activated complex theory, the local chemical activity is determined by an increase of the specific Gibbs energy of the lattice stimulated by structure transformations, long-range elastic fields, and interatomic bonds breaking generated in the vicinity of the ion trajectory. To determine the dependencies of the Gibbs free energy increase in SHI tracks in olivine on the mass, energy and charge of a projectile, we apply a multiscale model of excitation and relaxation of materials in the vicinity of the SHI trajectory (SHI tracks). Effect of spreading of fast electrons from the ion trajectory causing neutralization of metallic atoms resulting in an increase of the chemical activity of olivine at long distances from the ion trajectory (up to 5 μm) is estimated and discussed.

  12. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  13. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  14. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  15. A deep etching mechanism for trench-bridging silicon nanowires.

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  16. A deep etching mechanism for trench-bridging silicon nanowires

    International Nuclear Information System (INIS)

    Tasdemir, Zuhal; Alaca, B Erdem; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf

    2016-01-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping. (paper)

  17. A deep etching mechanism for trench-bridging silicon nanowires

    Science.gov (United States)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  18. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    Science.gov (United States)

    Li, Hong-Fang; Han, Huan-Mei; Wu, Ya-Guang; Xiao, Shou-Jun

    2010-04-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiH x ( x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  19. Biological functionalization and patterning of porous silicon prepared by Pt-assisted chemical etching

    International Nuclear Information System (INIS)

    Li Hongfang; Han Huanmei; Wu Yaguang; Xiao Shoujun

    2010-01-01

    Porous silicon fabricated via Pt-assisted chemical etching of p-type Si (1 0 0) in 1:1:1 EtOH/HF/H 2 O 2 solution possesses a longer durability in air and in aqueous media than anodized one, which is advantageous for biomedical applications. Its surface SiHx (x = 1 and 2) species can react with 10-undecylenic acid completely under microwave irradiation, and subsequent derivatizations of the end carboxylic acid result in affinity capture of proteins. We applied two approaches to produce protein microarrays: photolithography and spotting. The former provides a homogeneous microarray with a very low fluorescence background, while the latter presents an inhomogeneous microarray with a high noise background.

  20. On the long standing question of nuclear track etch induction time: Surface-cap model

    International Nuclear Information System (INIS)

    Rana, Mukhtar Ahmed

    2008-01-01

    Using a systematic set of experiments, nuclear track etch induction time measurements in a widely used CR-39 detector were completed for accessible track-forming particles (fission fragments, 5.2 MeV alpha particles and 5.9 MeV antiprotons). Results of the present work are compared with appropriately selected published results. The possibility of the use of etch induction time for charged particle identification is evaluated. Analysis of experimental results along with the use of well-established theoretical concepts yielded a model about delay in the start of chemical etching of nuclear tracks. The suggested model proposes the formation of a surface-cap (top segment) in each nuclear track consisting of chemically modified material with almost same or even higher resistance to chemical etching compared with bulk material of the track detector. Existing track formation models are reviewed very briefly, which provide one of the two bases of the proposed model. The other basis of the model is the general behavior of hot or energised material having a connection with an environment containing a number of species like ordinary air. Another reason for the delay in the start of etching is suggested as the absence of localization of etching atoms/molecules, which is present during etching at depth along the latent track

  1. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    Science.gov (United States)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  2. Change of wettability of PTFE surface by sputter etching and excimer laser. Sputter etching oyobi excimer laser ni yoru PTFE hyomen no shinsuika

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, S. (Nitto Denko Corp., Osaka (Japan)); Kubo, U. (Kinki University, Osaka (Japan))

    1994-06-20

    The wettability of PTFE (polytetrafluoroethylene) surfaces was improved by sputter etching and excimer laser irradiation. In sputter etching, the PTFE surface was treated by reactive sputter etching with H2O gas to give active groups on the surface. In laser irradiation, the surface was irradiated in pure water by high-energy KrF excimer laser. As the surface wettability was evaluated with a contact angle to water, the contact angle decreased remarkably in both treatments resulting in a good improvement effect. In sputter etching, various new chemical bonds such as F-C=O, F2C-FC-O, F2C-C-O and C-O were observed because of a decrease in F and incorporation of oxygen. Such chemical bonds could be eliminated by ultraviolet ray irradiation, and the treated surface condition approached the initial condition after irradiation of 200 hours. In laser irradiation, it was suggested that C-F bonds were broken, and OH groups were added to the surface by dissociation of H2O to H and OH. 7 refs., 8 figs., 1 tab.

  3. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    Science.gov (United States)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  4. Influence factors on etching rate of PET nuclear pore membrane

    International Nuclear Information System (INIS)

    Zuo Zhenzhong; Wu Zhendong; Liang Haiying; Ju Wei; Chen Dongfeng; Fu Yuanyong; Qu Guopu

    2014-01-01

    Background: The nuclear pore membrane is a kind of liquid filtration material manufactured by irradiation and chemical etching. Various conditions in etch process have a great influence on etch rate. Purpose: The influence factors of concentration and temperature of etch solution and the irradiation energy of heavy ions on etch rate was studied. Methods: Four layers of PET (polyethylene terephthalate) films were stacked together and were irradiated with 140-MeV 32 S ions at room temperature under vacuum conditions. Utilizing conductivity measurement technique, the electrical current changes through the u:radiated PET film were monitored during etching, from which the breakthrough time and therefore the track etching rate was calculated. Results: The results show that there is an exponential correlation between etch rate and temperature, and a linear correlation between etch rate and concentration. The track etching rate increases linearly with energy loss rate. Empirical formula for the bulk etching rate as a function of etchant concentration and temperature was also established via fitting of measurements. Conclusion: It is concluded that by using 1.6-MeV·u -1 32 S ions, PET nuclear pore membrane with cylindrical pore shape can be prepared at 85℃ with etchant concentration of l mol·L -1 . (authors)

  5. Ion transport by gating voltage to nanopores produced via metal-assisted chemical etching method

    Science.gov (United States)

    Van Toan, Nguyen; Inomata, Naoki; Toda, Masaya; Ono, Takahito

    2018-05-01

    In this work, we report a simple and low-cost way to create nanopores that can be employed for various applications in nanofluidics. Nano sized Ag particles in the range from 1 to 20 nm are formed on a silicon substrate with a de-wetting method. Then the silicon nanopores with an approximate 15 nm average diameter and 200 μm height are successfully produced by the metal-assisted chemical etching method. In addition, electrically driven ion transport in the nanopores is demonstrated for nanofluidic applications. Ion transport through the nanopores is observed and could be controlled by an application of a gating voltage to the nanopores.

  6. A method to control the fabrication of etched optical fiber probes with nanometric tips

    International Nuclear Information System (INIS)

    Tao, Miaomiao; Gu, Ning; Huang, Lan; Jin, Yonglong

    2010-01-01

    Optical fiber probes with small size tips have attracted much interest in the areas of biosensor and near-field scanning optical microscopy. Chemical etching is a common useful method to fabricate such probes. But it is difficult to study or determine the etching time and control the shape of the fiber during the etching. In this work, a new method combining a fiber optic spectrometer with static chemical etching has been developed to fabricate optical fiber probe nanotips, where the fiber optic spectrometer is used to measure the optical signal during the etching. By calculating and analyzing the testing data, the relationship between the apex angle and the optical signal can be obtained. Accordingly, the process of fabricating optical fibers based on the optical signal can be controlled

  7. Enhanced Etching, Surface Damage Recovery, and Submicron Patterning of Hybrid Perovskites using a Chemically Gas-Assisted Focused-Ion Beam for Subwavelength Grating Photonic Applications

    KAUST Repository

    Alias, Mohd Sharizal

    2015-12-22

    The high optical gain and absorption of organic–inorganic hybrid perovskites have attracted attention for photonic device applications. However, owing to the sensitivity of organic moieties to solvents and temperature, device processing is challenging, particularly for patterning. Here, we report the direct patterning of perovskites using chemically gas-assisted focused-ion beam (GAFIB) etching with XeF2 and I2 precursors. We demonstrate etching enhancement in addition to controllability and marginal surface damage compared to focused-ion beam (FIB) etching without precursors. Utilizing the GAFIB etching, we fabricated a uniform and periodic submicron perovskite subwavelength grating (SWG) absorber with broadband absorption and nanoscale precision. Our results demonstrate the use of FIB as a submicron patterning tool and a means of providing surface treatment (after FIB patterning to minimize optical loss) for perovskite photonic nanostructures. The SWG absorber can be patterned on perovskite solar cells to enhance the device efficiency through increasing light trapping and absorption.

  8. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  9. Aqueous bromine etching of InP: a specific surface chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Causier, A.; Bouttemy, M.; Gerard, I.; Aureau, D.; Vigneron, J.; Etcheberry, A. [Institut Lavoisier de Versailles, Versailles-Saint-Quentin University, UMR CNRS 8180, 45 Av. des Etats-Unis, 78035 Versailles (France)

    2012-06-15

    The n -InP behaviour in HBr (0.1-1.0 M)/Br{sub 2} (1.25 x 10{sup -2}M) aqueous solutions is studied by AAS, XPS and SEM-FEG. Indium AAS-titrations of the HBr/Br{sub 2} solutions demonstrate that InP undergoes an etching mechanism whatever the HBr/Br{sub 2} formulation. The etching process is always linear with time but its rate depends on the HBr concentration. XPS analyses permit to link the apparent slow-down of the dissolution process when decreasing the HBr molarity from 1.0 M to 0.1 M to the presence of a mixed (In,P){sub ox} oxide layer on the surface. Therefore, the dissolution process of InP in HBr/Br{sub 2} solution appears to be ruled by the surface chemical state (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Anodic processes in the chemical and electrochemical etching of Si crystals in acid-fluoride solutions: Pore formation mechanism

    Energy Technology Data Exchange (ETDEWEB)

    Ulin, V. P.; Ulin, N. V.; Soldatenkov, F. Yu., E-mail: f.soldatenkov@mail.ioffe.ru [Ioffe Physical–Technical Institute (Russian Federation)

    2017-04-15

    The interaction of heavily doped p- and n-type Si crystals with hydrofluoric acid in the dark with and without contact with metals having greatly differing work functions (Ag and Pd) is studied. The dependences of the dissolution rates of Si crystals in HF solutions that contain oxidizing agents with different redox potentials (FeCl{sub 3}, V{sub 2}O{sub 5} and CrO{sub 3}) on the type and level of silicon doping are determined. Analysis of the experimental data suggests that valence-band holes in silicon are not directly involved in the anodic reactions of silicon oxidation and dissolution and their generation in crystals does not limit the rate of these processes. It is also shown that the character and rate of the chemical process leading to silicon dissolution in HF-containing electrolytes are determined by the interfacial potential attained at the semiconductor–electrolyte interface. The mechanism of electrochemical pore formation in silicon crystals is discussed in terms of selfconsistent cooperative reactions of nucleophilic substitution between chemisorbed fluorine anions and coordination- saturated silicon atoms in the crystal subsurface layer. A specific feature of these reactions for silicon crystals is that vacant nonbonding d{sup 2}sp{sup 3} orbitals of Si atoms, associated with sixfold degenerate states corresponding to the Δ valley of the conduction band, are involved in the formation of intermediate complexes. According to the suggested model, the pore-formation process spontaneously develops in local regions of the interface under the action of the interfacial potential in the adsorption layer and occurs as a result of the detachment of (SiF{sub 2}){sub n} polymer chains from the crystal. Just this process leads to the preferential propagation of pores along the <100> crystallographic directions. The thermodynamic aspects of pore nucleation and the effect of the potential drop across the interface, conduction type, and free-carrier concentration

  11. Spot formation of radiation particles by electrochemical etching

    International Nuclear Information System (INIS)

    Nozaki, Tetsuya

    1999-01-01

    An electrochemical etching (ECE) spot formation from the top of chemical etching (CE) spot was confirmed by a series of experiments. One of polycarbonate (Iupilon) could not make the spot, because ECE spot had grown up before the microscope confirming the CE spot. Clear CEC spots by α-ray and neutron were found on Harzlas and Baryotrak, both improvements of CR-39. Under the same etching conditions, the growth of ECE spot on Harzlas was more rapid than Baryotrak, but both spots were almost the same. All CE spot by α-ray produced the CEC spots, but a part of CE circle spot by neutron formed them. (S.Y.)

  12. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Basudev, E-mail: swain@iae.re.kr [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Mishra, Chinmayee [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of); Hong, Hyun Seon [Sungshin University, Dept. of Interdisciplinary ECO Science, Seoul, 142-732 (Korea, Republic of); Cho, Sung-Soo [Institute for Advanced Engineering, Advanced Materials & Processing Center, Yongin, 449-863 (Korea, Republic of)

    2016-05-15

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m{sup 3} of copper and 1.35 kg/m{sup 3} of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching

  13. Selective recovery of pure copper nanopowder from indium-tin-oxide etching wastewater by various wet chemical reduction process: Understanding their chemistry and comparisons of sustainable valorization processes

    International Nuclear Information System (INIS)

    Swain, Basudev; Mishra, Chinmayee; Hong, Hyun Seon; Cho, Sung-Soo

    2016-01-01

    Sustainable valorization processes for selective recovery of pure copper nanopowder from Indium-Tin-Oxide (ITO) etching wastewater by various wet chemical reduction processes, their chemistry has been investigated and compared. After the indium recovery by solvent extraction from ITO etching wastewater, the same is also an environmental challenge, needs to be treated before disposal. After the indium recovery, ITO etching wastewater contains 6.11 kg/m 3 of copper and 1.35 kg/m 3 of aluminum, pH of the solution is very low converging to 0 and contain a significant amount of chlorine in the media. In this study, pure copper nanopowder was recovered using various reducing reagents by wet chemical reduction and characterized. Different reducing agents like a metallic, an inorganic acid and an organic acid were used to understand reduction behavior of copper in the presence of aluminum in a strong chloride medium of the ITO etching wastewater. The effect of a polymer surfactant Polyvinylpyrrolidone (PVP), which was included to prevent aggregation, to provide dispersion stability and control the size of copper nanopowder was investigated and compared. The developed copper nanopowder recovery techniques are techno-economical feasible processes for commercial production of copper nanopowder in the range of 100–500 nm size from the reported facilities through a one-pot synthesis. By all the process reported pure copper nanopowder can be recovered with>99% efficiency. After the copper recovery, copper concentration in the wastewater reduced to acceptable limit recommended by WHO for wastewater disposal. The process is not only beneficial for recycling of copper, but also helps to address environment challenged posed by ITO etching wastewater. From a complex wastewater, synthesis of pure copper nanopowder using various wet chemical reduction route and their comparison is the novelty of this recovery process. - Highlights: • From the Indium-Tin-Oxide etching wastewater

  14. Chemical adhesion rather than mechanical retention enhances resin bond durability of a dental glass-ceramic with leucite crystallites

    International Nuclear Information System (INIS)

    Meng, X F; Yoshida, K; Gu, N

    2010-01-01

    This study aims to evaluate the effect of chemical adhesion by a silane coupler and mechanical retention by hydrofluoric acid (HFA) etching on the bond durability of resin to a dental glass ceramic with leucite crystallites. Half of the ceramic plates were etched with 4.8% HFA (HFA group) for 60 s, and the other half were not treated (NoHFA group). The scale of their surface roughness and rough area was measured by a 3D laser scanning microscope. These plates then received one of the following two bond procedures to form four bond test groups: HFA/cement, NoHFA/cement, HFA/silane/cement and NoHFA/silane/cement. The associated micro-shear bond strength and bond failure modes were tested after 0 and 30 000 thermal water bath cycles. Four different silane/cement systems (Monobond S/Variolink II, GC Ceramic Primer/Linkmax HV, Clearfil Ceramic Primer/Clearfil Esthetic Cement and Porcelain Liner M/SuperBond C and B) were used. The data for each silane/cement system were analyzed by three-way ANOVA. HFA treatment significantly increased the surface R a and R y values and the rough area of the ceramic plates compared with NoHFA treatment. After 30 000 thermal water bath cycles, the bond strength of all the test groups except the HFA/Linkmax HV group was significantly reduced, while the HFA/Linkmax HV group showed only adhesive interface failure. The other HFA/cement groups and all NoHFA/cement groups lost bond strength completely, and all NoHFA/silane/cement groups with chemical adhesion had significantly higher bond strength and more ceramic cohesive failures than the respective HFA/cement groups with mechanical retention. The result of the HFA/silane/cement groups with both chemical adhesion and mechanical retention revealed that HFA treatment could enhance the bond durability of resin/silanized glass ceramics, which might result from the increase of the chemical adhesion area on the ceramic rough surface and subsequently reduced degradation speed of the silane coupler

  15. Edge morphology evolution of graphene domains during chemical vapor deposition cooling revealed through hydrogen etching.

    Science.gov (United States)

    Zhang, Haoran; Zhang, Yanhui; Zhang, Yaqian; Chen, Zhiying; Sui, Yanping; Ge, Xiaoming; Yu, Guanghui; Jin, Zhi; Liu, Xinyu

    2016-02-21

    During cooling, considerable changes such as wrinkle formation and edge passivation occur in graphene synthesized on the Cu substrate. Wrinkle formation is caused by the difference in the thermal expansion coefficients of graphene and its substrate. This work emphasizes the cooling-induced edge passivation. The graphene-edge passivation can limit the regrowth of graphene at the domain edge. Our work shows that silicon-containing particles tend to accumulate at the graphene edge, and the formation of these particles is related to cooling. Furthermore, a clear curvature can be observed at the graphene edge on the Cu substrate, indicating the sinking of the graphene edge into the Cu substrate. Both the sinking of the graphene edge and the accumulation of silicon-containing particles are responsible for edge passivation. In addition, two kinds of graphene edge morphologies are observed after etching, which were explained by different etching mechanisms that illustrate the changes of the graphene edge during cooling.

  16. Etched ion track polymer membranes for sustained drug delivery

    International Nuclear Information System (INIS)

    Rao, Vijayalakshmi; Amar, J.V.; Avasthi, D.K.; Narayana Charyulu, R.

    2003-01-01

    The method of track etching has been successfully used for the production of polymer membranes with capillary pores. In the present paper, micropore membranes have been prepared by swift heavy ion irradiation of polycarbonate (PC). PC films were irradiated with ions of gold, silicon and oxygen of varying energies and fluence. The ion tracks thus obtained were etched chemically for various time intervals to get pores and these etched films were used as membranes for the drug release. Ciprofloxacine hydrochloride was used as model drug for the release studies. The drug content was estimated spectrophotometrically. Pore size and thus the drug release is dependent on the etching conditions, ions used, their energy and fluence. Sustained drug release has been observed in these membranes. The films can be selected for practical utilization by optimizing the irradiation and etching conditions. These films can be used as transdermal patches after medical treatment

  17. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  18. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  19. Fluorocarbon based atomic layer etching of Si_3N_4 and etching selectivity of SiO_2 over Si_3N_4

    International Nuclear Information System (INIS)

    Li, Chen; Metzler, Dominik; Oehrlein, Gottlieb S.; Lai, Chiukin Steven; Hudson, Eric A.

    2016-01-01

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO_2 ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar"+ ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO_2 from the surface. In the present article, the authors describe controlled etching of Si_3N_4 and SiO_2 layers of one to several Angstroms using this cyclic ALE approach. Si_3N_4 etching and etching selectivity of SiO_2 over Si_3N_4 were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si_3N_4 were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si_3N_4 has a lower physical sputtering energy threshold than SiO_2, Si_3N_4 physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si_3N_4 to SiO_2 ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO_2 to Si_3N_4 etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si_3N_4 surfaces. This highly selective etching is explained by a lower carbon consumption of Si_3N_4 as compared to SiO_2. The comparison of C_4F_8 and CHF_3 only showed a difference in etching selectivity for FC depleted conditions. For FC accumulation conditions

  20. Development and application of the electrochemical etching technique. Annual progress report

    International Nuclear Information System (INIS)

    1979-08-01

    This report documents advances in the development and application of the electrochemical etching technique for thermal and epithermal neutron dosimetry as well as track geometry determinations. The bulk and track etching rates were studied by evaluating the track geometry during electrochemical etching. The foil surface removed versus etching time for two different etchants at 1000 V, 2 kHz, and 22 0 C were studied. Results indicated that the bulk etching rates were constant for the two etchants, i.e. 45% KOH and 45% KOH mixed with an equal volume of C 2 H 5 OH 5 and were equal to 0.20 +- 0.14 μm/hr and 2.7 +- 0.27 μm/hr from each side of the foil. The track etching rate (as contrasted with the bulk etching rate) can be determined by the microscope focus at various depths. The increase of track depth values as a function of etching time for the two etchants are plotted. The track cone angles were determined and found to be much larger for electrochemically etched polycarbonate foils than for most plastics etched with passive chemical techniques

  1. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  2. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  3. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    Science.gov (United States)

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (Padhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  4. Future developments in etched track detectors for neutron dosimetry

    International Nuclear Information System (INIS)

    Tommasino, L.

    1987-01-01

    Many laboratories engaged in the field of personal neutron dosimetry are interested in developing better etching processes and improving the CR-39 detecting materials. To know how much effort must still be devoted to the development of etch track dosimetry, it is necessary to understand the advantages. limitations and degree of exploitation of the currently available techniques. So much has been learned about the chemical and electrochemical etching processes that an optimised combination of etching processes could make possible the elimination of many of the existing shortcomings. Limitations of etched track detectors for neutron dosimetry arise mainly because the registration occurs only on the detector surface. These damage type detectors are based on radiation induced chain scission processes in polymers, which result in hole-type tracks in solids. The converse approach, yet to be discovered, would be the development of cure-track detectors, where radiation induced cross linking between organic polymer chains could result in solid tracks in liquids. (author)

  5. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  6. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  7. Chemical etching of stainless steel 301 for improving performance of electrochemical capacitors in aqueous electrolyte

    Science.gov (United States)

    Jeżowski, P.; Nowicki, M.; Grzeszkowiak, M.; Czajka, R.; Béguin, F.

    2015-04-01

    The main purpose of the study was to increase the surface roughness of stainless steel 301 current collectors by etching, in order to improve the electrochemical performance of electrical double-layer capacitors (EDLC) in 1 mol L-1 lithium sulphate electrolyte. Etching was realized in 1:3:30 (HNO3:HCl:H2O) solution with times varying up to 10 min. For the considered 15 μm thick foil and a mass loss around 0.4 wt.%, pitting was uniform, with diameter of pits ranging from 100 to 300 nm. Atomic force microscopy (AFM) showed an increase of average surface roughness (Ra) from 5 nm for the as-received stainless steel foil to 24 nm for the pitted material. Electrochemical impedance spectroscopy realized on EDLCs with coated electrodes either on as-received or pitted foil in 1 mol L-1 Li2SO4 gave equivalent distributed resistance (EDR) of 8 Ω and 2 Ω, respectively, demonstrating a substantial improvement of collector/electrode interface after pitting. Correlatively, the EDLCs with pitted collector displayed a better charge propagation and low ohmic losses even at relatively high current of 20 A g-1. Hence, chemical pitting of stainless steel current collectors is an appropriate method for optimising the performance of EDLCs in neutral aqueous electrolyte.

  8. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    Science.gov (United States)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  9. A preliminary study on the etching behavior of SiO sub 2 aerogel film with CHF sub 3 gas

    CERN Document Server

    Wang, S J; Yeom, G Y

    1998-01-01

    Etching behavior of SiO sub 2 aerogel film has been investigated in order to examine the feasibility of its application to an interlevel dielectric material. Low dielectric property of SiO sub 2 aerogel film is simply originated from its highly porous structure, but interconnected particles are covered with surface chemical bondings (-OH, -OC sub 2 H sub 5 , etc). Etching experiments have been performed with high density inductively coupled CHF sub 3 plasma. The effects of porous structure and surface chemical bondings on the etching of SiO sub 2 aerogel film have been analyzed. The changes of surface morphology were observed using scanning electron microscopy. X-ray photoelectron spectroscopic analyses revealed compositions and chemical bonding states of reaction layer. From the analyses, 3-dimensional etching was not feasible macroscopically in SiO sub 2 aerogel film even with its porous nature because network structure was maintained through the etching process. Internal surface chemicals seemed to act an ...

  10. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  11. Thermal etching of silver: Influence of rolling defects

    Energy Technology Data Exchange (ETDEWEB)

    Ollivier, M., E-mail: o.maelig@imperial.ac.uk [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom); Harker, R.M. [AWE Aldermaston, Aldermaston, Reading RG7 4PR (United Kingdom); Chater, R.J.; Gourlay, C.M. [Department of Materials, Imperial College London, SW7 2AZ (United Kingdom)

    2016-08-15

    Silver is well known to be thermally etched in an oxygen-rich atmosphere and has been extensively studied in the laboratory to understand thermal etching and to limit its effect when this material is used as a catalyst. Yet, in many industrial applications the surface of rolled silver sheets is used without particular surface preparation. Here, it is shown by combining FIB-tomography, FIB-SIMS and analytical SEM that the kinetics of thermal etch pitting are significantly faster on rolled Ag surfaces than on polished surfaces. This occurs due to range of interacting phenomena including (i) the reaction of subsurface carbon-contamination with dissolved oxygen to form pores that grow to intersect the surface, (ii) surface reconstruction around corrosion pits and surface scratches, and (iii) sublimation at low pressure and high temperature. A method to identify subsurface pores is developed to show that the pores have (111) and (100) internal facets and may be filled with a gas coming from the chemical reaction of oxygen and carbon contamination. - Highlights: Thermal etching of industrial silver sheets vs. polished silver sheets Effect of annealing atmosphere on the thermal etching of silver: surface and subsurface characterization Link between etch pitting and defects induced by rolling. FIB-tomography coupled with EBSD for determining crystal planes of the facets of subsurface pores. FIB-SIMS characterization to probe the gas confined inside subsurface pores.

  12. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  13. Chemical adhesion rather than mechanical retention enhances resin bond durability of a dental glass-ceramic with leucite crystallites

    Energy Technology Data Exchange (ETDEWEB)

    Meng, X F [Department of Prosthodontics, The Stomatological Hospital Affiliated Medical School, Nanjing University, Nanjing 210008 (China); Yoshida, K [Division of Applied Prosthodontics, Graduate School of Biomedical Sciences, Nagasaki University, Nagasaki 852-8588 (Japan); Gu, N, E-mail: mengsoar@nju.edu.c [Jiangsu Key Laboratory for Biomaterials and Devices, School of Biological Science and Medical Engineering, Southeast University, Nanjing 210096 (China)

    2010-08-01

    This study aims to evaluate the effect of chemical adhesion by a silane coupler and mechanical retention by hydrofluoric acid (HFA) etching on the bond durability of resin to a dental glass ceramic with leucite crystallites. Half of the ceramic plates were etched with 4.8% HFA (HFA group) for 60 s, and the other half were not treated (NoHFA group). The scale of their surface roughness and rough area was measured by a 3D laser scanning microscope. These plates then received one of the following two bond procedures to form four bond test groups: HFA/cement, NoHFA/cement, HFA/silane/cement and NoHFA/silane/cement. The associated micro-shear bond strength and bond failure modes were tested after 0 and 30 000 thermal water bath cycles. Four different silane/cement systems (Monobond S/Variolink II, GC Ceramic Primer/Linkmax HV, Clearfil Ceramic Primer/Clearfil Esthetic Cement and Porcelain Liner M/SuperBond C and B) were used. The data for each silane/cement system were analyzed by three-way ANOVA. HFA treatment significantly increased the surface R{sub a} and R{sub y} values and the rough area of the ceramic plates compared with NoHFA treatment. After 30 000 thermal water bath cycles, the bond strength of all the test groups except the HFA/Linkmax HV group was significantly reduced, while the HFA/Linkmax HV group showed only adhesive interface failure. The other HFA/cement groups and all NoHFA/cement groups lost bond strength completely, and all NoHFA/silane/cement groups with chemical adhesion had significantly higher bond strength and more ceramic cohesive failures than the respective HFA/cement groups with mechanical retention. The result of the HFA/silane/cement groups with both chemical adhesion and mechanical retention revealed that HFA treatment could enhance the bond durability of resin/silanized glass ceramics, which might result from the increase of the chemical adhesion area on the ceramic rough surface and subsequently reduced degradation speed of the silane

  14. Wet etch methods for InAs nanowire patterning and self-aligned electrical contacts

    Science.gov (United States)

    Fülöp, G.; d'Hollosy, S.; Hofstetter, L.; Baumgartner, A.; Nygård, J.; Schönenberger, C.; Csonka, S.

    2016-05-01

    Advanced synthesis of semiconductor nanowires (NWs) enables their application in diverse fields, notably in chemical and electrical sensing, photovoltaics, or quantum electronic devices. In particular, indium arsenide (InAs) NWs are an ideal platform for quantum devices, e.g. they may host topological Majorana states. While the synthesis has been continously perfected, only a few techniques have been developed to tailor individual NWs after growth. Here we present three wet chemical etch methods for the post-growth morphological engineering of InAs NWs on the sub-100 nm scale. The first two methods allow the formation of self-aligned electrical contacts to etched NWs, while the third method results in conical shaped NW profiles ideal for creating smooth electrical potential gradients and shallow barriers. Low temperature experiments show that NWs with etched segments have stable transport characteristics and can serve as building blocks of quantum electronic devices. As an example we report the formation of a single electrically stable quantum dot between two etched NW segments.

  15. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    Science.gov (United States)

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  16. Relationships between chemical structure, mechanical properties and materials processing in nanopatterned organosilicate fins

    Directory of Open Access Journals (Sweden)

    Gheorghe Stan

    2017-04-01

    Full Text Available The exploitation of nanoscale size effects to create new nanostructured materials necessitates the development of an understanding of relationships between molecular structure, physical properties and material processing at the nanoscale. Numerous metrologies capable of thermal, mechanical, and electrical characterization at the nanoscale have been demonstrated over the past two decades. However, the ability to perform nanoscale molecular/chemical structure characterization has only been recently demonstrated with the advent of atomic-force-microscopy-based infrared spectroscopy (AFM-IR and related techniques. Therefore, we have combined measurements of chemical structures with AFM-IR and of mechanical properties with contact resonance AFM (CR-AFM to investigate the fabrication of 20–500 nm wide fin structures in a nanoporous organosilicate material. We show that by combining these two techniques, one can clearly observe variations of chemical structure and mechanical properties that correlate with the fabrication process and the feature size of the organosilicate fins. Specifically, we have observed an inverse correlation between the concentration of terminal organic groups and the stiffness of nanopatterned organosilicate fins. The selective removal of the organic component during etching results in a stiffness increase and reinsertion via chemical silylation results in a stiffness decrease. Examination of this effect as a function of fin width indicates that the loss of terminal organic groups and stiffness increase occur primarily at the exposed surfaces of the fins over a length scale of 10–20 nm. While the observed structure–property relationships are specific to organosilicates, we believe the combined demonstration of AFM-IR with CR-AFM should pave the way for a similar nanoscale characterization of other materials where the understanding of such relationships is essential.

  17. Surfactant-controlled etching of ion track nanopores and its practical applications in membrane technology

    International Nuclear Information System (INIS)

    Apel, P.Yu.; Blonskaya, I.V.; Dmitriev, S.N.; Mamonova, T.I.; Orelovitch, O.L.; Sartowska, B.; Yamauchi, Yu.

    2008-01-01

    The effect of surfactants on chemical development of ion tracks in polymers has been studied. It has been shown that surface-active agents added to an alkaline etching solution adsorb on the polymer surface at the pore entrances. This reduces the etch rate, which leads to the formation of pores tapered toward the surface. Self-assembly of surfactant molecules at the pore entrance creates a barrier for their penetration into the etched-out nanopores, whereas hydroxide ions diffuse freely. Due to this, the internal pore volume grows faster than the pore surface diameter. The ability to control pore shape is demonstrated with the fabrication of profiled nano- and micropores in polyethylene terephthalate, polycarbonate. Some earlier published data on small track-etched pores in polycarbonate (in particular, the pore diameter vs. etching time curves measured conductometrically) have been revised in light of the above findings. Adding surfactants to chemical etchants makes it possible to optimize the structure of track membranes, thus improving their retention and permeation properties. Asymmetric membranes with thin skin retention layers have been produced and their performance studied

  18. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Kamal P. [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Mahyavanshi, Rakesh D. [Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Kalita, Golap, E-mail: kalita.golap@nitech.ac.jp [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Tanemura, Masaki [Department of Frontier Materials, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan); Department of Physical Science and Engineering, Nagoya Institute of Technology, Gokiso-cho, Showa-ku, Nagoya 466-8555 (Japan)

    2017-01-30

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  19. Influence of copper foil polycrystalline structure on graphene anisotropic etching

    International Nuclear Information System (INIS)

    Sharma, Kamal P.; Mahyavanshi, Rakesh D.; Kalita, Golap; Tanemura, Masaki

    2017-01-01

    Graphical abstract: Hexagonal hole formation with anisotropic etching independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. - Highlights: • Reveal the influence of copper polycrystalline structure on anisotropic etching of graphene. • Hexagonal hole formation with etching is observed to be independent of stripes and wrinkles in graphene. • Variation in etched pattern of graphene depending on the base Cu grain is confirmed. • This finding will help to understand the nature of microscopic etched pattern in graphene. - Abstract: Anisotropic etching of graphene and other two dimensional materials is an important tool to understand the growth process as well as enabling fabrication of various well-defined structures. Here, we reveal the influence of copper foil polycrystalline structure on anisotropic etching process of as-synthesized graphene. Graphene crystals were synthesized on the polycrystalline Cu foil by a low-pressure chemical vapor deposition (LPCVD) system. Microscopic analysis shows difference in shape, size and stripes alignment of graphene crystals with dissimilar nucleation within closure vicinity of neighboring Cu grains. Post-growth etching of such graphene crystals also significantly affected by the crystallographic nature of Cu grains as observed by the field emission scanning electron microscope (FE-SEM) and electron back scattered diffraction (EBSD) analysis. Hexagonal hole formation with anisotropic etching is observed to be independent of the stripes and wrinkles in the synthesized graphene. We also observed variation in etched pattern of the graphene depending on the base Cu grain orientations, attributing to difference in nucleation and growth process. The findings can facilitate to understand the nature of microscopic etched pattern depending on metal

  20. Gold core@silver semishell Janus nanoparticles prepared by interfacial etching

    Science.gov (United States)

    Chen, Limei; Deming, Christopher P.; Peng, Yi; Hu, Peiguang; Stofan, Jake; Chen, Shaowei

    2016-07-01

    Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold cores, as manifested in transmission electron microscopy, UV-vis absorption, and X-ray photoelectron spectroscopy measurements. Interestingly, the Au@Ag semishell Janus nanoparticles exhibited enhanced electrocatalytic activity in oxygen reduction reactions, as compared to their Au@Ag and Ag@Au core-shell counterparts, likely due to a synergistic effect between the gold cores and silver semishells that optimized oxygen binding to the nanoparticle surface.Gold core@silver semishell Janus nanoparticles were prepared by chemical etching of Au@Ag core-shell nanoparticles at the air/water interface. Au@Ag core-shell nanoparticles were synthesized by chemical deposition of a silver shell onto gold seed colloids followed by the self-assembly of 1-dodecanethiol onto the nanoparticle surface. The nanoparticles then formed a monolayer on the water surface of a Langmuir-Blodgett trough, and part of the silver shell was selectively etched away by the mixture of hydrogen peroxide and ammonia in the water subphase, where the etching was limited to the side of the nanoparticles that was in direct contact with water. The resulting Janus nanoparticles exhibited an asymmetrical distribution of silver on the surface of the gold

  1. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  2. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  3. Highly sensitive refractive index fiber inline Mach-Zehnder interferometer fabricated by femtosecond laser micromachining and chemical etching

    Science.gov (United States)

    Sun, Xiao-Yan; Chu, Dong-Kai; Dong, Xin-Ran; Zhou, Chu; Li, Hai-Tao; Luo-Zhi; Hu, You-Wang; Zhou, Jian-Ying; Cong-Wang; Duan, Ji-An

    2016-03-01

    A High sensitive refractive index (RI) sensor based on Mach-Zehnder interferometer (MZI) in a conventional single-mode optical fiber is proposed, which is fabricated by femtosecond laser transversal-scanning inscription method and chemical etching. A rectangular cavity structure is formed in part of fiber core and cladding interface. The MZI sensor shows excellent refractive index sensitivity and linearity, which exhibits an extremely high RI sensitivity of -17197 nm/RIU (refractive index unit) with the linearity of 0.9996 within the refractive index range of 1.3371-1.3407. The experimental results are consistent with theoretical analysis.

  4. Chemically etched edges of YBa2Cu3O7 films for interconnects, crossovers and Josephson junctions

    International Nuclear Information System (INIS)

    Poppe, U.; Faley, M.I.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems during the preparation of Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The inherently short and anisotropic coherence length in high temperature superconductors makes it also difficult to fabricate Josephson junctions from these materials. One promising technique which helps to overcome such problems using a nonaqueous chemical etching with a Br-ethanol solution was first presented in a recent publication. Here we report results obtained with the use of this method: test of insulation properties of PrBa 2 Cu 3 O 7 , PrBa 2 Cu 2.85 Ga 0.15 O 7 , and SrTiO 3 used for crossovers and Josephson junctions. Some features of interconnects and Josephson junctions, prepared on the basis of the chemical technique are also discussed. (orig.)

  5. Metal-assisted chemical etching in HF/Na2S2O8 OR HF/KMnO4 produces porous silicon

    NARCIS (Netherlands)

    Hadjersi, T.; Gabouze, N.; Kooij, Ernst S.; Zinine, A.; Zinine, A.; Ababou, A.; Chergui, W.; Cheraga, H.; Belhousse, S.; Djeghri, A.

    2004-01-01

    A new metal-assisted chemical etching method using Na2S2O8 or KMnO4 as an oxidizing agent was proposed to form a porous silicon layer on a highly resistive p-type silicon. A thin layer of Ag or Pd is deposited on the Si(100) surface prior to immersion in a solution of HF and Na2S2O8 or HF and KMnO4.

  6. Carrier-lifetime-controlled selective etching process for semiconductors using photochemical etching

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.

    1992-01-01

    This patent describes a process for selectively photochemically etching a semiconductor material. It comprises introducing at least one impurity into at least one selected region of a semiconductor material to be etched to increase a local impurity concentration in the at least one selected region relative to an impurity concentration in regions of the semiconductor material adjacent thereto, for reducing minority carrier lifetimes within the at least one selected region relative to the adjacent regions for thereby providing a photochemical etch-inhibiting mask at the at least one selected region; and etching the semiconductor material by subjecting the surface of the semiconductor material to a carrier-driven photochemical etching reaction for selectively etching the regions of the semiconductor material adjacent the at least one selected region having the increase impurity concentration; wherein the step of introducing at least one impurity is performed so as not to produce damage to the at least one selected region before any etching is performed

  7. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  8. Particle precipitation in connection with KOH etching of silicon

    DEFF Research Database (Denmark)

    Nielsen, Christian Bergenstof; Christensen, Carsten; Pedersen, Casper

    2004-01-01

    This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show that the precipi......This paper considers the precipitation of iron oxide particles in connection with the KOH etching of cavities in silicon wafers. The findings presented in this paper suggest that the source to the particles is the KOH pellets used for making the etching solution. Experiments show...... that the precipitation is independent of KOH etching time, but that the amount of deposited material varies with dopant type and dopant concentration. The experiments also suggest that the precipitation occurs when the silicon wafers are removed from the KOH etching solution and not during the etching procedure. When...... not removed, the iron oxide particles cause etch pits on the Si surface when later processed and exposed to phosphoric acid. It has been found that the particles can be removed in an HCl solution, but not completely in an H2SO4- H2O2 solution. The paper discusses the involved precipitation mechanism in terms...

  9. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  10. PMMA-Etching-Free Transfer of Wafer-scale Chemical Vapor Deposition Two-dimensional Atomic Crystal by a Water Soluble Polyvinyl Alcohol Polymer Method

    Science.gov (United States)

    van Ngoc, Huynh; Qian, Yongteng; Han, Suk Kil; Kang, Dae Joon

    2016-09-01

    We have explored a facile technique to transfer large area 2-Dimensional (2D) materials grown by chemical vapor deposition method onto various substrates by adding a water-soluble Polyvinyl Alcohol (PVA) layer between the polymethyl-methacrylate (PMMA) and the 2D material film. This technique not only allows the effective transfer to an arbitrary target substrate with a high degree of freedom, but also avoids PMMA etching thereby maintaining the high quality of the transferred 2D materials with minimum contamination. We applied this method to transfer various 2D materials grown on different rigid substrates of general interest, such as graphene on copper foil, h-BN on platinum and MoS2 on SiO2/Si. This facile transfer technique has great potential for future research towards the application of 2D materials in high performance optical, mechanical and electronic devices.

  11. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  12. Grafting on nuclear tracks using the active sites that remain after the etching process

    International Nuclear Information System (INIS)

    Mazzei, R.; Bermudez, G. Garcia; Chappa, V.C.; Grosso, M.F. del; Fernandez, A.

    2006-01-01

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam

  13. Grafting on nuclear tracks using the active sites that remain after the etching process

    Energy Technology Data Exchange (ETDEWEB)

    Mazzei, R. [Unidad de Aplicaciones Tecnologicas y Agropecuarias, CNEA, 1429 Buenos Aires (Argentina) and Universidad Tecnologica Nacional, Buenos Aires (Argentina)]. E-mail: mazzei@cae.cnea.gov.ar; Bermudez, G. Garcia [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Escuela de Ciencia y Tecnologia, UNSAM, 1653 Buenos Aires (Argentina); Consejo Nacional de Investigaciones Cientificas y Tecnicas (Argentina); Chappa, V.C. [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); Grosso, M.F. del [U. A. de Fisica, Tandar, CNEA, 1429 Buenos Aires (Argentina); U. A. de Materiales, CNEA, 1429 Buenos Aires (Argentina); Fernandez, A. [Universidad Tecnologica Nacional, Buenos Aires (Argentina)

    2006-09-15

    Poly(propylene) foils were irradiated with Ag ions and then chemically etched to produce samples with structured surfaces. After the etching procedure the active sites that remain on the latent track were used to graft acrylic acid. Nuclear tracks before grafting were visualised using a transmission electron microscope. The grafting yields were determined by weight measurements as a function of ion fluence, etching and grafting time, and were also analysed using Fourier transform infrared spectroscopy. Both measurements suggest that the acrylic acid was grafted on etched tracks using the active sites produced by the swift heavy ion beam.

  14. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  15. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Self-etching adhesive on intact enamel, with and without pre-etching.

    Science.gov (United States)

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  17. Applications for Track-etched Templates and Films

    International Nuclear Information System (INIS)

    Ferain, E.

    2006-01-01

    Track etching technology of first generation is mainly used for the production of self-supported membranes made of polycarbonate (PC) or polyethylene terephthalate (PET) with randomly distributed pores. Typical membrane thickness is between 10 and 20 microns and pore size is in the range 0,1 μm to 10 μm. Second generation track etching technology overcomes many of limitations and offers new advantages : true nanopores down to 10 nm with well-controlled pore shape in a large range of pore densities, use of polymer (polyimide-PI) resistant to high temperature (up to 430 degree), ability to track etch a thin polymeric layer deposited on a substrate (such as glass, quartz, silicon, oxides, ...) and ability to confine nanopores into zones as small as 10 micron square (patterning process). This second generation technology, when applied to larger pore size, also contributes to a better membrane with potential benefits as e.g. a more precise cut-off. Another feature of the second generation technology is the patterning of the polymer layers - i.e. the nanopores can be grown in defined areas of the polymer layers. Smart membranes are used as separation barriers and flow controllers in devices such as chemical and biochemical sensors and analysers (lab on a chip, microtitre plates, ...). For example, a specific track etched membrane has been designed to be used as a selective separation barrier in a project intends to develop, improve and validate an efficient reliable bioartificial pancreas for human application. A variety of materials (metals, semiconductors, oxides, heterostructures) can be deposited into the pores as nanowires or nanotubes; these structures can be produced with over wide range of aspect ratios with excellent shape control, and can be either used in-situ or easily harvested by simple chemical dissolution

  18. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  19. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  20. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    Science.gov (United States)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  1. Etching of LiNbO/sub 3/ by laser-driven fusion of salts

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Brannon, P.J.

    1987-01-01

    Lithium niobate exhibits low reactivity with most chemical etchants. Consequently, etching a LiNbO/sub 3/ surface to produce optical structures such as ridge waveguides or grooves for fiber coupling normally requires relatively slow processes such as ion milling. The authors have developed a laser-driven chemical etching process for etching highly unreactive ionic solids based on the fusion of salts in the molten phase and show that the etch rate can be more than 100 times faster than ion milling rates. This process involves spatially localized melting of LiNbO/sub 3/ by high-power density laser pulses with photon energies in excess of the band gap of LiNbO/sub 3/. While molten, LiNbO/sub 3/ undergoes reaction with a surface coating of KF to form niobium oxyfluoride anions by fusion of the salts. The resulting solid is highly water soluble. The insolubility of LiNbO/sub 3/ permits subsequent removal of only the irradiated area by rinsing in water. Surface morphology is determined by laser power density. The process exhibits a wavelength dependence

  2. ECE laboratory in the Vinca Institute - its basic characteristics and fundamentals of electrochemical etching on polycarbonate

    International Nuclear Information System (INIS)

    Zunic, Z.S.; Ujic, P.; Celikovic, I.; Fujimoto, K.

    2003-01-01

    This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINCA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up, i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings. (author)

  3. The etching property of the surface of CR-39 and the track core radius of fission fragment

    CERN Document Server

    Mineyama, D; Yamauchi, T; Oda, K; El-Rahman, A

    2002-01-01

    The etch pits of fission fragments in CR-39 detector have been observed carefully using an atomic force microscope (AFM) after extremely short chemical etching in stirred 6N KOH solution kept at 70degC. It was found that there existed a thin layer where the bulk etch rate is relativity from large the etch-pit growth curve for the etching duration between 10 and 1800 seconds. The track core radius of fission fragment was evaluated to be about 6 nm from the extrapolation of the growth curve in a thinner region. (author)

  4. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    Directory of Open Access Journals (Sweden)

    Zhan Zhan

    2017-02-01

    Full Text Available In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement.

  5. Bulk etching characteristics of CR-39 track detectors in hydroxide solutions

    International Nuclear Information System (INIS)

    Fonseca, E.S. da; Knoefel, T.M.J.; Tavares, O.A.P.

    1983-01-01

    A systematic study of the bulk etch rate of CR-39 track detectors in KOH and NaOH aqueous solutions is presented. A number of unirradiated and non-thermally treated CR-39 samples were chemically attacked in KOH and NaOH solutions of concentration and temperature in the range 2-10 N and 50-90 0 C, respectively. From measurements of the thickness of layers removed as a function of the etching time, the bulk etch rate υ β and the induction time T ο for surface removal were obtained for each etching condition. For both NaOH and KOH solution the activation energy of the process was derived as E = 0.76 ± 0.05 eV. It was observed that the induction time decreases both with increasing normality and temperature of the solution. (author) [pt

  6. Surface etching mechanism of carbon-doped Ge{sub 2}Sb{sub 2}Te{sub 5} phase change material in fluorocarbon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Lanlan [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China); Graduate School of the Chinese Academy of Sciences, Beijing (China); Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Wu, Liangcai; Liu, Bo; Feng, Songlin [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China)

    2016-09-15

    Recently, carbon-doped Ge2Sb2Te5 (CGST) phase change material has been widely researched for being highly promising material for future phase change memory application. In this paper, the reactive-ion etching of CGST film in CF{sub 4}/Ar plasma is studied. Compared with GST, the etch rate of CGST is relatively lower due to the existence of carbon which reduce the concentration of F or CF{sub x} reactive radicals. It was found that Argon plays an important role in defining the sidewall edge acuity. Compared with GST, more physical bombardment is required to obtain vertical sidewall of CGST. The effect of fluorocarbon gas on the damage of the etched CGST film was also investigated. A Ge- and Sb-deficient layer with tens of nanometers was observed by TEM combining with XPS analysis. The reaction between fluorocarbon plasma and CGST is mainly dominated by the diffusion and consumption of reactive fluorine radicals through the fluorocarbon layer into the CGST substrate material. The formation of damage layer is mainly caused by strong chemical reactivity, low volatility of reaction compounds and weak ion bombardment. (orig.)

  7. Investigation of particle reduction and its transport mechanism in UHF-ECR dielectric etching system

    International Nuclear Information System (INIS)

    Kobayashi, Hiroyuki; Yokogawa, Ken'etsu; Maeda, Kenji; Izawa, Masaru

    2008-01-01

    Control of particle transport was investigated by using a UHF-ECR etching apparatus with a laser particle monitor. The particles, which float at a plasma-sheath boundary, fall on a wafer when the plasma is turned off. These floating particles can be removed from the region above the wafer by changing the plasma distribution. We measured the distribution of the rotational temperature of nitrogen molecules across the wafer to investigate the effect of the thermophoretic force. We found that mechanisms of particle transport in directions parallel to the wafer surface can be explained by the balance between thermophoretic and gas viscous forces

  8. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    Science.gov (United States)

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  9. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  10. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  11. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    Science.gov (United States)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  12. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  13. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  14. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  15. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    Science.gov (United States)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  16. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  17. Fluorocarbon based atomic layer etching of Si{sub 3}N{sub 4} and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20742 (United States); Lai, Chiukin Steven; Hudson, Eric A. [Lam Research Corporation, 4400 Cushing Parkway, Fremont, California 94538 (United States)

    2016-07-15

    Angstrom-level plasma etching precision is required for semiconductor manufacturing of sub-10 nm critical dimension features. Atomic layer etching (ALE), achieved by a series of self-limited cycles, can precisely control etching depths by limiting the amount of chemical reactant available at the surface. Recently, SiO{sub 2} ALE has been achieved by deposition of a thin (several Angstroms) reactive fluorocarbon (FC) layer on the material surface using controlled FC precursor flow and subsequent low energy Ar{sup +} ion bombardment in a cyclic fashion. Low energy ion bombardment is used to remove the FC layer along with a limited amount of SiO{sub 2} from the surface. In the present article, the authors describe controlled etching of Si{sub 3}N{sub 4} and SiO{sub 2} layers of one to several Angstroms using this cyclic ALE approach. Si{sub 3}N{sub 4} etching and etching selectivity of SiO{sub 2} over Si{sub 3}N{sub 4} were studied and evaluated with regard to the dependence on maximum ion energy, etching step length (ESL), FC surface coverage, and precursor selection. Surface chemistries of Si{sub 3}N{sub 4} were investigated by x-ray photoelectron spectroscopy (XPS) after vacuum transfer at each stage of the ALE process. Since Si{sub 3}N{sub 4} has a lower physical sputtering energy threshold than SiO{sub 2}, Si{sub 3}N{sub 4} physical sputtering can take place after removal of chemical etchant at the end of each cycle for relatively high ion energies. Si{sub 3}N{sub 4} to SiO{sub 2} ALE etching selectivity was observed for these FC depleted conditions. By optimization of the ALE process parameters, e.g., low ion energies, short ESLs, and/or high FC film deposition per cycle, highly selective SiO{sub 2} to Si{sub 3}N{sub 4} etching can be achieved for FC accumulation conditions, where FC can be selectively accumulated on Si{sub 3}N{sub 4} surfaces. This highly selective etching is explained by a lower carbon consumption of Si{sub 3}N{sub 4} as compared to Si

  18. Low-Temperature Aging of Delta-Ferrite in 316L SS Welds; Changes in Mechanical Properties and Etching Properties

    Science.gov (United States)

    Abe, Hiroshi; Shimizu, Keita; Watanabe, Yutaka

    Thermal aging embrittlement of LWR components made of stainless cast (e.g. CF-8 and CF-8M) is a potential degradation issue, and careful attention has been paid on it. Although welds of austenitic stainless steels (SSs) have γ-δ duplex microstructure, which is similar to that of the stainless cast, examination on thermal aging characteristics of the SS welds is very limited. In order to evaluate thermal aging behavior of weld metal of austenitic stainless steel, the 316L SS weld metal has been prepared and changes in mechanical properties and in etching properties at isothermal aging at 335°C have been investigated. The hardness of the ferrite phase has increased with aging, while the hardness of austenite phase has stayed same. It has been suggested that spinodal decomposition has occurred in δ-ferrite by the 335°C aging. The etching rates of δ-ferrite at immersion test in 5wt% hydrochloric acid solution have been also investigated using an AFM technique. The etching rate of ferrite phase has decreased consistently with the increase in hardness of ferrite phase. It has been thought that this characteristic is also caused by spinodal decomposition of ferrite into chromium-rich (α') and iron-rich (α).

  19. Real-Time Observation of Carbon Nanotube Etching Process Using Polarized Optical Microscope.

    Science.gov (United States)

    Zhao, Qiuchen; Yao, Fengrui; Wang, Zequn; Deng, Shibin; Tong, Lianming; Liu, Kaihui; Zhang, Jin

    2017-08-01

    Controllable synthesis of carbon nanotubes (CNTs) is of great importance in its further application, which attracts broad attention. As growth and etching are the two sides in the process of material crystallography and the control of the competition between them forms the foundation for modern technology of materials design and manufacture, the understanding on etching process of carbon nanotubes is still very unclear because technically it is of great challenge to characterize the dynamics in such small one-dimensional (1D) scale. Here the real-time investigation on the etching process of CNTs is reported, by the hot-wall chemical reactor equipped with a polarized optical microscope. It is discovered that the CNT etching behavior in air is totally of random, including the etching sites, termination sites, and structure dependence. Combining with the dynamic simulation, it is revealed that the random behavior reflects the unique "self-termination" phenomenon. A structure-independent etching propagation barrier of 2.4 eV is also obtained, which indicates that the etching propagation process still follows the conventional Kinetic Wulff construction theory. The results represent the new knowledge on the etching process in carbon nanotube and can contribute to its selective enrichment. Furthermore, the "self-termination" phenomenon may be a universal behavior in 1D process. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Fabrication and characterization of a nanometer-sized optical fiber electrode based on selective chemical etching for scanning electrochemical/optical microscopy.

    Science.gov (United States)

    Maruyama, Kenichi; Ohkawa, Hiroyuki; Ogawa, Sho; Ueda, Akio; Niwa, Osamu; Suzuki, Koji

    2006-03-15

    We have already reported a method for fabricating ultramicroelectrodes (Suzuki, K. JP Patent, 2004-45394, 2004). This method is based on the selective chemical etching of optical fibers. In this work, we undertake a detailed investigation involving a combination of etched optical fibers with various types of tapered tip (protruding-shape, double- (or pencil-) shape and triple-tapered electrode) and insulation with electrophoretic paint. Our goal is to establish a method for fabricating nanometer-sized optical fiber electrodes with high reproducibility. As a result, we realized pencil-shaped and triple-tapered electrodes that had radii in the nanometer range with high reproducibility. These nanometer-sized electrodes showed well-defined sigmoidal curves and stable diffusion-limited responses with cyclic voltammetry. The pencil-shaped optical fiber, which has a conical tip with a cone angle of 20 degrees , was effective for controlling the electrode radius. The pencil-shaped electrodes had higher reproducibility and smaller electrode radii (r(app) etched optical fiber electrodes. By using a pencil-shaped electrode with a 105-nm radius as a probe, we obtained simultaneous electrochemical and optical images of an implantable interdigitated array electrode. We achieved nanometer-scale resolution with a combination of scanning electrochemical microscopy SECM and optical microscopy. The resolution of the electrochemical and optical images indicated sizes of 300 and 930 nm, respectively. The neurites of living PC12 cells were also successfully imaged on a 1.6-microm scale by using the negative feedback mode of an SECM.

  1. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  2. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  3. Texture-Etched SnO2 Glasses Applied to Silicon Thin-Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Bing-Rui Wu

    2014-01-01

    Full Text Available Transparent electrodes of tin dioxide (SnO2 on glasses were further wet-etched in the diluted HCl:Cr solution to obtain larger surface roughness and better light-scattering characteristic for thin-film solar cell applications. The process parameters in terms of HCl/Cr mixture ratio, etching temperature, and etching time have been investigated. After etching process, the surface roughness, transmission haze, and sheet resistance of SnO2 glasses were measured. It was found that the etching rate was increased with the additions in etchant concentration of Cr and etching temperature. The optimum texture-etching parameters were 0.15 wt.% Cr in 49% HCl, temperature of 90°C, and time of 30 sec. Moreover, silicon thin-film solar cells with the p-i-n structure were fabricated on the textured SnO2 glasses using hot-wire chemical vapor deposition. By optimizing the texture-etching process, the cell efficiency was increased from 4.04% to 4.39%, resulting from the increment of short-circuit current density from 14.14 to 15.58 mA/cm2. This improvement in cell performances can be ascribed to the light-scattering effect induced by surface texturization of SnO2.

  4. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  5. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    Energy Technology Data Exchange (ETDEWEB)

    Vass, Cs., E-mail: vasscsaba@physx.u-szeged.hu [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary); Kiss, B.; Kopniczky, J.; Hopp, B. [Department of Optics and Quantum Electronics, University of Szeged, H-6720 Szeged, Dóm tér 9 (Hungary)

    2013-08-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ{sub FWHM} = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm{sup 2}, while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm{sup 2}. The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  6. Etching of fused silica fiber by metallic laser-induced backside wet etching technique

    International Nuclear Information System (INIS)

    Vass, Cs.; Kiss, B.; Kopniczky, J.; Hopp, B.

    2013-01-01

    The tip of multimode fused silica fiber (core diameter: 550 μm) was etched by metallic laser-induced backside wet etching (M-LIBWE) method. Frequency doubled, Q-switched Nd:YAG laser (λ = 532 nm; τ FWHM = 8 ns) was used as laser source. The laser beam was coupled into the fiber by a fused silica lens with a focal length of 1500 mm. The other tip of the fiber was dipped into liquid gallium metallic absorber. The etching threshold fluence was measured to be 475 mJ/cm 2 , while the highest fluence, which resulted etching without breaking the fiber, was 1060 mJ/cm 2 . The progress of etching was followed by optical microscopy, and the etch rate was measured to be between 20 and 37 nm/pulse depending on the applied laser energy. The surface morphologies of the etched tips were studied by scanning electron microscopy. A possible application of the structured fibers was also tested.

  7. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  8. Process margin enhancement for 0.25-μm metal etch process

    Science.gov (United States)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  9. Track-etch dosemeter response to neutrons up to 300 MeV

    International Nuclear Information System (INIS)

    Devine, R.T.; Walker, S.; Staples, P.; Duran, M.; Mundis, R.; Miller, J.

    1996-01-01

    Electro-chemical and chemical track-etch dosemeters were obtained from commercial suppliers and exposed to neutrons produced at the LANTF WNR white neutron source at 15 degree with no shielding and filtered by polyethylene blocks of 2.5, 5.1, 10.2, 20.3 and 40.6 cin thickness. The neutron spectrum was determined using calculations. Mean energies from 28 to 300 MeV were produced. Dose was calculated from the NCRP-38 flux-to-dose conversion. The results are compared with NTA film which was exposed in the same configuration. The response of track etch dosimeters was found to reach a minimum and then rise as the average neutron energy increased. The response of the NTA film increased as the neutron energy increased

  10. Production parameters for the formation of metallic nanotubules in etched tracks

    International Nuclear Information System (INIS)

    Fink, D.; Petrov, A.V.; Rao, V.; Wilhelm, M.; Demyanov, S.; Szimkowiak, P.; Behar, M.; Alegaonkar, P.S.; Chadderton, L.T.

    2003-01-01

    The formation of conducting nanotubules in etched tracks is reported in literature since about a decade. However, up to now precise production recipes are scarce. For this sake we present here a systematic study on some important factors that influence the formation of metallic nanotubules. In the case of chemical deposition, the first question to be answered is the choice of the activation technique to produce the required activation centers. Both the time of activation and the time of subsequent chemical deposition are crucial parameters in this connection. Finally, the maximum temperature is determined up to which thermal stability of the etched tracks and of the tubules therein is given. This study should allow one to predict better the efficiency of conducting nanotubule formation

  11. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  12. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  13. Two-step controllable electrochemical etching of tungsten scanning probe microscopy tips

    KAUST Repository

    Khan, Yasser; Al-Falih, Hisham; Ng, Tien Khee; Ooi, Boon S.; Zhang, Yaping

    2012-01-01

    Dynamic electrochemical etching technique is optimized to produce tungsten tips with controllable shape and radius of curvature of less than 10 nm. Nascent features such as dynamic electrochemical etching and reverse biasing after drop-off are utilized, and two-step dynamic electrochemical etching is introduced to produce extremely sharp tips with controllable aspect ratio. Electronic current shut-off time for conventional dc drop-off technique is reduced to ?36 ns using high speed analog electronics. Undesirable variability in tip shape, which is innate to static dc electrochemical etching, is mitigated with novel dynamic electrochemical etching. Overall, we present a facile and robust approach, whereby using a novel etchant level adjustment mechanism, 30° variability in cone angle and 1.5 mm controllability in cone length were achieved, while routinely producing ultra-sharp probes. © 2012 American Institute of Physics.

  14. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy

    International Nuclear Information System (INIS)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Terziotti, Daniela; Bonera, Emiliano; Spinella, Corrado; Nicotra, Giuseppe

    2012-01-01

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe. (paper)

  15. Homogeneity of Ge-rich nanostructures as characterized by chemical etching and transmission electron microscopy.

    Science.gov (United States)

    Bollani, Monica; Chrastina, Daniel; Montuori, Valeria; Terziotti, Daniela; Bonera, Emiliano; Vanacore, Giovanni M; Tagliaferri, Alberto; Sordan, Roman; Spinella, Corrado; Nicotra, Giuseppe

    2012-02-03

    The extension of SiGe technology towards new electronic and optoelectronic applications on the Si platform requires that Ge-rich nanostructures be obtained in a well-controlled manner. Ge deposition on Si substrates usually creates SiGe nanostructures with relatively low and inhomogeneous Ge content. We have realized SiGe nanostructures with a very high (up to 90%) Ge content. Using substrate patterning, a regular array of nanostructures is obtained. We report that electron microscopy reveals an abrupt change in Ge content of about 20% between the filled pit and the island, which has not been observed in other Ge island systems. Dislocations are mainly found within the filled pit and only rarely in the island. Selective chemical etching and electron energy-loss spectroscopy reveal that the island itself is homogeneous. These Ge-rich islands are possible candidates for electronic applications requiring locally induced stress, and optoelectronic applications which exploit the Ge-like band structure of Ge-rich SiGe.

  16. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  17. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    Science.gov (United States)

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (pceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  18. Annealing and etching effects on strain and stress sensitivity of polymer optical fibre Bragg grating sensors

    DEFF Research Database (Denmark)

    Pospori, A.; Marques, C. A.F.; Sáez-Rodríguez, D.

    2017-01-01

    Thermal annealing and chemical etching effects on the strain and stress sensitivity of polymer optical fibre based sensors are investigated. Bragg grating sensors have been photo-inscribed in PMMA optical fibre and their strain and stress sensitivity has been characterised before and after any...... annealing or etching process. The annealing and etching processes have been tried in different sequence in order to investigate their impact on the sensor's performance. Results show with high confidence that fibre annealing can improve both strain and stress sensitivities. The fibre etching can also...... provide stress sensitivity enhancement, however the strain sensitivity changes seems to be random....

  19. Biomimetic hydrophobic surface fabricated by chemical etching method from hierarchically structured magnesium alloy substrate

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yan; Yin, Xiaoming; Zhang, Jijia [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Wang, Yaming [Institute for Advanced Ceramics, Harbin Institute of Technology, Harbin 150001 (China); Han, Zhiwu, E-mail: zwhan@jlu.edu.cn [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China); Ren, Luquan [Key Laboratory of Bionic Engineering (Ministry of Education), Jilin University, Changchun 130022 (China)

    2013-09-01

    As one of the lightest metal materials, magnesium alloy plays an important role in industry such as automobile, airplane and electronic product. However, magnesium alloy is hindered due to its high chemical activity and easily corroded. Here, inspired by typical plant surfaces such as lotus leaves and petals of red rose with super-hydrophobic character, the new hydrophobic surface is fabricated on magnesium alloy to improve anti-corrosion by two-step methodology. The procedure is that the samples are processed by laser first and then immersed and etched in the aqueous AgNO{sub 3} solution concentrations of 0.1 mol/L, 0.3 mol/L and 0.5 mol/L for different times of 15 s, 40 s and 60 s, respectively, finally modified by DTS (CH{sub 3}(CH{sub 2}){sub 11}Si(OCH{sub 3}){sub 3}). The microstructure, chemical composition, wettability and anti-corrosion are characterized by means of SEM, XPS, water contact angle measurement and electrochemical method. The hydrophobic surfaces with microscale crater-like and nanoscale flower-like binary structure are obtained. The low-energy material is contained in surface after DTS treatment. The contact angles could reach up to 138.4 ± 2°, which hydrophobic property is both related to the micro–nano binary structure and chemical composition. The results of electrochemical measurements show that anti-corrosion property of magnesium alloy is improved. Furthermore, our research is expected to create some ideas from natural enlightenment to improve anti-corrosion property of magnesium alloy while this method can be easily extended to other metal materials.

  20. Effect of etching current density on microstructure and NH3-sensing properties of porous silicon with intermediate-sized pores

    International Nuclear Information System (INIS)

    Li, Mingda; Hu, Ming; Zeng, Peng; Ma, Shuangyun; Yan, Wenjun; Qin, Yuxiang

    2013-01-01

    In this work, porous silicon with intermediate-sized pores (intermediate–PS) was prepared by using galvanostatic electrochemical etching method and the effect toward sensing response characteristics of NH 3 gas was also studied. The morphology and surface chemical bonds of intermediate–PS were characterized by using field emission scanning electron microscope (FESEM) and Fourier transform infrared spectroscopy (FTIR), respectively. The results showed the intermediate–PS microstructure can be significantly modulated by the etching current density. Moreover, the freshly prepared intermediate–PS surface could achieve reliable passivation after storage in ethanol. Furthermore, the gas-sensing measurements of the intermediate–PS sensors were carried out versus different concentrations of NH 3 . The PS sensor exhibited good NH 3 -sensing performances at room temperature owing to its unique microstructure features, including large specific surface area and highly ordered pore channels. In addition, the conceivable pore formation mechanism as well as gas sensing mechanism was also discussed

  1. Fabrication of micromechanical structures on substrates selectively etched using a micropatterned ion-implantation method

    International Nuclear Information System (INIS)

    Nakano, Shizuka; Nakagawa, Sachiko; Ishikawa, Haruo; Ogiso, Hisato

    2001-01-01

    An advanced micromachining technique using ion implantation to modify materials was studied. Gold ion implantation into silicon decreased the etching rate when the silicon was etched in potassium hydroxide solution after the ion implantation; the implanted region remained, thus forming the microstructure. Observation of the cross-section of the resulting etched structure by transmission electron microscopy showed that the structure was made only from the ion-implanted region, and that gold was precipitated on the surface. To clarify the mechanism involved in the decrease in the etching rate, we varied the etching conditions. Our results show that precipitation of implanted gold on the surface decreased the etching rate, because solubility of gold is lower

  2. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  3. Effect of pre-etching on sealing ability of two current self-etching adhesives

    Directory of Open Access Journals (Sweden)

    K Khosravi

    2005-05-01

    Full Text Available Background: We evaluated the effect of phosphoric acid etching on microleakage of two current self-etching adhesives on enamel margins in comparison to a conventional total- etch system. Methods: Sixty buccal class V cavities were made at the cemento-enamel junction with beveled enamel margins of extracted human premolar teeth and randomly divided into five groups (12 specimens in each group. Group 1 was applying with Clearfil SE bond, Group 2 with 35% phosphoric acid etching of enamel margins plus Clearfil SE bond, Group3 with I bond, Group 4 with 35% phosphoric acid etching of enamel margins plus I bond and Group5 with Scotchbond multi-purpose. All groups restored with a composite resins. After 24 hours storage with 100% humidity, the samples were thermocycled, immersed in a dye solution and sectioned buccoligually and enamel margins microleakage were evaluated on a scale of 0 to 2. Results: The differences between Groups 1 & 3 and Groups 3 & 4 were significant (P<0.05 but no significant differences between Groups1 & 2 or 1 & 5 were observed. Conclusion: The findings suggest that all-in-one adhesive systems need pre-etching enamel margins with phosphoric acid for effectively seal. Key words: Self-Etching Adhesives, Microleakage, Enamel, Total-Etch system

  4. Controlled ion track etching

    Science.gov (United States)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  5. No-waiting dentine self-etch concept-Merit or hype.

    Science.gov (United States)

    Huang, Xue-Qing; Pucci, César R; Luo, Tao; Breschi, Lorenzo; Pashley, David H; Niu, Li-Na; Tay, Franklin R

    2017-07-01

    A recently-launched universal adhesive, G-Premio Bond, provides clinicians with the alternative to use the self-etch technique for bonding to dentine without waiting for the adhesive to interact with the bonding substrate (no-waiting self-etch; Japanese brochure), or after leaving the adhesive undisturbed for 10s (10-s self-etch; international brochure). The present study was performed to examine in vitro performance of this new universal adhesive bonded to human coronal dentine using the two alternative self-etch modes. One hundred and ten specimens were bonded using two self-etch application modes and examined with or without thermomechanical cycling (10,000 thermal cycles and 240,000 mechanical cycles) to simulate one year of intraoral functioning. The bonded specimens were sectioned for microtensile bond testing, ultrastructural and nanoleakage examination using transmission electron microscopy. Changes in the composition of mineralised dentine after adhesive application were examined using Fourier transform infrared spectroscopy. Both reduced application time and thermomechanical cycling resulted in significantly lower bond strengths, thinner hybrid layers, and significantly more extensive nanoleakage after thermomechanical cycling. Using the conventional 10-s application time improved bonding performance when compared with the no-waiting self-etch technique. Nevertheless, nanoleakage was generally extensive under all testing parameters employed for examining the adhesive. Although sufficient bond strength to dentine may be achieved using the present universal adhesive in the no-waiting self-etch mode that does not require clinicians to wait prior to polymerisation of the adhesive, this self-etch concept requires further technological refinement before it can be recommended as a clinical technique. Although the surge for cutting application time to increase user friendliness remains the most frequently sought conduit for advancement of dentine bonding

  6. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  7. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  8. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Energy Technology Data Exchange (ETDEWEB)

    Li, Kunquan, E-mail: likunquan1987@gmail.com; Zeng, Xingrong, E-mail: psxrzeng@gmail.com; Li, Hongqiang, E-mail: hqli1979@gmail.com; Lai, Xuejun, E-mail: msxjlai@scut.edu.cn

    2015-08-15

    Graphical abstract: - Highlights: • Superhydrophobic iron surfaces were prepared by etching and replacement method. • The fabrication process was simple, time-saving and inexpensive. • Galvanic replacement method was more favorable to create roughness on iron surface. • The superhydrophobic iron surface showed excellent anti-icing properties. - Abstract: Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO{sub 3}) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO{sub 3} concentration had little effect on the wetting behavior, but a high AgNO{sub 3} concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for

  9. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Science.gov (United States)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  10. ECE laboratory in the Vinča institute: Its basic characteristics and fundamentals of electrochemic etching on polycarbonate

    Directory of Open Access Journals (Sweden)

    Žunić Zora S.

    2003-01-01

    Full Text Available This paper deals with the introductory aspects of the Electrochemical Etching Laboratory installed at the VINČA Institute in the year 2003. The main purpose of the laboratory is its field application for radon and thoron large-scale survey using passive radon/thoron UFO type detectors. Since the etching techniques together with the laboratory equipment were transferred from the National Institute of Radiological Sciences, Chiba, Japan, it was necessary for both etching conditions to be confirmed and to be checked up^ i. e., bulk etching speeds of chemical etching and electrochemical etching in the VINCA Electrochemical Etching Laboratory itself. Beside this initial step, other concerns were taken into consideration in this preliminary experimental phase such as the following: the measurable energy range of the polycarbonate film, background etch pit density of the film and its standard deviation and reproducibility of the response to alpha particles for different sets of etchings.

  11. Application of the chemical vapor-etching in polycrystalline silicon solar cells

    International Nuclear Information System (INIS)

    Ben Rabha, M.; Saadoun, M.; Boujmil, M.F.; Bessais, B.; Ezzaouia, H.; Bennaceur, R.

    2005-01-01

    This paper reports a study of the application of chemical vapor-etching (CVE) for the rear surface and in the emitter of polycrystalline silicon (pc-Si) solar cells. The CVE technique consists of exposing pc-Si wafers to a mixture of HF/HNO 3 . This technique is used to groove the rear surface of the pc-Si wafers for acid vapors rich in HNO 3 (HNO 3 /HF > 1/4), in order to realize rear-buried metallic contacts (RBMC) and the formation of a porous silicon (PS) layer on the frontal surface of the cell for volume ratio of HNO 3 /HF = 1/7. A significant increase of the spectral response in the long wavelength range was observed when a RBMC is formed. This increase was attributed to the reduction of the effective thickness of the base of the cells and grain boundary Al gettering. The achievement of a PS layer on the emitter of the pc-Si cells passivates the surface and reduces the reflectivity. The dark I-V characteristics of pc-Si cells with emitter-based PS show an important reduction of the reverse current together with an improvement of the rectifying behaviour. The I-V characteristic under AM1.5 illumination shows an enhancement of both short circuit current density and fill factor. The internal quantum efficiency is improved, particularly in the short wavelengths region

  12. Uniform nano-ripples on the sidewall of silicon carbide micro-hole fabricated by femtosecond laser irradiation and acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Khuat, Vanthanh [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China); Le Quy Don Technical University, No. 100, Hoang Quoc Viet Street, Hanoi 7EN-248 (Viet Nam); Chen, Tao; Gao, Bo; Si, Jinhai, E-mail: jinhaisi@mail.xjtu.edu.cn; Ma, Yuncan; Hou, Xun [Key Laboratory for Physical Electronics and Devices of the Ministry of Education and Collaborative Innovation Center of Suzhou Nano Science and Technology, School of Electronics and Information Engineering, Xi' an Jiaotong University, No. 28, Xianning West Road, Xi' an 710049 (China)

    2014-06-16

    Uniform nano-ripples were observed on the sidewall of micro-holes in silicon carbide fabricated by 800-nm femtosecond laser and chemical selective etching. The morphology of the ripple was analyzed using scanning electronic microscopy. The formation mechanism of the micro-holes was attributed to the chemical reaction of the laser affected zone with mixed solution of hydrofluoric acid and nitric acid. The formation of nano-ripples on the sidewall of the holes could be attributed to the standing wave generated in z direction due to the interference between the incident wave and the reflected wave.

  13. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  14. Dislocation-free etching figures in crystals of CdxHg1-xTe solid solutions

    International Nuclear Information System (INIS)

    Kholina, E.N.; Khazieva, R.A.; Bursak, S.S.; Nevskij, O.B.; Filatov, A.V.; Popovyan, G.Eh.; Suslov, E.V.; Prijmak, A.N.

    1988-01-01

    Using the method of chemical etching, etching figures formed in areas distributed over Cd x Hg 1-x Te crystal with increased content of impurities consisting of Si,Al,Na,K,Cl,Fe,Ti,Mg and S mainly are revealed. The size of impurity inclusions is >1μm, that is why they cannot be detected either by optical microscopy or local microroentgenospectral analysis. Formation and distribution of impurity inclusions are obviously defined by only the concentration of impurity atoms in the melt. The shape of etching figures does not depend on crystallographical orientation of the crystal-matrix

  15. Modeling of altered layer formation during reactive ion etching of GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Mutzke, A. [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Rai, A., E-mail: Abha.Rai@ipp.mpg.de [Max-Planck-Institute of Plasmaphysics, EURATOM Association, D-17491 Greifswald (Germany); Schneider, R.; Angelin, E.J.; Hippler, R. [Institute of Physics, Ernst-Moritz-Arndt-University Greifswald, Felix-Hausdorff-Str.6, D-17489 Greifswald (Germany)

    2012-12-15

    Highlights: Black-Right-Pointing-Pointer Experimental result showing the preferential sputtering of GaAs (150 keV Ar{sup +} and thermal O on GaAs) during reactive ion beam etching (RIBE) has been reported. Black-Right-Pointing-Pointer A model based on binary collisions (SDTrimSP) is presented to simulate RIBE. Black-Right-Pointing-Pointer The model is used to explain the reported experimental data and also the results by Grigonis and co-workers [1]. - Abstract: The binary collision based SDTrimSP model has been used to simulate the reactive ion beam etching (RIBE) of GaAs in the presence of energetic Ar ions and thermal O atoms. It includes the collisional effects, diffusive processes and chemical reactions taking place in the system. The model parameters are fitted using the experimental observations of Grigonis and co-workers [1] and validated with the experimental results obtained during the GaAs ion etching presented in this paper. A detailed analysis is presented to understand the effect of the diffusive processes and the role of O during RIBE of GaAs. It is shown how the presence of damage caused by the energetic Ar coupled with the presence of thermal O opens up chemical reaction channels which eventually leads to the preferential sputtering of Ga observed at the ion etching facility at University of Greifswald.

  16. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  17. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  18. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Functionalization of nanochannels by radio-induced grafting polymerization on PET track-etched membranes

    International Nuclear Information System (INIS)

    Soto Espinoza, S.L.; Arbeitman, C.R.; Clochard, M.C.; Grasselli, M.

    2014-01-01

    The application of swift-heavy ion bombardment to polymers is a well-established technique to manufacture micro- and nanopores onto polymeric films to obtain porous membranes. A few years ago, it was realized that, during ion bombardment, the high energy deposition along the ion path through the polymer reached cylindrical damage regions corresponding to the core trace and the penumbra. After the etching procedure, there are still enough active sites left in the penumbra that can be used to initiate a polymerization process selectively inside the membrane pores. In this study, we report the grafting polymerization of glycidyl methacrylate onto etched PET foils to obtain functionalized nanochannels. Grafted polymers were labeled with a fluorescent tag and analyzed by different fluorescence techniques such as direct fluorescence, fluorescence microscopy and confocal microscopy. These techniques allowed identifying and quantifying the grafted regions on the polymeric foils. - Highlights: • Irradiated PET foils with swift-heavy ions were etched and grafted in a step-by-step process. • Grafting polymerization was performed on the remaining active sites after etching. • Track-etched PET membranes were fluorescently labeled by chemical functionalization. • Functionalized track-etched PET membranes were analyzed by fluorescence and confocal microscopy

  20. Enlargement of halloysite clay nanotube lumen by selective etching of aluminum oxide.

    Science.gov (United States)

    Abdullayev, Elshad; Joshi, Anupam; Wei, Wenbo; Zhao, Yafei; Lvov, Yuri

    2012-08-28

    Halloysite clay tubes have 50 nm diameter and chemically different inner and outer walls (inner surface of aluminum oxide and outer surface of silica). Due to this different chemistry, the selective etching of alumina from inside the tube was realized, while preserving their external diameter (lumen diameter changed from 15 to 25 nm). This increases 2-3 times the tube lumen capacity for loading and further sustained release of active chemical agents such as metals, corrosion inhibitors, and drugs. In particular, halloysite loading efficiency for the benzotriazole increased 4 times by selective etching of 60% alumina within the tubes' lumens. Specific surface area of the tubes increased over 6 times, from 40 to 250 m(2)/g, upon acid treatment.

  1. Study of substrate topographical effects on epithelial cell behavior using etched alpha-particle tracks on PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Poon, W.L.; Li, W.Y.; Cheung, T.; Cheng, S.H.; Yu, K.N.

    2008-01-01

    Micrometer-size pits on the surface of a polymer (polyallyldiglycol carbonate or PADC) substrate created by alpha-particle irradiation and subsequent chemical etching were used to study the topographical effects alone on cell behavior. Vinculin, the cell adhesion and membrane protrusion protein, was used as an indicator of cytoskeletonal reorganization on the substrate and localization of vinculin was used to demonstrate the presence of focal adhesions. In our experiments, vinculin expressed in epithelial HeLa cells cultured on PADC films with track-etch pits, but not in cells cultured on the raw or chemically etched blank films. In other words, vinculin expression was induced by the topography of track-etch pits, while etching of the substrate alone (without alpha-particle irradiation) did not cause up-regulation of vinculin protein expression. HeLa cells cultured on PADC films with track-etch pits also showed changes in cell proliferation, cell area and cell circularity, and were largely contained by the pits. In other words, the cell membrane edges tended to be in contact with the pits. By comparing the correlation between the positions of HeLa cells and the pits, and that between the positions of cells and computer-simulated pits, the tendency for membrane edges of HeLa cells to be in contact with the pits was recognized. This could be explained by inhibition of membrane protrusion at the pits. In conclusion, substrate track-etch pits were an important determinant of epithelial cell behaviors

  2. Chemical polishing of epitoxial silicon wafer

    International Nuclear Information System (INIS)

    Osada, Shohei

    1978-01-01

    SSD telescopes are used for the determination of the kind and energy of charged particles produced by nuclear reactions, and are the equipments combining ΔE counters and E counters. The ΔE counter is a thin SSD which is required to be thin and homogeneous enough to get the high resolution of measurement. The SSDs for ΔE counters have so far been obtained by polishing silicon plates mechanically and chemically or by applying electrolytic polishing method on epitaxial silicon wafers, but it was very hard to obtain them. The creative etching equipment and technique developed this time make it possible to obtain thin SSDs for ΔE counters. The outline of the etching equipment and its technique are described in the report. The etching technique applied for the silicon films for ΔE counters with thickness of about 10 μm was able to be experimentally established in this study. (Kobatake, H.)

  3. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  4. Improved degree of conversion of model self-etching adhesives through their interaction with dentin

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2011-01-01

    Objective To investigate the correlation of the chemical interaction between model self-etching adhesives and dentin with the degree of conversion (DC) of the adhesives. Methods The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentin surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. Results The DCs of the adhesives cured on the dentin substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentin surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentin was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm−1 and 960 cm−1 in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Conclusions Interaction with dentin dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterize the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. PMID:22024375

  5. Improved degree of conversion of model self-etching adhesives through their interaction with dentine.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    To investigate the correlation of the chemical interaction between model self-etching adhesives and dentine with the degree of conversion (DC) of the adhesives. The model self-etching adhesives contained bis[2-methacryloyloxy)ethyl] phosphate (2MP) and 2-hydroxyethyl methacrylate (HEMA) with a mass ratio of 1/1, and 0-40% water contents, respectively. The adhesives were applied either onto the prepared dentine surface or unreactive substrates (such as glass slides), agitated for 15s, then light-cured for 40s. The DCs of the adhesives were determined using micro-Raman spectral and mapping analysis. The DCs of the adhesives cured on the dentine substrate were found to be significantly higher than those on the unreactive glass substrate. Moreover, the DCs of the adhesives displayed a decreasing trend as the distance from the dentine surface became greater. The chemical interaction of the acidic 2MP/HEMA adhesives with the mineral apatite in dentine was proposed to play a significant role for the observations. The chemical interaction could be validated by the spectral comparison in the phosphate regions of 1100 cm(-1) and 960 cm(-1) in the Raman spectra. The results also revealed a notable influence of water content on the DC of adhesives. The DCs of the adhesive at 10% water content exhibited the highest DC level for both substrates. Interaction with dentine dramatically improved the degree of conversion of self-etching adhesives. Our ability to chemically characterise the a/d interface including in situ detection of the DC distribution is very important in understanding self-etching adhesive bonding under in vivo conditions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  6. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  7. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    Science.gov (United States)

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (pbracket bonding after enamel conditioning with any of the SEPs tested. The SEPs used in Groups C (Xeno V

  8. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    Science.gov (United States)

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (padhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  9. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  10. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  11. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  12. Pattern transfer on fused silica samples using sub-aperture reactive ion beam etching

    Energy Technology Data Exchange (ETDEWEB)

    Miessler, Andre; Arnold, Thomas [Leibniz-Institut fuer Oberflaechenmodifizierung (IOM), Permoserstrasse 15, D-04318 Leipzig (Germany)

    2012-07-01

    In comparison to sole Ar ion beam sputtering Reactive Ion Beam Etching (RIBE) reveals the main advantage of increasing the selectivity for different kind of materials due to chemical contributions during the material removal. Therefore RIBE is qualified to be an excellent candidate for pattern transfer applications. The goal of the present study is to apply a sub-aperture reactive ion beam for pattern transfer on large fused silica samples. Concerning this matter, the etching behavior in the ion beam periphery plays a decisive role. Using a Kaufman-typed ion source with NF{sub 3} as reactive gas, XPS measurements of the modified surface exposes impurities like Ni, Fe and Cr, which belongs to chemically eroded material of the plasma pot and a layer formation of silicon nitride, handicaps the etching process mainly in the beam periphery where the sputtering contribution decrease. These side effects influence the pattern transfer of trench structures, produced in AZ MIR 701 photoresist by lithography on a 2'' fused silica plate, by changing the selectivity due to modified chemical reactions of the resist layer. Concerning this we investigate a RF-Ion source for sub aperture reactive ion beam applications and finally we examine the pattern transfer on large fused silica plates using NF{sub 3}-sub-aperture RIBE.

  13. Determination of the depth of an etch pit through studies of diffraction rings

    Energy Technology Data Exchange (ETDEWEB)

    Basu, B. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Dey, S.; Maulik, A. [Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Raha, Sibaji [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India); Saha, S. [Nuclear and Atomic Physics Division, Saha Institute of Nuclear Physics, Kolkata 700 064 (India); Saha, Swapan K. [Department of Physics, Bose Institute, 93/1 APC Road, Kolkata 700 009 (India); Centre for Astroparticle Physics and Space Science, Bose institute, Kolkata 700 091 (India)], E-mail: swapan@bosemain.boseinst.ac.in; Syam, D. [Department of Physics, Presidency College, Kolkata 700 073 (India)

    2009-04-15

    A Solid State Nuclear Track Detector (SSNTD) can be used to identify an impinging ion as well as to determine the energy of that ion. The track of the ion is made visible by chemically 'etching' the detector after exposure. By finding out the ratio of the track-etch rate (V{sub t}) to the bulk-etch rate (V{sub g}), together with the range of the ion in the detector, the identity and the energy of the ion can be ascertained. The required measurements can be conveniently made with the help of a microscope when the angle of incidence of the ion, with respect to the normal direction to the detector surface, is more than 15 deg.. For normal or near normal incidence, uncertainties plague the measurement of the depth of the etch pit and hence the range of the particle. Through this article we wish to suggest an alternative method of assessment, based on the observation of diffraction rings, of the depth of an etch pit.

  14. Silicon germanium as a novel mask for silicon deep reactive ion etching

    KAUST Repository

    Serry, Mohamed Y.

    2013-10-01

    This paper reports on the use of p-type polycrystalline silicon germanium (poly-Si1-xGex) thin films as a new masking material for the cryogenic deep reactive ion etching (DRIE) of silicon. We investigated the etching behavior of various poly-Si1-xGex:B (0Etching selectivity for silicon, silicon oxide, and photoresist was determined at different etching temperatures, ICP and RF powers, and SF6 to O2 ratios. The study demonstrates that the etching selectivity of the SiGe mask for silicon depends strongly on three factors: Ge content; boron concentration; and etching temperature. Compared to conventional SiO2 and SiN masks, the proposed SiGe masking material exhibited several advantages, including high etching selectivity to silicon (>1:800). Furthermore, the SiGe mask was etched in SF6/O2 plasma at temperatures ≥ - 80°C and at rates exceeding 8 μm/min (i.e., more than 37 times faster than SiO2 or SiN masks). Because of the chemical and thermodynamic stability of the SiGe film as well as the electronic properties of the mask, it was possible to deposit the proposed film at CMOS backend compatible temperatures. The paper also confirms that the mask can easily be dry-removed after the process with high etching-rate by controlling the ICP and RF power and the SF6 to O2 ratios, and without affecting the underlying silicon substrate. Using low ICP and RF power, elevated temperatures (i.e., > - 80°C), and an adjusted O2:SF6 ratio (i.e., ~6%), we were able to etch away the SiGe mask without adversely affecting the final profile. Ultimately, we were able to develop deep silicon- trenches with high aspect ratio etching straight profiles. © 1992-2012 IEEE.

  15. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    Science.gov (United States)

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  16. Electrochemistry in anisotropic etching of silicon in alkaline solutions

    NARCIS (Netherlands)

    Nguyen, Q.D.

    2007-01-01

    Etching is the process of using an acidic or caustic chemical to cut into unprotected areas of a particular material. Initially used in the 15th century for decorating plate armor and sword blades, the metal surface (typically steel or copper) was first covered with a wax-like material. Part of the

  17. Dependence of wet etch rate on deposition, annealing conditions and etchants for PECVD silicon nitride film

    International Nuclear Information System (INIS)

    Tang Longjuan; Zhu Yinfang; Yang Jinling; Li Yan; Zhou Wei; Xie Jing; Liu Yunfei; Yang Fuhua

    2009-01-01

    The influence of deposition, annealing conditions, and etchants on the wet etch rate of plasma enhanced chemical vapor deposition (PECVD) silicon nitride thin film is studied. The deposition source gas flow rate and annealing temperature were varied to decrease the etch rate of SiN x :H by HF solution. A low etch rate was achieved by increasing the SiH 4 gas flow rate or annealing temperature, or decreasing the NH 3 and N2 gas flow rate. Concentrated, buffered, and dilute hydrofluoric acid were utilized as etchants for SiO 2 and SiN x :H. A high etching selectivity of SiO 2 over SiN x :H was obtained using highly concentrated buffered HF.

  18. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  19. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  20. Etching twin core fiber for the temperature-independent refractive index sensing

    Science.gov (United States)

    Zhang, Chuanbiao; Ning, Tigang; Li, Jing; Zheng, Jingjing; Gao, Xuekai; Lin, Heng; Pei, Li

    2018-04-01

    We proposed an ultra-compact chemically etched twin core fiber (TCF) based optic refractive index (RI) sensor, in which the etched fiber was fabricated by immersing in an aqueous solution of hydrofluoric acid (HF) to etch the cladding. Due to the multipath evolutions of light during the TCF, the mode induced interference pattern can be used for measurement. Numerical simulations were performed, demonstrating that only the cladding mode strongly interacts with the surrounding media, and the higher cladding modes will be more sensitive to external medium. In the experiment demonstration, the RI response characteristics of the sensor were investigated, which shows a relatively high RI sensitivity and a much low temperature cross-sensitivity with about 1.06 × 10-6 RIU °C-1. Due to low cost and easy fabrication, the sensor can be a suitable candidate in the biochemical field.

  1. Synthesis of Platinum Nanotubes and Nanorings via Simultaneous Metal Alloying and Etching

    KAUST Repository

    Huang, Zhiqi

    2016-04-19

    Metallic nanotubes represent a class of hollow nanostructures with unique catalytic properties. However, the wet-chemical synthesis of metallic nanotubes remains a substantial challenge, especially for those with dimensions below 50 nm. This communication describes a simultaneous alloying-etching strategy for the synthesis of Pt nanotubes with open ends by selective etching Au core from coaxial Au/Pt nanorods. This approach can be extended for the preparation of Pt nanorings when Saturn-like Au core/Pt shell nanoparticles are used. The diameter and wall thickness of both nanotubes and nanorings can be readily controlled in the range of 14-37 nm and 2-32 nm, respectively. We further demonstrated that the nanotubes with ultrathin side walls showed superior catalytic performance in oxygen reduction reaction. © 2016 American Chemical Society.

  2. Extremely superhydrophobic surfaces with micro- and nanostructures fabricated by copper catalytic etching.

    Science.gov (United States)

    Lee, Jung-Pil; Choi, Sinho; Park, Soojin

    2011-01-18

    We demonstrate a simple method for the fabrication of rough silicon surfaces with micro- and nanostructures, which exhibited superhydrophobic behaviors. Hierarchically rough silicon surfaces were prepared by copper (Cu)-assisted chemical etching process where Cu nanoparticles having particle size of 10-30 nm were deposited on silicon surface, depending on the period of time of electroless Cu plating. Surface roughness was controlled by both the size of Cu nanoparticles and etching conditions. As-synthesized rough silicon surfaces showed water contact angles ranging from 93° to 149°. Moreover, the hierarchically rough silicon surfaces were chemically modified by spin-coating of a thin layer of Teflon precursor with low surface energy. And thus it exhibited nonsticky and enhanced hydrophobic properties with extremely high contact angle of nearly 180°.

  3. Detailed microstructure analysis of as-deposited and etched porous ZnO films

    International Nuclear Information System (INIS)

    Shang, Congcong; Thimont, Yohann; Barnabé, Antoine; Presmanes, Lionel; Pasquet, Isabelle; Tailhades, Philippe

    2015-01-01

    Graphical abstract: - Highlights: • Porous ZnO thin films were deposited by rf magnetron sputtering. • Surface enhancement factors were deduced from geometrical considerations. • Enlargement of the inter-grain spaces have been achieved by HCl chemical etching. • Microstructural parameters were deduced from SEM, AFM and optical measurements. - Abstract: ZnO nanostructured materials in thin film forms are of particular interest for photovoltaic or photocatalysis processes but they suffer from a lack of simple methods for optimizing their microstructure. We have demonstrated that microporous ZnO thin films with optimized inter grain accessibility can be produce by radio frequency magnetron sputtering process and chemical etching with 2.75 mM HCl solution for different duration. The as-deposited ZnO thin films were first characterized in terms of structure, grain size, inter grain space, open cavity depth and total thickness of the film by XRD, AFM, SEM, profilometry and optical measurements. A specific attention was dedicated to the determination of the surface enhancement factor (SEF) by using basic geometrical considerations and images treatments. In addition, the porous fraction and its distribution in the thickness have been estimated thanks to the optical simulation of the experimental UV–Visible–IR spectrums using the Bruggeman dielectric model and cross section SEM images analysis respectively. This study showed that the microstructure of the as-deposited films consists of a dense layer covered by a porous upper layer developing a SEF of 12–13 m 2 m −2 . This two layers architecture is not modified by the etching process. The etching process only affects the upper porous layer in which the overall porosity and the inter-grain space increase with the etching duration. Column diameter and total film thickness decrease at the same time when the films are soaked in the HCl bath. The microporous structure obtained after the etching process could

  4. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1980-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotropic and anisotropic bulk etching as well as for thick and thin detectors. It is summarized how one can calculate the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, track profile and track contour. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (orig.)

  5. Development of etched nuclear tracks

    International Nuclear Information System (INIS)

    Somogyi, G.

    1979-01-01

    The theoretical description of the evolution of etched tracks in solid state nuclear track detectors is considered for different initial conditions, for the cases of constant and varying track etch rates, isotopic and unisotropic bulk etching as well as for thick and thin detectors. It is summarized how the main parameters of etch-pit geometry, the track length, the axes of a surface track opening, the track profile and the track contour can be calculated. The application of the theory of etch-track evolution is demonstrated with selected practical problems. Attention is paid to certain questions related to the determination of unknown track parameters and calculation of surface track sizes. Finally, the theory is extended to the description of the perforation and etch-hole evolution process in thin detectors, which is of particular interest for track radiography and nuclear filter production. (author)

  6. An etching mask and a method to produce an etching mask

    DEFF Research Database (Denmark)

    2016-01-01

    The present invention relates to an etching mask comprising silicon containing block copolymers produced by self-assembly techniques onto silicon or graphene substrate. Through the use of the etching mask, nanostructures having long linear features having sub-10 nm width can be produced....

  7. A numerical model for etching through a circular hole

    International Nuclear Information System (INIS)

    Rath, P; Chai, J C; Zheng, H; Lam, Y C; Murukeshan, V M

    2006-01-01

    A numerical model based on the total concentration of etchant is proposed to model the wet chemical etching through a circular hole. The reaction at the etchant-substrate interface is assumed to be infinitely fast i.e. etching is controlled by the diffusion of etchant to the interface. The proposed model is based on a fixed-grid approach analogous to the enthalpy method. The total concentration of etchant is the sum of the unreacted etchant concentration and the reacted etchant concentration. The reacted concentration of etchant is a measure of the etchfront position during etching. The governing mass diffusion equation based on the total concentration of etchant includes the interface condition. The etchfront position is found implicitly using the proposed approach. The computational domain is fixed, which includes the whole etchant and substrate domain including the mask region. For demonstration purposes, the finite volume method is used to solve the governing mass diffusion equation with prescribed initial and boundary conditions. The effect of mask thickness and initial etchant concentration on the shape evolution of etchfront is studied

  8. The use of CH3OH additive to NaOH for etching alpha particle tracks in a CR-39 plastic nuclear track detector

    International Nuclear Information System (INIS)

    Ashry, A.H.; Abdalla, A.M.; Rammah, Y.S.; Eisa, M.; Ashraf, O.

    2014-01-01

    Fast detection of alpha particles in CR-39 detectors was investigated using a new chemical etchant. 252 Cf and 241 Am sources were used for irradiating samples of CR-39 SSNTDs with fission fragments and alpha particles in air at normal temperature and pressure. A series of experimental chemical etching are carried out using new etching solution (8 ml of 10N NaOH+1 ml CH 3 OH) at 60 °C to detect alpha particle in short time in CR-39 detectors. Suitable analyzing software has been used to analyze experimental data. From fission and alpha track diameters, the value of bulk etching rate is equal to 2.73 μm/h. Both the sensitivity and etching efficiency were found to vary with the amount of methanol in the etching solution. Pure NaOH was used as a control to compare with the result from etching in NaOH with different concentrations of CH 3 OH. The etching efficiency is determined and compared with conventional aqueous solution of 6.25N NaOH at 70 °C for etching time equals 5 h. In this study, the obtained etching efficiency shows a considerable agreement with the previous work. - Highlights: • The value of bulk etching rate is equal to 2.73 μm/h. • Fast detection of alpha particles in CR-39 detectors. • Samples of CR-39 have been irradiated with fission fragments. • Etching efficiency was determined

  9. Fabrication of beta-PVDF membranes by track etching and specific functionalization of nano-pores

    International Nuclear Information System (INIS)

    Cuscito, O.

    2008-01-01

    Poly(vinylidene fluoride)(β-PVDF) nano-porous membranes were made by chemical revealing of tracks induced from swift heavy ions irradiation. Pore opening and radii can be varied in a controllable manner with the etching time. nano-pores size in nano-meter scale (from 12 nm to 50 nm) appears to be linearly dependent to the etching time. It was then necessary to adapt the characterization tools to these membranes. Consequently, we resorted to the use of structural analysis methods (Scanning Electron Microscopy, Small Angle Neutron Scattering) and developed evaluation methods of the membranes transport properties like gas permeation and ionic diffusion. Results obtained confirm the pores opening (break through) and the hydrophobicity of material, which we have modified with hydrophilic molecules. In this precise case, the grafting of acrylic acid was initiated by the radicals still remains after track-etching (called radio-grafting). This key result was obtained by a study of Electron Paramagnetic Resonance. The labelling of introduced chemical functionalities with fluorescent probes was a very effective mean to visualize very few amounts of molecules by confocal microscopy. The radio-grafting was found specifically localized inside etched tracks. The protocol offers the possibility to create a double functionality, the one localized inside the nano-pores and the other on the surface of membranes. The modification of radio-grafting parameters (the acrylic acid concentration, solvent nature, use of transfer agent) and the chemical properties of the nano-pore walls have a direct incidence on the transport properties. (author) [fr

  10. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  11. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  12. Synchrotron radiation induced direct photo-etching and surface modification of PTFE

    International Nuclear Information System (INIS)

    Oshima, Akihiro; Washio, Masakazu

    2003-01-01

    In the first part of this article, we have described and discussed the measurement results of etching rates by direct photo-etching using Synchrotron Radiation (SR) for various kind of crosslinked PTFEs, which were prepared by different crosslinking doses, comparing with the non-crosslinked PTFE. It has been found that the etching rates obtained for crosslinked PTFE were much larger than that of non-crosslinked one. These results are not described by simple consideration such as the G values of main chain scission. We propose that the etching rates should be discussed by the complex mechanism through at least two different steps such as polymer decomposition and fragment desorption. In the second part of the article, we have described and discussed the abnormal reaction induced at the surface region after the SR etching for non-crosslinked PTFE. Through the measurements using DSC and solid state 19 F-NMR, we have confirmed the crosslinking reaction of PTFE even in solid state PTFE. This should be induced by the very high density radical formation in very thin area of PTFE films by SR radiation. (author)

  13. The influence of diffusion of fluorine compounds for silicon lateral etching

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick; Goodyear, Alec; Braithwaite, Nicholas St.John

    2004-07-01

    In an earlier study, it was proposed that long-range surface transport of fluorine atoms could precede the eventual binding to a silicon atom. The rate of binding increases if the silicon is bombarded with high energy ions. In this study, the lateral etching of a silicon layer, sandwiched between two silicon dioxide layers, was studied in order to investigate and extend these hypotheses. The under etching of the silicon layer was higher for wafers which suffered ion bombardment, showing that this mechanism is important even for horizontal etching. At the same time, the thickness of the silicon layer was varied. In all cases, the thinner silicon layer etched much faster then the thicker layer, indicating that fluorine surface transport is much more important than re-emission for these processes. The etch rate increase with ion bombardment can be explained by the fact that part of the energy of the incoming ions is transferred to the fluorine compounds which are on the horizontal surfaces and that ion bombardment enhances the fluorine surface transport.

  14. A study on the fabrication of superhydrophobic iron surfaces by chemical etching and galvanic replacement methods and their anti-icing properties

    Science.gov (United States)

    Li, Kunquan; Zeng, Xingrong; Li, Hongqiang; Lai, Xuejun

    2015-08-01

    Hierarchical structures on iron surfaces were constructed by means of chemical etching by hydrochloric acid (HCl) solution or the galvanic replacement by silver nitrate (AgNO3) solution. The superhydrophobic iron surfaces were successfully prepared by subsequent hydrophobic modification with stearic acid. The superhydrophobic iron surfaces were characterized by Fourier transform infrared spectroscopy (FTIR), scanning electron microscopy (SEM), energy dispersive X-ray spectroscopy (EDS) and water contact angle (WCA). The effects of reactive concentration and time on the microstructure and the wetting behavior were investigated. In addition, the anti-icing properties of the superhydrophobic iron surfaces were also studied. The FTIR study showed that the stearic acid was chemically bonded onto the iron surface. With the HCl concentration increase from 4 mol/L to 8 mol/L, the iron surface became rougher with a WCA ranging from 127° to 152°. The AgNO3 concentration had little effect on the wetting behavior, but a high AgNO3 concentration caused Ag particle aggregates to transform from flower-like formations into dendritic crystals, owing to the preferential growth direction of the Ag particles. Compared with the etching method, the galvanic replacement method on the iron surface more favorably created roughness required for achieving superhydrophobicity. The superhydrophobic iron surface showed excellent anti-icing properties in comparison with the untreated iron. The icing time of water droplets on the superhydrophobic surface was delayed to 500 s, which was longer than that of 295 s for untreated iron. Meanwhile, the superhydrophobic iron surface maintained superhydrophobicity after 10 icing and de-icing cycles in cold conditions.

  15. Structural and XPS studies of PSi/TiO2 nanocomposites prepared by ALD and Ag-assisted chemical etching

    International Nuclear Information System (INIS)

    Iatsunskyi, Igor; Kempiński, Mateusz; Nowaczyk, Grzegorz; Jancelewicz, Mariusz; Pavlenko, Mykola; Załęski, Karol; Jurga, Stefan

    2015-01-01

    Highlights: • Porous silicon/TiO 2 nanocomposites have been investigated. • Morphology and chemical composition of PSi/TiO 2 nanocomposites were established. • Valence-band XPS maximums for PSi/TiO 2 nanocomposites were found and analyzed. - Abstract: PSi/TiO 2 nanocomposites fabricated by atomic layer deposition (ALD) and metal-assisted chemical etching (MACE) were investigated. The morphology and phase structure of PSi/TiO 2 nanocomposites were studied by means of scanning electron microscopy (SEM), transmission electron microscopy (TEM) with an energy dispersive X-ray spectroscopy (EDX) and Raman spectroscopy. The mean size of TiO 2 nanocrystals was determined by TEM and Raman spectroscopy. X-ray photoelectron spectroscopy (XPS) was used to analyze the chemical elemental composition by observing the behavior of the Ti 2p, O 1s and Si 2p lines. TEM, Raman spectroscopy and XPS binding energy analysis confirmed the formation of TiO 2 anatase phase inside the PSi matrix. The XPS valence band analysis was performed in order to investigate the modification of PSi/TiO 2 nanocomposites electronic structure. Surface defects states of Ti 3+ at PSi/TiO 2 nanocomposites were identified by analyzing of XPS valence band spectra

  16. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  17. Thermal and chemical treatment of polymer optical fiber Bragg grating sensors for enhanced mechanical sensitivity

    DEFF Research Database (Denmark)

    Pospori, Andreas; Marques, C. A. F.; Saez-Rodriguez, D.

    2017-01-01

    An investigation of the thermal annealing effects on the strain, stress, and force sensitivities of polymer optical fiber Bragg grating sensors is performed. We demonstrate for the first time that the fiber annealing can enhance both stress and force sensitivities of Bragg grating sensors......, with the possible cause being the molecular relaxation of the polymer when fiber is raised above the β-transition temperature. A simple, cost-effective, but well controlled method for fiber annealing is also presented in this work. In addition, the effects of chemical etching on the strain, stress, and force...... sensitivities have been investigated. Results show that fiber etching too can increase the force sensitivity, and it can also affect the strain and stress sensitivities of the Bragg grating sensors....

  18. Thermal and chemical treatment of polymer optical fiber Bragg grating sensors for enhanced mechanical sensitivity

    Science.gov (United States)

    Pospori, A.; Marques, C. A. F.; Sáez-Rodríguez, D.; Nielsen, K.; Bang, O.; Webb, D. J.

    2017-07-01

    An investigation of the thermal annealing effects on the strain, stress, and force sensitivities of polymer optical fiber Bragg grating sensors is performed. We demonstrate for the first time that the fiber annealing can enhance both stress and force sensitivities of Bragg grating sensors, with the possible cause being the molecular relaxation of the polymer when fiber is raised above the β -transition temperature. A simple, cost-effective, but well controlled method for fiber annealing is also presented in this work. In addition, the effects of chemical etching on the strain, stress, and force sensitivities have been investigated. Results show that fiber etching too can increase the force sensitivity, and it can also affect the strain and stress sensitivities of the Bragg grating sensors.

  19. Quantum confinement effect in cheese like silicon nano structure fabricated by metal induced etching

    Energy Technology Data Exchange (ETDEWEB)

    Saxena, Shailendra K., E-mail: phd1211512@iiti.ac.in; Sahu, Gayatri; Sagdeo, Pankaj R.; Kumar, Rajesh [Material Research Laboratory, Discipline of Physics & MSEG, Indian Institute of Technology Indore, Madhya Pradesh-452017 (India)

    2015-08-28

    Quantum confinement effect has been studied in cheese like silicon nano-structures (Ch-SiNS) fabricated by metal induced chemical etching using different etching times. Scanning electron microscopy is used for the morphological study of these Ch-SiNS. A visible photoluminescence (PL) emission is observed from the samples under UV excitation at room temperature due to quantum confinement effect. The average size of Silicon Nanostructures (SiNS) present in the samples has been estimated by bond polarizability model using Raman Spectroscopy from the red-shift observed from SiNSs as compared to its bulk counterpart. The sizes of SiNS present in the samples decreases as etching time increase from 45 to 75 mintunes.

  20. Process for etching zirconium metallic objects

    International Nuclear Information System (INIS)

    Panson, A.J.

    1988-01-01

    In a process for etching of zirconium metallic articles formed from zirconium or a zirconium alloy, wherein the zirconium metallic article is contacted with an aqueous hydrofluoric acid-nitric acid etching bath having an initial ratio of hydrofluoric acid to nitric acid and an initial concentration of hydrofluoric and nitric acids, the improvement, is described comprising: after etching of zirconium metallic articles in the bath for a period of time such that the etching rate has diminished from an initial rate to a lesser rate, adding hydrofluoric acid and nitric acid to the exhausted bath to adjust the concentration and ratio of hydrofluoric acid to nitric acid therein to a value substantially that of the initial concentration and ratio and thereby regenerate the etching solution without removal of dissolved zirconium therefrom; and etching further zirconium metallic articles in the regenerated etching bath

  1. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    International Nuclear Information System (INIS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10"1"7 cm"−"3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  2. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China); Zhao, Degang [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Science, PO Box 912, Beijing 100083 (China); Zhang, Baolin; Du, Guotong [State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Qianjin Street 2699, Changchun 130012 (China)

    2016-01-01

    Graphical abstract: - Highlights: • Effects of Mg doping on wet etching of N-polar GaN are illustrated and analysed. • Etching process model of Mg-doped N-polar GaN in KOH solution is purposed. • It is found that Mg doping can induce tensile strain in N-polar GaN film. • N-polar p-GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} is obtained. - Abstract: KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 × 10{sup 17} cm{sup −3} was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  3. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  4. Surface-treated commercially pure titanium for biomedical applications: Electrochemical, structural, mechanical and chemical characterizations

    International Nuclear Information System (INIS)

    Ogawa, Erika S.; Matos, Adaias O.; Beline, Thamara; Marques, Isabella S.V.; Sukotjo, Cortino; Mathew, Mathew T.; Rangel, Elidiane C.; Cruz, Nilson C.; Mesquita, Marcelo F.; Consani, Rafael X.

    2016-01-01

    Modified surfaces have improved the biological performance and biomechanical fixation of dental implants compared to machined (polished) surfaces. However, there is a lack of knowledge about the surface properties of titanium (Ti) as a function of different surface treatment. This study investigated the role of surface treatments on the electrochemical, structural, mechanical and chemical properties of commercial pure titanium (cp-Ti) under different electrolytes. Cp-Ti discs were divided into 6 groups (n = 5): machined (M—control); etched with HCl + H_2O_2 (Cl), H_2SO_4 + H_2O_2 (S); sandblasted with Al_2O_3 (Sb), Al_2O_3 followed by HCl + H_2O_2 (SbCl), and Al_2O_3 followed by H_2SO_4 + H_2O_2 (SbS). Electrochemical tests were conducted in artificial saliva (pHs 3; 6.5 and 9) and simulated body fluid (SBF—pH 7.4). All surfaces were characterized before and after corrosion tests using atomic force microscopy, scanning electron microscopy, energy dispersive microscopy, X-ray diffraction, surface roughness, Vickers microhardness and surface free energy. The results indicated that Cl group exhibited the highest polarization resistance (R_p) and the lowest capacitance (Q) and corrosion current density (I_c_o_r_r) values. Reduced corrosion stability was noted for the sandblasted groups. Acidic artificial saliva decreased the R_p values of cp-Ti surfaces and produced the highest I_c_o_r_r values. Also, the surface treatment and corrosion process influenced the surface roughness, Vickers microhardness and surface free energy. Based on these results, it can be concluded that acid-etching treatment improved the electrochemical stability of cp-Ti and all treated surfaces behaved negatively in acidic artificial saliva. - Highlights: • Characterization of surface treatment for biomedical implants was investigated. • Sandblasting reduced the corrosion stability of cp-Ti. • Acid etching is a promising dental implants surface treatment.

  5. Surface-treated commercially pure titanium for biomedical applications: Electrochemical, structural, mechanical and chemical characterizations

    Energy Technology Data Exchange (ETDEWEB)

    Ogawa, Erika S.; Matos, Adaias O.; Beline, Thamara [Department of Prosthodontics and Periodontology, Piracicaba Dental School, University of Campinas (UNICAMP), Av Limeira, 901, Piracicaba, São Paulo 13414-903 (Brazil); IBTN/Br—Institute of Biomaterials, Tribocorrosion and Nanomedicine—Brazilian Branch (Brazil); Marques, Isabella S.V. [Department of Prosthodontics and Periodontology, Piracicaba Dental School, University of Campinas (UNICAMP), Av Limeira, 901, Piracicaba, São Paulo 13414-903 (Brazil); Sukotjo, Cortino [Department of Restorative Dentistry, University of Illinois at Chicago, College of Dentistry, 801 S Paulina, Chicago, IL, USA, 60612 (United States); IBTN—Institute of Biomaterials, Tribocorrosion and Nanomedicine (United States); Mathew, Mathew T. [IBTN—Institute of Biomaterials, Tribocorrosion and Nanomedicine (United States); Department of Biomedical Sciences, University of Illinois, College of Medicine at Rockford, 1601 Parkview Avenue, Rockford, IL, USA, 61107 (United States); Rangel, Elidiane C.; Cruz, Nilson C. [IBTN/Br—Institute of Biomaterials, Tribocorrosion and Nanomedicine—Brazilian Branch (Brazil); Laboratory of Technological Plasmas, Engineering College, Univ Estadual Paulista (UNESP), Av Três de Março, 511, Sorocaba, São Paulo 18087-180 (Brazil); Mesquita, Marcelo F.; Consani, Rafael X. [Department of Prosthodontics and Periodontology, Piracicaba Dental School, University of Campinas (UNICAMP), Av Limeira, 901, Piracicaba, São Paulo 13414-903 (Brazil); and others

    2016-08-01

    Modified surfaces have improved the biological performance and biomechanical fixation of dental implants compared to machined (polished) surfaces. However, there is a lack of knowledge about the surface properties of titanium (Ti) as a function of different surface treatment. This study investigated the role of surface treatments on the electrochemical, structural, mechanical and chemical properties of commercial pure titanium (cp-Ti) under different electrolytes. Cp-Ti discs were divided into 6 groups (n = 5): machined (M—control); etched with HCl + H{sub 2}O{sub 2} (Cl), H{sub 2}SO{sub 4} + H{sub 2}O{sub 2} (S); sandblasted with Al{sub 2}O{sub 3} (Sb), Al{sub 2}O{sub 3} followed by HCl + H{sub 2}O{sub 2} (SbCl), and Al{sub 2}O{sub 3} followed by H{sub 2}SO{sub 4} + H{sub 2}O{sub 2} (SbS). Electrochemical tests were conducted in artificial saliva (pHs 3; 6.5 and 9) and simulated body fluid (SBF—pH 7.4). All surfaces were characterized before and after corrosion tests using atomic force microscopy, scanning electron microscopy, energy dispersive microscopy, X-ray diffraction, surface roughness, Vickers microhardness and surface free energy. The results indicated that Cl group exhibited the highest polarization resistance (R{sub p}) and the lowest capacitance (Q) and corrosion current density (I{sub corr}) values. Reduced corrosion stability was noted for the sandblasted groups. Acidic artificial saliva decreased the R{sub p} values of cp-Ti surfaces and produced the highest I{sub corr} values. Also, the surface treatment and corrosion process influenced the surface roughness, Vickers microhardness and surface free energy. Based on these results, it can be concluded that acid-etching treatment improved the electrochemical stability of cp-Ti and all treated surfaces behaved negatively in acidic artificial saliva. - Highlights: • Characterization of surface treatment for biomedical implants was investigated. • Sandblasting reduced the corrosion stability of cp

  6. Atomic structure of diamond {111} surfaces etched in oxygen water vapor

    International Nuclear Information System (INIS)

    Theije, F.K. de; Reedijk, M.F.; Arsic, J.; Enckevort, W.J.P. van; Vlieg, E.

    2001-01-01

    The atomic structure of the {111} diamond face after oxygen-water-vapor etching is determined using x-ray scattering. We find that a single dangling bond diamond {111} surface model, terminated by a full monolayer of -OH fits our data best. To explain the measurements it is necessary to add an ordered water layer on top of the -OH terminated surface. The vertical contraction of the surface cell and the distance between the oxygen atoms are generally in agreement with model calculations and results on similar systems. The OH termination is likely to be present during etching as well. This model experimentally confirms the atomic-scale mechanism we proposed previously for this etching system

  7. Anisotropic etching of polycrystalline silicon with a hot Cl2 molecular beam

    International Nuclear Information System (INIS)

    Suzuki, K.; Hiraoka, S.; Nishimatsu, S.

    1988-01-01

    A hot Cl 2 molecular (Cl/sup */ 2 ) beam was successfully applied to achieve highly anisotropic, highly selective, and almost damage-free etching of polycrystalline Si. The anisotropy, the ratio of etch rates in vertical and horizontal directions, was larger than 25. The selectivity, the ratio of polycrystalline Si and SiO 2 etch rates, was larger than 1000. The Cl/sup */ 2 beam was produced by free jet expansion of a Cl 2 gas heated in a graphite furnace. The furnace temperature was 830 0 C. The substrate temperature was 180 0 C. The average total energy (0.38 eV) of a Cl/sup */ 2 molecule impinging on a substrate surface is much lower than the critical energy (approximately 10 eV) to displace the atoms of the etched material and to cause surface damage. This is the essential reason why this highly selective and almost damage-free etching has been achieved. The highly anisotropic etching mechanism is explained by a model taking into account the directional incidence of Cl/sup */ 2 molecules to the surface, and the deactivation process of the Cl/sup */ 2 molecules on a cold surface

  8. Chemical etching of GaAs with a novel low energy ion beam source: a low damage process for device fabrication

    International Nuclear Information System (INIS)

    Beckerman, J.; Jackman, R.B.

    1993-01-01

    If the advantages of physics (anisotropy) can be combined with the advantages of chemistry (damage-free perturbation of the lattice) then an excellent, near damage-free, etching reaction can result. In this context, the promise for ultra-low energy ( -1 . The source does, however, give rise to a coating, derived from the source liner, which must be washed from all etched samples. The presence of such a coating is likely to be the origin of the slow etch rate achieved. After removal of the coating, smooth, mirror-like etched surfaces are apparent. These surfaces perform very well when Schottky diodes are constructed from them showing no deviation from the behaviour of control samples. (author)

  9. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  10. The etching behaviour of silicon carbide compacts

    International Nuclear Information System (INIS)

    Jepps, N.W.; Page, T.F.

    1981-01-01

    A series of microstructural investigations has been undertaken in order to explore the reliability of particular etches in revealing microstructural detail in silicon carbide compacts. A series of specimens has been etched and examined following complete prior microstructural characterization by transmission electron microscopy (TEM), scanning electron microscopy (SEM) and X-ray diffractometry techniques. In particular, the sensitivity of both a molten salt (KOH/KNO 3 ) etch and a commonly-used oxidizing electrolytic 'colour' etch to crystal purity, crystallographic orientation and polytypic structure has been established. The molten salt etch was found to be sensitive to grain boundaries and stacking disorder while the electrolytic etch was found to be primarily sensitive to local purity and crystallographic orientation. Neither etch appeared intrinsically polytype sensitive. Specifically, for the 'colour' etch, the p- or n-type character of impure regions appears critical in controlling etching behaviour; p-type impurities inhibiting, and n-type impurities enhancing, oxidation. The need to interpret etching behaviour in a manner consistent with the results obtained by a variety of other microstructural techniques will be emphasized. (author)

  11. Underlying role of mechanical rigidity and topological constraints in physical sputtering and reactive ion etching of amorphous materials

    Science.gov (United States)

    Bhattarai, Gyanendra; Dhungana, Shailesh; Nordell, Bradley J.; Caruso, Anthony N.; Paquette, Michelle M.; Lanford, William A.; King, Sean W.

    2018-05-01

    Analytical expressions describing ion-induced sputter or etch processes generally relate the sputter yield to the surface atomic binding energy (Usb) for the target material. While straightforward to measure for the crystalline elemental solids, Usb is more complicated to establish for amorphous and multielement materials due to composition-driven variations and incongruent sublimation. In this regard, we show that for amorphous multielement materials, the ion-driven yield can instead be better understood via a consideration of mechanical rigidity and network topology. We first demonstrate a direct relationship between Usb, bulk modulus, and ion sputter yield for the elements, and then subsequently prove our hypothesis for amorphous multielement compounds by demonstrating that the same relationships exist between the reactive ion etch (RIE) rate and nanoindentation Young's modulus for a series of a -Si Nx :H and a -Si OxCy :H thin films. The impact of network topology is further revealed via application of the Phillips-Thorpe theory of topological constraints, which directly relates the Young's modulus to the mean atomic coordination () for an amorphous solid. The combined analysis allows the trends and plateaus in the RIE rate to be ultimately reinterpreted in terms of the atomic structure of the target material through a consideration of . These findings establish the important underlying role of mechanical rigidity and network topology in ion-solid interactions and provide additional considerations for the design and optimization of radiation-hard materials in nuclear and outer space environments.

  12. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    International Nuclear Information System (INIS)

    Chou, Yi-Sin; Yen, Shi-Chern; Jeng, King-Tsai

    2015-01-01

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface

  13. Fabrication of ruthenium thin film and characterization of its chemical mechanical polishing process

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Yi-Sin [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Yen, Shi-Chern, E-mail: scyen@ntu.edu.tw [Department of Chemical Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Jeng, King-Tsai [Research Division I, TIER, 7F, No. 16-8, Dehuei St., Taipei 10461, Taiwan (China)

    2015-07-15

    The fabrication of Ru thin film is conducted on titanium (Ti)-based rotating disk electrodes (RDE) by electrodeposition and characteristics of its chemical mechanical polishing (CMP) are investigated to be employed for copper diffusion layer applications in various semiconductor-device interconnects. The electrodeposits obtained under different electrodeposition conditions are characterized using atomic force microscope (AFM) and field emission scanning electron microscope (FESEM). Experimental results indicate that the Ru electrodeposition exhibits a Tafel behavior with a 2e metal ion reduction process. Both exchange current density and cathodic transfer coefficient are determined. A quasi Koutecky–Levich analysis is proposed to analyze the electrodeposition processes under different applied current density conditions and the activation overpotentials together with electrodeposition rate constants are obtained. For Ru CMP operations, slurries containing metal-free 2wt% ammonium persulfate and 2wt% silica abrasive at various pH values are employed. Potentiodynamic polarization studies indicate that the corrosion current density varies in the presence of ammonia while the static etch rate remains low. Both chemical and mechanical effects are investigated and analyzed, and the CMP efficacy factors are obtained. - Highlights: • Ru electrodeposition is a 2e metal ion reduction process with Tafel behavior. • Ru electrodeposition on Ti RDE fits a quasi Koutecky–Levich equation. • Metal-free slurry is employed for CMP operation to avoid contamination. • The Ru CMP process is affected by the surface condition and the pH of slurry. • The CMP efficacy factor should be high in order to obtain a smooth surface.

  14. Etching characteristics of a CR-39 track detector at room temperature in different etching solutions

    International Nuclear Information System (INIS)

    Dajko, G.

    1991-01-01

    Investigations were carried out to discover how the etching characteristics of CR-39 detectors change with varying conditions of the etching process. Measurements were made at room temperature in pure NaOH and KOH solutions; in different alcoholic KOH solutions (PEW solution, i.e. potassium hydroxide, ethyl alcohol, water); and in NaOH and KOH solutions containing different additives. The bulk etching rate of the detector (V B ) and the V (= V T /V B ) function, i.e. track to bulk etch rates ratio, for 6.1 MeV α-particles, were measured systematically. (author)

  15. Solvent composition of one-step self-etch adhesives and dentine wettability.

    Science.gov (United States)

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  16. Investigation of sidewall roughness of the microgrooves manufactured with laser-induced etching technique

    International Nuclear Information System (INIS)

    Oh, Kwang H.; Park, J.B.; Cho, S.I.; Im, H.D.; Jeong, S.H.

    2009-01-01

    A novel laser etching technique utilizing an optical fiber as the laser beam guide is introduced. Depending upon whether a pulsed or a continuous wave (CW) laser is employed as the irradiation source, it was found that the etch depth and surface morphology of the grooves varied significantly. It was then demonstrated that deep microgrooves with smooth sidewalls can be obtained using a hybrid pulse and CW scanning process. The results of laser heating and chemical analyses revealed that sidewall roughness of the microgroove is mainly attributed to surface melting.

  17. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  18. Measurement of bulk etch rates for poly-allyl-diglycol carbonate (PADC) and cellulose nitrate in a broad range of concentration and temperature of NaOH etching solution

    International Nuclear Information System (INIS)

    Hermsdorf, D.; Hunger, M.; Starke, S.; Weickert, F.

    2007-01-01

    In the present work the dependence of the bulk etch rate v B for solid state nuclear track detectors (SSNTD) on the concentration c and the temperature T of the NaOH etching solution has been studied for material types PADC and cellulose nitrate. As commonly applied exponents of PADC and cellulose nitrate material, the commercial products CR-39 and LR-115 were investigated. The concentration and temperature have been varied in the ranges 0.5moll -1 -1 and 313 -1 and T between 313 and 333K for cellulose nitrate, respectively. The application of a simple Arrhenius-law of chemical reactions fails in the interpretation of the dependence on the concentration. A constant activation energy cannot describe the behaviour of v B (c,T) over the whole range of concentration. To understand the deviation, more qualified models treating the superposition of chemical and physical processes including reaction kinetics and material transport phenomena by diffusion have to be developed and tested

  19. Thermodynamic chemical energy transfer mechanisms of non-equilibrium, quasi-equilibrium, and equilibrium chemical reactions

    International Nuclear Information System (INIS)

    Roh, Heui-Seol

    2015-01-01

    Chemical energy transfer mechanisms at finite temperature are explored by a chemical energy transfer theory which is capable of investigating various chemical mechanisms of non-equilibrium, quasi-equilibrium, and equilibrium. Gibbs energy fluxes are obtained as a function of chemical potential, time, and displacement. Diffusion, convection, internal convection, and internal equilibrium chemical energy fluxes are demonstrated. The theory reveals that there are chemical energy flux gaps and broken discrete symmetries at the activation chemical potential, time, and displacement. The statistical, thermodynamic theory is the unification of diffusion and internal convection chemical reactions which reduces to the non-equilibrium generalization beyond the quasi-equilibrium theories of migration and diffusion processes. The relationship between kinetic theories of chemical and electrochemical reactions is also explored. The theory is applied to explore non-equilibrium chemical reactions as an illustration. Three variable separation constants indicate particle number constants and play key roles in describing the distinct chemical reaction mechanisms. The kinetics of chemical energy transfer accounts for the four control mechanisms of chemical reactions such as activation, concentration, transition, and film chemical reactions. - Highlights: • Chemical energy transfer theory is proposed for non-, quasi-, and equilibrium. • Gibbs energy fluxes are expressed by chemical potential, time, and displacement. • Relationship between chemical and electrochemical reactions is discussed. • Theory is applied to explore nonequilibrium energy transfer in chemical reactions. • Kinetics of non-equilibrium chemical reactions shows the four control mechanisms

  20. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    Science.gov (United States)

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  1. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  2. Mechanism of track formation by charged particles in inorganic and organic solid-state track detectors

    International Nuclear Information System (INIS)

    Doerschel, B.; Pretzsch, G.; Streubel, G.

    1979-01-01

    Knowledge of the individual phases of track formation mechanism is necessary in some applications of solid-state track detectors. The generation of latent tracks is described by energy transfer processes of the charged particles along their paths using several different models. Etchability of the latent tracks is discussed on the basis of some distinct criteria taking into account different fractions of energy release by the primary and secondary particles during track generation. If these etchability criteria for latent tracks are fulfilled, visual particle tracks can be produced by a chemical etching process. Etch pit formation depends on the etching conditions. The geometrical parameters of the etching pits are given on the basis of known etching rates. Evaluation of individual particle tracks or determination of track density yields results depending on both the properties of the particles and the etching conditions. Determination of particle energy and particle fluence is discussed as an example. (author)

  3. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    Science.gov (United States)

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  4. Wet chemical etching of Al-doped ZnO film deposited by RF magnetron sputtering method on textured glass substrate for energy application

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Ki Hwan; Nam, Sang Hun; Jung, Won Suk; Lee, Yong Min; Yang, Hee Su; Boo, Jin Hyo [Dept. of Chemistry, Sungkyunkwan University, Suwon (Korea, Republic of)

    2015-03-15

    The etching of glasses in aqueous hydrofluoric acid (HF) solutions is applied in many technological fields. Particularly, the textured transparent conductive oxide materials on the glass substrate etched by HF were used to improve the current density of solar cells. In this study, the textured glass substrate has been etched by solution and the Al-doped ZnO (AZO) thin films have been prepared on this textured glass substrates by RF magnetron sputtering method. After the AZO film deposition, the surface of AZO has been etched by hydrochloric acid with different concentration and etching time. Etched AZO thin films had higher haze ratio and sheet resistance than bare AZO glass. Increases in the root-mean-square surface roughness of AZO films enhanced from 53.78 to 84.46 nm the haze ratio in above 700 nm wavelength. Our process could be applicable in texturing glass and etching AZO surface to fabricate solar cell in industrial scale. We also carried out fabricating an organic solar-cell device. Energy conversion efficiency improvement of 123% was obtained with textured AZO-based solar-cell device compared with that of nontextured solar-cell device.

  5. Mechanical stop mechanism for overcoming MEMS fabrication tolerances

    International Nuclear Information System (INIS)

    Hussein, Hussein; Bourbon, Gilles; Le Moal, Patrice; Lutz, Philippe; Haddab, Yassine

    2017-01-01

    A mechanical stop mechanism is developed in order to compensate MEMS fabrication tolerances in discrete positioning. The mechanical stop mechanism is designed to be implemented on SOI wafers using a common DRIE etching process. The various fabrication tolerances obtained due to the etching process are presented and discussed in the paper. The principle and design of the mechanism are then presented. Finally, experiments on microfabricated positioning prototypes show accurate steps unaffected by the fabrication tolerances. (technical note)

  6. Dry etching for microelectronics

    CERN Document Server

    Powell, RA

    1984-01-01

    This volume collects together for the first time a series of in-depth, critical reviews of important topics in dry etching, such as dry processing of III-V compound semiconductors, dry etching of refractory metal silicides and dry etching aluminium and aluminium alloys. This topical format provides the reader with more specialised information and references than found in a general review article. In addition, it presents a broad perspective which would otherwise have to be gained by reading a large number of individual research papers. An additional important and unique feature of this book

  7. Characterization of deep wet etching of fused silica glass for single cell and optical sensor deposition

    International Nuclear Information System (INIS)

    Zhu, Haixin; Holl, Mark; Ray, Tathagata; Bhushan, Shivani; Meldrum, Deirdre R

    2009-01-01

    The development of a high-throughput single-cell metabolic rate monitoring system relies on the use of transparent substrate material for a single cell-trapping platform. The high optical transparency, high chemical resistance, improved surface quality and compatibility with the silicon micromachining process of fused silica make it very attractive and desirable for this application. In this paper, we report the results from the development and characterization of a hydrofluoric acid (HF) based deep wet-etch process on fused silica. The pin holes and notching defects of various single-coated masking layers during the etching are characterized and the most suitable masking materials are identified for different etch depths. The dependence of the average etch rate and surface roughness on the etch depth, impurity concentration and HF composition are also examined. The resulting undercut from the deep HF etch using various masking materials is also investigated. The developed and characterized process techniques have been successfully implemented in the fabrication of micro-well arrays for single cell trapping and sensor deposition. Up to 60 µm deep micro-wells have been etched in a fused silica substrate with over 90% process yield and repeatability. To our knowledge, such etch depth has never been achieved in a fused silica substrate by using a non-diluted HF etchant and a single-coated masking layer at room temperature

  8. Breakthrough in fake prevention. Nuclear track-etching

    International Nuclear Information System (INIS)

    Yan Yushun; He Xiangming; Zhang Quanrong

    1999-01-01

    Nuclear particle track-etched anti-counterfeit marking is a new weapon against fake products. The marks is manufactured by intricate high technology in state-controlled sensitive nuclear facilities which ensures that the mark can not be copied. The pattern of the mark is characterized by its permeability, and can be distinguished from fakes by using a transparent liquid (e.g. water), colored pen or chemical reagent. The technique has passed the of facial health safety examination and poses no danger of nuclear irradiation

  9. Laser and chemical surface modifications of titanium grade 2 for medical application

    Energy Technology Data Exchange (ETDEWEB)

    Kwaśniak, P. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Pura, J., E-mail: jaroslawpura@gmail.com [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Zwolińska, M.; Wieciński, P. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland); Skarżyński, H.; Olszewski, L. [Institute of Physiology and Pathology of Hearing, Warsaw (Poland); World Hearing Center, Kajetany (Poland); Marczak, J. [Military University of Technology, Institute of Optoelectronics, Warsaw (Poland); Garbacz, H.; Kurzydłowski, K.J. [Faculty of Materials Science and Engineering, Warsaw University of Technology, Warsaw (Poland)

    2015-05-01

    Highlights: • DLIL technique and etching were used for functionalization of Ti grade 2 surface. • Modification was performed on semi-finished flat and curved Ti surfaces. • Modification results in periodic multimodal (micro and nano-size) Ti topography. - Abstract: The article presents combined, chemical and physical approach to titanium surface functionalization designed for biomedical applications. The topography modification has been obtained by employing the double laser beam interference technique and chemical etching. In the outcome, clean and smooth Ti surface as well as periodic striated topography with the roughness range from nano- to micrometers were created. The obtained structures were characterized in terms of shape, roughness, chemical composition, mechanical properties and microstructures. In order to achieve all information, numerous of research methods have been used: scanning electron microscopy, atomic force microscopy, optical profilometry and microhardness measurements. Demonstrated methodology can be used as an effective tool for manufacturing controlled surface structures improving the bone–implants interactions.

  10. Defect-engineered graphene chemical sensors with ultrahigh sensitivity.

    Science.gov (United States)

    Lee, Geonyeop; Yang, Gwangseok; Cho, Ara; Han, Jeong Woo; Kim, Jihyun

    2016-05-25

    We report defect-engineered graphene chemical sensors with ultrahigh sensitivity (e.g., 33% improvement in NO2 sensing and 614% improvement in NH3 sensing). A conventional reactive ion etching system was used to introduce the defects in a controlled manner. The sensitivity of graphene-based chemical sensors increased with increasing defect density until the vacancy-dominant region was reached. In addition, the mechanism of gas sensing was systematically investigated via experiments and density functional theory calculations, which indicated that the vacancy defect is a major contributing factor to the enhanced sensitivity. This study revealed that defect engineering in graphene has significant potential for fabricating ultra-sensitive graphene chemical sensors.

  11. The effect of plasma etching on the surface topography of niobium superconducting radio frequency cavities

    Science.gov (United States)

    Radjenović, B.; Radmilović-Radjenović, M.

    2014-11-01

    In this letter the evolution of the surface topography of a niobium superconducting radio frequency cavity caused by different plasma etching modes (isotropic and anisotropic) is studied by the three-dimensional level set method. The initial rough surface is generated starting from an experimental power spectral density. The time dependence of the rms roughness is analyzed and the growth exponential factors β are determined for two etching modes (isotropic and anisotropic) assuming that isotropic etching is a much more effective mechanism of smoothing. The obtained simulation results could be useful for optimizing the parameters of the etching processes needed to obtain high quality niobium surfaces for superconducting radio frequency cavities.

  12. Ga+ focused-ion-beam implantation-induced masking for H2 etching of ZnO films

    International Nuclear Information System (INIS)

    Fang, Hsin-Chiao; Huang, Jun-Han; Chu, Wen-Huei; Liu, Chuan-Pu

    2010-01-01

    Gallium implantation of ZnO by a focused-ion beam is used to create a mask for ZnO dry etching with hydrogen. Effects of Ga + fluence on the etch stop properties and the associated mechanisms are investigated. The fluence of 2.8 x 10 16 cm -2 is determined to be optimum to render the best mask quality. While lower fluences would cause less etching selectivity, higher fluences would cause erosion of the surface and particles to be precipitated on the surface after H 2 treatment at high temperature. In contrast to the commonly adopted gallium oxide formation on Si, transmission electron microscopy analysis reveals that, for the fluences ≤ 2.8 x 10 16 cm -2 , Ga + ions are incorporated as dopants into ZnO without any second phases or precipitates, indicating the Ga-doped ZnO layer behaves as a mask for H 2 etching due to the higher electronegativity of Ga + towards oxygen. However, for the fluences ≥ 4.6 x 10 16 cm -2 , the surface particles are responsible for the etch stop and are identified as ZnGa 2 O 4 . We finally demonstrate a complicated pattern of 'NCKU' on ZnO by using this technique. The study not only helps clarify the related mechanisms, but also suggests a feasible extension of the etch stop process that can be applied to more functional material.

  13. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  14. Modification of Patterned Nanoporous Gold Thin Film Electrodes via Electro-annealing and Electrochemical Etching

    Science.gov (United States)

    Dorofeeva, Tatiana

    current to np-Au electrodes, which leads coarsening due to a combination of Joule heating and other mechanisms. This method offers the capability to anneal different electrodes to varying degrees of coarsening in one step, by employing electrodes patterns with different cross-sectional areas - easily attained since np-Au can be patterned into arbitrary shapes via photolithography - to control electrode resistivity, thus current density and the amount of electro-annealing of an electrode. A surprising finding was that electro-annealing lead to electrode coarsening at much lower temperatures than conventional thermal treatment, which was attributed to augmented electron-surface atom interactions at high current densities that may in turn enhance surface atom diffusivity. A major advantage of electro-annealing is the ability to monitor the resistance change of the electrode (surrogate for electrode morphology) in real-time and vary the electro-annealing current accordingly to establish a closed-loop electro-annealing configuration. In nanostructured materials, the electrical resistance is often a function of nanostructure, thus changes in resistance can be directly linked to morphological changes of the electrode. Examination of the underlying mechanisms of nanostructure-dependent resistance change revealed that both ligament diameter and grain size play a role in dictating the observed electrode resistance change. The second method relies on electrochemical etching of ligaments to modify electrode morphology in order to maintain both a high effective surface area and large pores for unhindered transport of molecules to/from the ligament surfaces - an important consideration for many physico-chemical processes, such fuel cells, electrochemical sensors, and drug delivery platforms. The advantage of this method over purely chemical approach is that while an entire sample in exposed to the chemical reagent, the etching process does not occur until the necessary electrochemical

  15. Four-year water degradation of a total-etch and two self-etching adhesives bonded to dentin

    NARCIS (Netherlands)

    Abdalla, A.I.; Feilzer, A.J.

    2008-01-01

    Objectives: To evaluate effect of direct and indirect water storage on the microtensile dentin bond strength of one total-etch and two self-etching adhesives. Methods: The adhesive materials were: one total-etch adhesive; ‘Admira Bond’ and two selfetch adhesives; ‘Clearfil SE Bond’ and ‘Hybrid

  16. Chemical and mechanical efficiencies of molecular motors and implications for motor mechanisms

    International Nuclear Information System (INIS)

    Wang Hongyun

    2005-01-01

    Molecular motors operate in an environment dominated by viscous friction and thermal fluctuations. The chemical reaction in a motor may produce an active force at the reaction site to directly move the motor forward. Alternatively a molecular motor may generate a unidirectional motion by rectifying thermal fluctuations using free energy barriers established in the chemical reaction. The reaction cycle has many occupancy states, each having a different effect on the motor motion. The average effect of the chemical reaction on the motor motion can be characterized by the motor potential profile. The biggest advantage of studying the motor potential profile is that it can be reconstructed from the time series of motor positions measured in single-molecule experiments. In this paper, we use the motor potential profile to express the Stokes efficiency as the product of the chemical efficiency and the mechanical efficiency. We show that both the chemical and mechanical efficiencies are bounded by 100% and, thus, are properly defined efficiencies. We discuss implications of high efficiencies for motor mechanisms: a mechanical efficiency close to 100% implies that the motor potential profile is close to a constant slope; a chemical efficiency close to 100% implies that (i) the chemical transitions are not slower than the mechanical motion and (ii) the equilibrium constant of each chemical transition is close to one

  17. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  18. Thinning of CIGS solar cells: Part I: Chemical processing in acidic bromine solutions

    Energy Technology Data Exchange (ETDEWEB)

    Bouttemy, M.; Tran-Van, P. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Gerard, I., E-mail: gerard@chimie.uvsq.fr [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Hildebrandt, T.; Causier, A. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France); Pelouard, J.L.; Dagher, G. [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), route de Nozay 91460 Marcoussis (France); Jehl, Z.; Naghavi, N. [Institut de Recherche et Developpement sur l' Energie Photovoltaique (IRDEP -UMR 7174 CNRS/EDF/Chimie-ParisTech), 6 quai Watier, 78401 Chatou (France); Voorwinden, G.; Dimmler, B. [Wuerth Elektronik Research GmbH, Industriestr. 4, 70565 Stuttgart (Germany); Powalla, M. [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung (ZSW), Industriestr. 6, 70565 Stuttgart (Germany); Guillemoles, J.F. [Institut de Recherche et Developpement sur l' Energie Photovoltaique (IRDEP -UMR 7174 CNRS/EDF/Chimie-ParisTech), 6 quai Watier, 78401 Chatou (France); Lincot, D. [Laboratoire de Photonique et de Nanostructures (LPN-CNRS), route de Nozay 91460 Marcoussis (France); Etcheberry, A. [Institut Lavoisier de Versailles (ILV-UMR 8180 CNRS/UVSQ), 45 av. des Etats Unis, 78035 Versailles (France)

    2011-08-31

    CIGSe absorber was etched in HBr/Br{sub 2}/H{sub 2}O to prepare defined thicknesses of CIGSe between 2.7 and 0.5 {mu}m. We established a reproducible method of reducing the absorber thickness via chemical etching. We determine the dissolution kinetics rate of CIGSe using trace analysis by graphite furnace atomic absorption spectrometry of Ga and Cu. The roughness of the etching surface decreases during the first 500 nm of the etching to a steady state value of the root-mean-square roughness near 50 nm. X-ray photoelectron spectroscopy analyses demonstrate an etching process occurring with a constant chemical composition of the treated surface acidic bromine solutions provide a controlled chemical thinning process resulting in an almost flat surface and a very low superficial Se{sup 0} enrichment.

  19. Anisotropic etching of silicon for application in micro machine using plasma of SF6/CH4/O2/Ar and SF6/CF4/O2/Ar

    International Nuclear Information System (INIS)

    Reyes B, C.; Moshkalyov, S.A.; Swart, J.W.

    2004-01-01

    We investigated the reactive ion etching of silicon using SF 6 /CH 4 (CF 4 )/O 2 /Ar gas mixtures containing fluorine for MEMS applications. Etch rates and anisotropy of etch profiles were examined as a function of gas composition, material of electrode, and RF power. Etch depths were measured using a profilometers, and etch profiles were analyzed by scanning electron microscope. As a mask material, an aluminium film deposited by evaporation, was used. High anisotropy of etching of 0.95 was achieved at etch depths up to 20-30 micrometers and etch rates of approximately 0.3-0.6 μm/min. Highly anisotropic etching is based on a mechanism that enhance the ion bombarding and protects the sidewalls due to polymerization and/or oxidation mechanisms in order to avoid the lateral etch. However, under the anisotropic etching conditions, considerable damages of the etched surfaces (roughness formation), were observed. After etching experiments, wet / dry cleaning procedures were applied to remove surface residues resulting from the reactive ion etching and to improve the etched surface morphology. (Author)

  20. Chemical kinetics and reaction mechanism

    International Nuclear Information System (INIS)

    Jung, Ou Sik; Park, Youn Yeol

    1996-12-01

    This book is about chemical kinetics and reaction mechanism. It consists of eleven chapters, which deal with reaction and reaction speed on reaction mechanism, simple reaction by rate expression, reversible reaction and simultaneous reaction, successive reaction, complicated reaction mechanism, assumption for reaction mechanism, transition state theory, successive reaction and oscillating reaction, reaction by solution, research method high except kinetics on reaction mechanism, high reaction of kinetics like pulsed radiolysis.

  1. Electrochemical etching amplification of low-let recoil particle tracks in polymers for fast neutron dosimetry

    International Nuclear Information System (INIS)

    Sohrabi, M.; Morgan, K.Z.

    1975-11-01

    An electrochemical etching method for the amplification of fast-neutron-induced recoil particle tracks in polymers was investigated. The technique gave superior results over those obtained by conventional etching methods especially when polycarbonate foils were used for recoil particle track amplification. Electrochemical etching systems capable of multi-foil processing were designed and constructed to demonstrate the feasibility of the techniques for large-scale neutron dosimetry. Electrochemical etching parameters were studied including the nature or type of the polymer foil used, foil thickness and its effect on etching time, the applied voltage and its frequency, the chemical composition, concentration, and temperature of the etchant, distance and angle between the electrodes, and the type of particles such as recoil particles including protons. Recoil particle track density, mean track diameter, and optical density as functions of the mentioned parameters were determined. Each parameter was found to have a distinct effect on the etching results in terms of the measured responses. Several new characteristics of this fast neutron dosimetry method were studied especially for personnel dosimetry using various radiation sources such as nuclear reactors, medical cyclotrons, and isotopic neutron sources. The dose range, neutron energy dependence, directional response, fading characteristics, neutron threshold energy, etc. were investigated

  2. Attachment and spreadout study of 3T3 cells onto PP track etched films

    International Nuclear Information System (INIS)

    Smolko, Eduardo; Mazzei, Ruben; Tadey, Daniel; Lombardo, Daniel

    2001-01-01

    Polymer surface modifications are obtained by the application of radiation treatments and other physico-chemical methods: fission fragment (ff) irradiation and etching. The biocompatibility of the surface is then observed by cell seeding and cell adhesion experiments. Approaches to improvement of the cell adhesion are obtained by different methods: for example, in PS, cell adhesion is improved after ion implantation; in PMMA, after bombarding the polymer, the surface is reconditioned with surfactants and proteins and in PVDF, cell adhesion is assayed on nuclear tracks membranes. In this work, we obtained important cell adhesion improvements in PP films by irradiation with swift heavy ions and subsequent etching of the nuclear tracks. We use BOPP (isotactic -25 μm thickness). Irrradiations were performed with a Cf-252 californium ff source. The source has a heavy ff and a light one, with 160-200 MeV energy divided among them corresponding to ff energies between 1 and 2 MeV/amu. A chemical etching procedure consisting of a solution of sulphuric acid and chromium three oxide at 85 deg. C was used. The 3T3 NIH fibroblast cell line was used for the cell adhesion experiment. Here we report for the first time, the results of a series of experiments by varying the ff fluence and the etching time showing that attachment and spreadout of cells are very much improved in this cell line according to the number of pores and the pore size

  3. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    Science.gov (United States)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch

  4. Can previous acid etching increase the bond strength of a self-etching primer adhesive to enamel?

    Directory of Open Access Journals (Sweden)

    Ana Paula Morales Cobra Carvalho

    2009-06-01

    Full Text Available Because a greater research effort has been directed to analyzing the adhesive effectiveness of self etch primers to dentin, the aim of this study was to evaluate, by microtensile testing, the bond strength to enamel of a composite resin combined with a conventional adhesive system or with a self-etching primer adhesive, used according to its original prescription or used with previous acid etching. Thirty bovine teeth were divided into 3 groups with 10 teeth each (n= 10. In one of the groups, a self-etching primer (Clearfil SE Bond - Kuraray was applied in accordance with the manufacturer's instructions and, in the other, it was applied after previous acid etching. In the third group, a conventional adhesive system (Scotchbond Multipurpose Plus - 3M-ESPE was applied in accordance with the manufacturer's instructions. The results obtained by analysis of variance revealed significant differences between the adhesive systems (F = 22.31. The self-etching primer (Clearfil SE Bond presented lower enamel bond strength values than the conventional adhesive system (Scotchbond Multipurpose Plus (m = 39.70 ± 7.07 MPa both when used according to the original prescription (m = 27.81 ± 2.64 MPa and with previous acid etching (m = 25.08 ± 4.92 MPa.

  5. Polypropylene Track Membranes for Mikro and Ultrafiltration of Chemically Aggressive Agents

    CERN Document Server

    Kravets, L I; Apel, P Yu

    2000-01-01

    A production process for track membranes on the basis of chemically resistant polymer polypropylene has been developed. Research in all stages of the formation of the polypropylene track membranes has been conducted: the main principles of the process of chemical etching of polypropylene irradiated with accelerated ions have been investigated, the most effective structure of the etchant for a selective etching of the heavy ion tracks has been selected, the parameters of etching have been optimized. A method for sensibilization of latent tracks in polypropylene by effect of solvents has been developed. It helps to reach a significant increase in etching selectivity. A method for destruction of an absorbed chromocontaining layer on the surface of polypropylene track membranes formed during etching has been elaborated. Experimental samples of the membranes for micro and ultrafiltration have been obtained and their properties have been studied in course of their exploitation in chemically aggressive agents. For t...

  6. Fiber-Optic Refractometer Based on an Etched High-Q ?-Phase-Shifted Fiber-Bragg-Grating

    OpenAIRE

    Zhang, Qi; Ianno, Natale J.; Han, Ming

    2013-01-01

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q p-phase-shifted fiber-Bragg-grating (pFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong pFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched pFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive...

  7. Hydroxyapatite induces spontaneous polymerization of model self-etch dental adhesives.

    Science.gov (United States)

    Zhang, Ying; Wu, Ningjing; Bai, Xinyan; Xu, Changqi; Liu, Yi; Wang, Yong

    2013-10-01

    The objective of this study is to report for the first time the spontaneous polymerization phenomenon of self-etch dental adhesives induced by hydroxylapatite (HAp). Model self-etch adhesives were prepared by using a monomer mixture of bis[2-(methacryloyloxy)ethyl] phosphate (2MP) with 2-hydroxyethyl methacrylate (HEMA). The initiator system consisted of camphorquinone (CQ, 0.022 mmol/g) and ethyl 4-dimethylaminobenzoate (4E, 0.022-0.088 mmol/g). HAp (2-8 wt.%) was added to the neat model adhesive. In a dark environment, the polymerization was monitored in-situ using ATR/FT-IR, and the mechanical properties of the polymerized adhesives were evaluated using nanoindentation technique. Results indicated that spontaneous polymerization was not observed in the absence of HAp. However, as different amounts of HAp were incorporated into the adhesives, spontaneous polymerization was induced. Higher HAp content led to higher degree of conversion (DC), higher rate of polymerization (RP) and shorter induction period (IP). In addition, higher 4E content also elevated DC and RP and reduced IP of the adhesives. Nanoindentation result suggested that the Young's modulus of the polymerized adhesives showed similar dependence on HAp and 4E contents. In summary, interaction with HAp could induce spontaneous polymerization of the model self-etch adhesives. This result provides important information for understanding the initiation mechanism of the self-etch adhesives, and may be of clinical significance to strengthen the adhesive/dentin interface based on the finding. Copyright © 2013 Elsevier B.V. All rights reserved.

  8. Etching processes of transparent carbon nanotube thin films using laser technologies

    International Nuclear Information System (INIS)

    Lin, H.K.; Lin, R.C.; Li, C.H.

    2010-01-01

    Carbon nanotubes (CNTs) have potential as a transparent conductive material with good mechanical and electrical properties. However, carbon nanotube thin film deposition and etching processes are very difficult to pattern the electrode. In this study, transparent CNT film with a binder is coated on a PET flexible substrate. The transmittance and sheet resistance of carbon nanotube film are 84% and 1000 Ω/□, respectively. The etching process of carbon nanotube film on flexible substrates was investigated using 355 nm and 1064 nm laser sources. Experimental results show that carbon nanotube film can be ablated using laser technology. With the 355 nm UV laser, the minimum etched line width was 20 μm with a low amount of recast material of the ablated sections. The optimal conditions of laser ablation were determined for carbon nanotube film.

  9. Development of a reader for track etch detectors based on a commercially available slide scanner

    CERN Document Server

    Steele, J D; Tanner, R J; Bartlett, D T

    1999-01-01

    NRPB has operated a routine neutron personal dosimetry service based on the electrochemical etch of PADC elements since 1986. Since its inception it has used an automated reader based on a video camera and real time analysis. A new and more powerful replacement system has been developed using a commercially available photographic slide scanner. This permits a complete image of the dosemeter to be grabbed in a single scan, generating a 2592x3888 pixel file which is saved for subsequent analysis. This gives an effective pixel size of 10x10 mu m with an image of the entire dosemeter in one field of view. Custom written software subsequently analyses the image to assess the number of etched pits on the dosemeter and read the detector identification number (code). Batch scanning of up to 40 detectors is also possible using an autofeed attachment. The system can be used for electrochemically etched tracks for neutron detectors and chemically etched tracks for radon detectors.

  10. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  11. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    Science.gov (United States)

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  12. Influence of variation of etching conditions on the sensitivity of PADC detectors with a new evaluation method

    International Nuclear Information System (INIS)

    Fiechtner-Scharrer, A.; Mayer, S.; Boschung, M.; Whitelaw, A.

    2011-01-01

    At the Paul Scherrer Institut, a personal neutron dosimetry system based on chemically etched poly allyl diglycol carbonate (PADC) detectors and an automatic track counting (Autoscan 60) for neutron dose evaluations has been in routine use since 1998. Today, the hardware and the software of the Autoscan 60 are out of date, no spare components are available anymore and more sophisticated image-analysis systems are already developed. Therefore, a new evaluation system, the 'TASLIMAGE', was tested thoroughly in 2009 for linearity, reproducibility, influence of etching conditions and so forth, with the intention of replacing the Autoscan 60 in routine evaluations. The TASLIMAGE system is based on a microscope (high-quality Nikon optics) and an ultra-fast three-axis motorised control for scanning the detectors. In this paper, the TASLIMAGE system and its possibilities for neutron dose calculation are explained in more detail and the study of the influence of the variation of etching conditions on the sensitivity and background of the PADC detectors is described. The etching temperature and etching duration were varied, which showed that the etching conditions do not have a significant influence on the results of non-irradiated detectors. However, the sensitivity of irradiated detectors decreases by 5 % per 1 deg. C when increasing the etching temperature. For the variation of the etching duration, the influence on the sensitivity of irradiated detectors is less pronounced. (authors)

  13. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    Science.gov (United States)

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  14. Formation of Mach angle profiles during wet etching of silica and silicon nitride materials

    Energy Technology Data Exchange (ETDEWEB)

    Ghulinyan, M., E-mail: ghulinyan@fbk.eu [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Bernard, M.; Bartali, R. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy); Deptartment of Physics, University of Trento, I-38123 Povo (Italy); Pucker, G. [Centre for Materials and Microsystems, Fondazione Bruno Kessler, I-38123 Povo (Italy)

    2015-12-30

    Highlights: • Photoresist adhesion induces the formation of complex etch profiles in dielectrics. • Hydrofluoric acid etching of silica glass and silicon nitride materials was studied. • The phenomenon has been modeled in analogy with sonic boom propagation. • The material etch rate and resist adhesion/erosion define the final profile. - Abstract: In integrated circuit technology peeling of masking photoresist films is a major drawback during the long-timed wet etching of materials. It causes an undesired film underetching, which is often accompanied by a formation of complex etch profiles. Here we report on a detailed study of wedge-shaped profile formation in a series of silicon oxide, silicon oxynitride and silicon nitride materials during wet etching in a buffered hydrofluoric acid (BHF) solution. The shape of etched profiles reflects the time-dependent adhesion properties of the photoresist to a particular material and can be perfectly circular, purely linear or a combination of both, separated by a knee feature. Starting from a formal analogy between the sonic boom propagation and the wet underetching process, we model the wedge formation mechanism analytically. This model predicts the final form of the profile as a function of time and fits the experimental data perfectly. We discuss how this knowledge can be extended to the design and the realization of optical components such as highly efficient etch-less vertical tapers for passive silicon photonics.

  15. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon, E-mail: mphoung@eembox.ncku.edu.tw

    2015-06-15

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H{sub 3}PO{sub 4}, HCl, and HNO{sub 3} are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO{sub 3} exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10{sup −4} Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H{sub 3}PO{sub 4}, HCl and HNO{sub 3} were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm{sup 2} and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO{sub 3}-etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO{sub 3}-etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%.

  16. Using chemical wet-etching methods of textured AZO films on a-Si:H solar cells for efficient light trapping

    International Nuclear Information System (INIS)

    Lin, Guo-Sheng; Li, Chien-Yu; Huang, Kuo-Chan; Houng, Mau-Phon

    2015-01-01

    In this paper, Al-doped ZnO (AZO) films are deposited on glasses substrate by RF magnetron sputtering. The optical, electrical and morphological properties of AZO films textured by wet-etching with different etchants, H 3 PO 4 , HCl, and HNO 3 are studied. It is found that the textured structure could enhance the light scattering and light trapping ability of amorphous silicon solar cells. The textured AZO film etched with HNO 3 exhibits optimized optical properties (T% ≧ 80% over entire wavelength, haze ratio > 40% at 550 nm wavelength) and excellent electrical properties (ρ = 5.86 × 10 −4 Ωcm). Scanning electron microscopy and Atomic force microscopy are used to observe surface morphology and average roughness of each textured AZO films. Finally, the textured AZO films etched by H 3 PO 4 , HCl and HNO 3 were applied to front electrode layer for p–i–n amorphous silicon solar cells. The highest conversion efficiency of amorphous silicon solar cell fabricated on HNO 3 -etched AZO film was 7.08% with open-circuit voltage, short-circuit current density and fill factor of 895 mV, 14.92 mA/cm 2 and 0.56, respectively. It shows a significantly enhancement in the short-circuit current density and conversion efficiency by 16.2% and 20.2%, respectively, compared with the solar cell fabricated on as-grown AZO film. - Highlights: • The textured surface enhances light scattering and light trapping ability. • The HNO 3 -etched AZO film exhibits excellent optical and electrical properties. • The efficiency of a-Si:H solar cell fabricated on HNO 3 -etched AZO film was 7.08%. • The short-circuit current density enhances to 16.2%. • The conversion efficiency enhances to 20.2%

  17. Etching Behavior of Aluminum Alloy Extrusions

    Science.gov (United States)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  18. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  19. Cooperative simulation of lithography and topography for three-dimensional high-aspect-ratio etching

    Science.gov (United States)

    Ichikawa, Takashi; Yagisawa, Takashi; Furukawa, Shinichi; Taguchi, Takafumi; Nojima, Shigeki; Murakami, Sadatoshi; Tamaoki, Naoki

    2018-06-01

    A topography simulation of high-aspect-ratio etching considering transports of ions and neutrals is performed, and the mechanism of reactive ion etching (RIE) residues in three-dimensional corner patterns is revealed. Limited ion flux and CF2 diffusion from the wide space of the corner is found to have an effect on the RIE residues. Cooperative simulation of lithography and topography is used to solve the RIE residue problem.

  20. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    Science.gov (United States)

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (padhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was dependent on the adhesive material and tooth substrate and should be carefully considered in clinical situations.

  1. Mechanical properties of chemically modified portuguese pinewood

    OpenAIRE

    Lopes, Duarte B; Mai, Carsten; Militz, Holger

    2014-01-01

    To turn wood into a construction material with enhanced properties, many methods of chemical modification have been developed in the last few decades. In this work, mechanical properties of pine wood were chemically modified, compared and evaluated. Maritime pine wood (Pinus pinaster) was modified with four chemical processes: 1,3-dimethylol-4,5- dihydroxyethyleneurea, N-methylol melamine formaldehyde, tetra-alkoxysilane and wax. The following mechanical properties were assessed experiment...

  2. Improvement of the optical quality of site-controlled InAs quantum dots by a double stack growth technique in wet-chemically etched holes

    Energy Technology Data Exchange (ETDEWEB)

    Pfau, Tino Johannes; Gushterov, Aleksander; Reithmaier, Johann-Peter [Technische Physik, INA, Universitaet Kassel (Germany); Cestier, Isabelle; Eisenstein, Gadi [Electrical Engineering Dept., Technion, Haifa (Israel); Linder, Evgany; Gershoni, David [Solid State Institute and Physics Dept., Technion, Haifa (Israel)

    2010-07-01

    The optimization of the wet-chemically etching of holes and a special MBE growth stack technique allows enlarging the site-control of low density InAs QDs on GaAs substrates up to a buffer layer thickness of 55 nm. The strain of InAs QDs, grown in the etched holes, reduces the hole closing, so that a pre-patterned surface is conserved for the second QD layer. The distance of 50 nm GaAs between the two QD layers exceeds drastically the maximum vertical alignment based on pure strain coupling (20 nm). Compared to stacks with several QD layers, this method avoids electronic coupling between the different QD layers and reduces the problems to distinguish the dots of different layers optically. Confocal microphotoluminescence reveals a significant diminution of the low temperature photoluminescence linewidth of the second InAs QD layer to an average value of 505{+-}53 {mu}eV and a minimum width of 460 {mu}eV compared to 2 to 4 meV for QDs grown on thin buffer layers. The increase of the buffer layer thickness decreases the influence of the surface defects caused by prepatterning.

  3. Step voltage with periodic hold-up etching: A novel porous silicon formation

    International Nuclear Information System (INIS)

    Naddaf, M.; Awad, F.; Soukeih, M.

    2007-01-01

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 μA. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching

  4. Step voltage with periodic hold-up etching: A novel porous silicon formation

    Energy Technology Data Exchange (ETDEWEB)

    Naddaf, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)]. E-mail: scientific@aec.org.sy; Awad, F. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic); Soukeih, M. [Department of Physics, Atomic Energy Commission of Syria (AECS), Damascus P.O. Box 6091 (Syrian Arab Republic)

    2007-05-16

    A novel etching method for preparing light-emitting porous silicon (PS) is developed. A gradient steps (staircase) voltage is applied and hold-up for different periods of time between p-type silicon wafers and a graphite electrode in HF based solutions periodically. The single applied staircase voltage (0-30 V) is ramped in equal steps of 0.5 V for 6 s, and hold at 30 V for 30 s at a current of 6 mA. The current during hold-up time (0 V) was less than 10 {mu}A. The room temperature photoluminescence (PL) behavior of the PS samples as a function of etching parameters has been investigated. The intensity of PL peak is initially increased and blue shifted on increasing etching time, but decreased after prolonged time. These are correlated with the study of changes in surface morphology using atomic force microscope (AFM), porosity and electrical conductance measurements. The time of holding-up the applied voltage during the formation process is found to highly affect the PS properties. On increasing the holding-up time, the intensity of PL peak is increased and blue shifted. The contribution of holding-up the applied steps during the formation process of PS is seen to be more or less similar to the post chemical etching process. It is demonstrated that this method can yield a porous silicon layer with stronger photoluminescence intensity and blue shifted than the porous silicon layer prepared by DC etching.

  5. Atomistic simulations of graphite etching at realistic time scales.

    Science.gov (United States)

    Aussems, D U B; Bal, K M; Morgan, T W; van de Sanden, M C M; Neyts, E C

    2017-10-01

    Hydrogen-graphite interactions are relevant to a wide variety of applications, ranging from astrophysics to fusion devices and nano-electronics. In order to shed light on these interactions, atomistic simulation using Molecular Dynamics (MD) has been shown to be an invaluable tool. It suffers, however, from severe time-scale limitations. In this work we apply the recently developed Collective Variable-Driven Hyperdynamics (CVHD) method to hydrogen etching of graphite for varying inter-impact times up to a realistic value of 1 ms, which corresponds to a flux of ∼10 20 m -2 s -1 . The results show that the erosion yield, hydrogen surface coverage and species distribution are significantly affected by the time between impacts. This can be explained by the higher probability of C-C bond breaking due to the prolonged exposure to thermal stress and the subsequent transition from ion- to thermal-induced etching. This latter regime of thermal-induced etching - chemical erosion - is here accessed for the first time using atomistic simulations. In conclusion, this study demonstrates that accounting for long time-scales significantly affects ion bombardment simulations and should not be neglected in a wide range of conditions, in contrast to what is typically assumed.

  6. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    Science.gov (United States)

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  7. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  8. Aluminum oxide mask fabrication by focused ion beam implantation combined with wet etching

    International Nuclear Information System (INIS)

    Liu Zhengjun; Iltanen, Kari; Chekurov, Nikolai; Tittonen, Ilkka; Grigoras, Kestutis

    2013-01-01

    A novel aluminum oxide (Al 2 O 3 ) hard mask fabrication process with nanoscale resolution is introduced. The Al 2 O 3 mask can be used for various purposes, but in this work it was utilized for silicon patterning using cryogenic deep reactive ion etching (DRIE). The patterning of Al 2 O 3 is a two-step process utilizing focused ion beam (FIB) irradiation combined with wet chemical etching. Gallium (Ga + ) FIB maskless patterning confers wet etch selectivity between the irradiated region and the non-irradiated one on the Al 2 O 3 layer, and mask patterns can easily be revealed by wet etching. This method is a modification of Ga + FIB mask patterning for the silicon etch stop, which eliminates the detrimental lattice damage and doping of the silicon substrate in critical devices. The shallow surface gallium FIB irradiated Al 2 O 3 mask protects the underlying silicon from Ga + ions. The performance of the masking capacity was tested by drawing pairs consisting of a line and an empty space with varying width. The best result was seven such pairs for 1 μm. The smallest half pitch was 59 nm. This method is capable of arbitrary pattern generation. The fabrication of a freestanding single-ended tuning fork resonator utilizing the introduced masking method is demonstrated. (paper)

  9. Influence of high frequency ex-electric field on etching process and shape of pores for nuclear track film

    International Nuclear Information System (INIS)

    Chen Hui; Wang Yulan; Xu Shiping; Wang Jianchen

    2011-01-01

    To assess the details of the chemical etching process of polyethylene terephthalate (PET), the current signals during the whole etching process were recorded with the etching apparatus. The background-current was studied, which illustrated that it was mainly determined by the electric capacity of the etching system and was influenced by the thickness of the membrane but not by the temperature. According to the record of the current change during the etching process, it was found that the process can be divided into three phases. The influences of the existence and intensity of the ex-electric field on the breakthrough time and shape of pores were also studied. The existence of ex-electric field could shorten the breakthrough time and shape the pores more close to column. And these two phenomenons could be strengthened as the intensity of the electric field rose, and yet would reach a plateau when the intensity gets near 10 V/cm. (authors)

  10. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    Science.gov (United States)

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  11. Thermal etching rate of GaN during MOCVD growth interruption in hydrogen and ammonia ambient determined by AlGaN/GaN superlattice structures

    Science.gov (United States)

    Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui

    2017-10-01

    Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.

  12. Interpretation of Mason's equation in terms of measurable electrochemical-etching parameters governing the dielectric breakdown phenomenon

    International Nuclear Information System (INIS)

    Al-Najjar, S.A.R.; Durrani, S.A.

    1986-01-01

    A new interpretation of Mason's equation, as applicable to the electrochemical etching (ECE) of tracks in polymers, is presented in terms of physically meaningful track-etching parameters. The values of these parameters have been experimentally obtained by irradiating CR-39 foils with heavy ions ( 238 U and 129 Xe) and α-particles of various energies, and applying chemical pre-etching (CPE), followed by ECE in steps, with increasing electric field until the 'treeing' breakdown is initiated. A value of 1.19 MV cm -1 is obtained for the intrinsic electrical breakdown stress of CR-39. It is found that the track radius r used in Mason's equation is related to the radius rsub(Θ) of a sphere, inscribed within the etch cone, whose lowest point is at a fixed distance lsub(o) above the cone tip, with lsub(o) ≅ 1.75 μm for α-particle tracks of energies 2-5.5 MeV, such that r = 0.43 + rsub(Θ) (in μm). (author)

  13. Sputtering. [as deposition technique in mechanical engineering

    Science.gov (United States)

    Spalvins, T.

    1976-01-01

    This paper primarily reviews the potential of using the sputtering process as a deposition technique; however, the manufacturing and sputter etching aspects are also discussed. Since sputtering is not regulated by classical thermodynamics, new multicomponent materials can be developed in any possible chemical composition. The basic mechanism for dc and rf sputtering is described. Sputter-deposition is described in terms of the unique advantageous features it offers such as versatility, momentum transfer, stoichiometry, sputter-etching, target geometry (coating complex surfaces), precise controls, flexibility, ecology, and sputtering rates. Sputtered film characteristics, such as strong adherence and coherence and film morphology, are briefly evaluated in terms of varying the sputtering parameters. Also described are some of the specific industrial areas which are turning to sputter-deposition techniques.

  14. Quantum mechanical tunneling in chemical physics

    CERN Document Server

    Nakamura, Hiroki

    2016-01-01

    Quantum mechanical tunneling plays important roles in a wide range of natural sciences, from nuclear and solid-state physics to proton transfer and chemical reactions in chemistry and biology. Responding to the need for further understanding of multidimensional tunneling, the authors have recently developed practical methods that can be applied to multidimensional systems. Quantum Mechanical Tunneling in Chemical Physics presents basic theories, as well as original ones developed by the authors. It also provides methodologies and numerical applications to real molecular systems. The book offers information so readers can understand the basic concepts and dynamics of multidimensional tunneling phenomena and use the described methods for various molecular spectroscopy and chemical dynamics problems. The text focuses on three tunneling phenomena: (1) energy splitting, or tunneling splitting, in symmetric double well potential, (2) decay of metastable state through tunneling, and (3) tunneling effects in chemical...

  15. A quick method for maintaining the molarity of NaOH solution during continuous etching of CR-39

    International Nuclear Information System (INIS)

    Khan, E.U.; Husaini, S.N.; Malik, F.; Sajid, M.; Karim, S.; Qureshi, I.E.

    2002-01-01

    A series of experiments have been performed to investigate the physio-chemical changes that occurred in the 6 M NaOH solution after etching CR-39 (Pershore and Homalite) detectors, each for 3, 6, 9, 12 and 15 h. Various physical parameters like concentration, electrical conductivity (EC) and density of the solutions have been measured after each etching interval. Results have been discussed in the light of stoichiometrical equation for the interaction of CR-39 with NaOH. It is found that the concentration, EC and the density of the solution, in which CR-39 has been etched, increase linearly with respect to the etching time. The inter-relationship of EC-concentration and density-concentration suggest that the concentration of the etchant can be maintained at certain desired value by adjusting the corresponding value of EC or density, measured through non-destructive and quick methods

  16. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  17. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Songkil; Henry, Mathias [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Fedorov, Andrei G., E-mail: agf@gatech.edu [George W. Woodruff School of Mechanical Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Parker H. Petit Institute for Bioengineering and Bioscience, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States)

    2015-12-07

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  18. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    International Nuclear Information System (INIS)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-01-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon “halo” deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations

  19. Using an energized oxygen micro-jet for improved graphene etching by focused electron beam

    Science.gov (United States)

    Kim, Songkil; Henry, Mathias; Fedorov, Andrei G.

    2015-12-01

    We report on an improved Focused Electron Beam Induced Etching (FEBIE) process, which exploits heated oxygen delivery via a continuous supersonic micro-jet resulting in faster graphene patterning and better etch feature definition. Positioning a micro-jet in close proximity to a graphene surface with minimal jet spreading due to a continuous regime of gas flow at the exit of the 10 μm inner diameter capillary allows for focused exposure of the surface to reactive oxygen at high mass flux and impingement energy of a supersonic gas stream localized to a small etching area exposed to electron beam. These unique benefits of focused supersonic oxygen delivery to the surface enable a dramatic increase in the etch rate of graphene with no parasitic carbon "halo" deposition due to secondary electrons from backscattered electrons (BSE) in the area surrounding the etched regions. Increase of jet temperature via local nozzle heating provides means for enhancing kinetic energy of impinging oxygen molecules, which further speed up the etch, thus minimizing the beam exposure time and required electron dose, before parasitic carbon film deposition due to BSE mediated decomposition of adsorbed hydrocarbon contaminants has a measurable impact on quality of graphene etched features. Interplay of different physical mechanisms underlying an oxygen micro-jet assisted FEBIE process is discussed with support from experimental observations.

  20. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  1. Thermal stability of dyed tracks and electrochemical etching sensitivity of some polymeric detectors

    International Nuclear Information System (INIS)

    Monnin, M.; Gourcy, J.; Somogyi, G.; Dajko, D.

    1980-01-01

    Recent results on the mechanism of the formation of tracks obtained by the dyed tracks technique are given and the thermal annealing of the detectors is used to demonstrate their ability to retain tracks under more severe conditions than by the etching technique. Electrochemical etching of polycarbonate and polyethylene terephthalate detectors is investigated both from the background and sensitivity points of view. The polyethylene terephthalate detector is shown to be well suited for low neutron flux measurements. (author)

  2. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  3. Selective photochemical dry etching of compound semiconductors

    International Nuclear Information System (INIS)

    Ashby, C.I.H.

    1988-01-01

    When laser-driven etching of a semiconductor requires direct participation of photogenerated carriers, the etching quantum yield will be sensitive to the electronic properties of a specific semiconductor material. The band-gap energy of the semiconductor determines the minimum photon energy needed for carrier-driven etching since sub-gap photons do not generate free carriers. However, only those free carriers that reach the reacting surface contribute to etching and the ultimate carrier flux to the surface is controlled by more subtle electronic properties than the lowest-energy band gap. For example, the initial depth of carrier generation and the probability of carrier recombination between the point of generation and the surface profoundly influence the etching quantum yield. Appropriate manipulation of process parameters can provide additional reaction control based on such secondary electronic properties. Applications to selective dry etching of GaAs and related materials are discussed

  4. Fabrication of nanopores in multi-layered silicon-based membranes using focused electron beam induced etching with XeF_2 gas

    International Nuclear Information System (INIS)

    Liebes-Peer, Yael; Bandalo, Vedran; Sökmen, Ünsal; Tornow, Marc; Ashkenasy, Nurit

    2016-01-01

    The emergent technology of using nanopores for stochastic sensing of biomolecules introduces a demand for the development of simple fabrication methodologies of nanopores in solid state membranes. This process becomes particularly challenging when membranes of composite layer architecture are involved. To overcome this challenge we have employed a focused electron beam induced chemical etching process. We present here the fabrication of nanopores in silicon-on-insulator based membranes in a single step process. In this process, chemical etching of the membrane materials by XeF_2 gas is locally accelerated by an electron beam, resulting in local etching, with a top membrane oxide layer preventing delocalized etching of the silicon underneath. Nanopores with a funnel or conical, 3-dimensional (3D) shape can be fabricated, depending on the duration of exposure to XeF_2, and their diameter is dominated by the time of exposure to the electron beam. The demonstrated ability to form high-aspect ratio nanopores in comparably thick, multi-layered silicon based membranes allows for an easy integration into current silicon process technology and hence is attractive for implementation in biosensing lab-on-chip fabrication technologies. (author)

  5. Selective Laser Sintering And Melting Of Pristine Titanium And Titanium Ti6Al4V Alloy Powders And Selection Of Chemical Environment For Etching Of Such Materials

    Directory of Open Access Journals (Sweden)

    Dobrzański L.A.

    2015-09-01

    Full Text Available The aim of the investigations described in this article is to present a selective laser sintering and melting technology to fabricate metallic scaffolds made of pristine titanium and titanium Ti6Al4V alloy powders. Titanium scaffolds with different properties and structure were manufactured with this technique using appropriate conditions, notably laser power and laser beam size. The purpose of such elements is to replace the missing pieces of bones, mainly cranial and facial bones in the implantation treatment process. All the samples for the investigations were designed in CAD/CAM (3D MARCARM ENGINEERING AutoFab (Software for Manufacturing Applications software suitably integrated with an SLS/SLM system. Cube-shaped test samples dimensioned 10×10×10 mm were designed for the investigations using a hexagon-shaped base cell. The so designed 3D models were transferred to the machine software and the actual rapid manufacturing process was commenced. The samples produced according to the laser sintering technology were subjected to chemical processing consisting of etching the scaffolds’ surface in different chemical mediums. Etching was carried out to remove the loosely bound powder from the surface of scaffolds, which might detach from their surface during implantation treatment and travel elsewhere in an organism. The scaffolds created were subjected to micro- and spectroscopic examinations

  6. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  7. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  8. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    Science.gov (United States)

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  9. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  10. Fabrication of substrates with curvature for cell cultivation by alpha-particle irradiation and chemical etching of PADC films

    International Nuclear Information System (INIS)

    Ng, C.K.M.; Tjhin, V.T.; Lin, A.C.C.; Cheng, J.P.; Cheng, S.H.; Yu, K.N.

    2012-01-01

    In the present paper, we developed a microfabrication technology to generate cell-culture substrates with identical chemistry and well-defined curvature. Micrometer-sized pits with curved surfaces were created on a two-dimensional surface of a polymer known as polyallyldiglycol carbonate (PADC). A PADC film was first irradiated by alpha particles and then chemically etched under specific conditions to generate pits with well-defined curvature at the incident positions of the alpha particles. The surface with these pits was employed as a model system for studying the effects of substrate curvature on cell behavior. As an application, the present work studied mechanosensing of substrate curvature by epithelial cells (HeLa cells) through regulation of microtubule (MT) dynamics. We used end-binding protein 3–green fluorescent protein (EB3–GFP) as a marker of MT growth to show that epithelial cells having migrated into the pits with curved surfaces had significantly smaller MT growth speeds than those having stayed on flat surfaces without the pits.

  11. The effect of ArF laser irradiation (193 nm) on the photodegradation and etching properties of alpha-irradiated CR-39 detectors

    Energy Technology Data Exchange (ETDEWEB)

    Shakeri Jooybari, B. [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Ghergherehchi, M. [College of Information and Technology/ school of Electronic and Electrical Engineering, Sungkyunkwan University, Suwon (Korea, Republic of); Afarideh, H., E-mail: hafarideh@aut.ac.ir [Department of Energy Engineering and Physics, Amirkabir University of Technology, P.O. Box 15875-4413, Tehran, Islamic Republic of Iran (Iran, Islamic Republic of); Lamehi-Rachti, M. [Nuclear Science and Technology Research Institute (NSRT), Tehran, Islamic Republic of Iran (Iran, Islamic Republic of)

    2015-01-01

    The effects of ArF laser irradiation (λ=193nm) at various fluences (energy dose or energy density) on the etching properties of pre-exposed (laser + alpha) CR-39 detectors were studied. First, UV–Vis and Fourier transform infrared (FTIR) spectra were acquired for non-laser-irradiated and laser-irradiated samples to detect the influence of the ArF laser on the chemical modification of the CR-39. Changes observed in the spectra indicated that the predominant process that occurred upon ArF laser irradiation was a bond-scission process. Thereafter, the mean track and bulk etching parameters were experimentally measured in ArF-laser-irradiated CR-39 detectors exposed to an alpha source ({sup 241}Am, E = 5.49 MeV). Inhomogeneous regions in the laser-irradiated side of the CR-39 demonstrated a variable etching rate on only the front side of the CR-39 detector. New equations are also presented for the average bulk etching rate for these inhomogeneous regions (front side). The mean bulk and track etching rates and the mean track dimensions increased in a fluence range of 0–37.03 mJ/cm{sup 2} because of photodegradation and the scission of chemical bonds, which are the predominant processes in this range. When the fluence was increased from 37.03 to 123.45 mJ/cm{sup 2}, the bulk and track etching rates and the track dimensions slowly decreased because of the formation of cross-linked structures on the CR-39 surface. The behavior of the bulk and track etching rates and the track dimensions appears to be proportional to the dose absorbed on the detector surface. It was observed that as the etching time was increased, the bulk and track etching rates and the track dimensions of the laser-irradiated samples decreased because of the shallow penetration depth of the 193 nm laser and the reduction in the oxygen penetration depth.

  12. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  13. Chemically Tuning the Localized Surface Plasmon Resonances of Gold Nanostructure Arrays

    KAUST Repository

    Zheng, Yue Bing

    2009-04-30

    We report on chemical etching of ordered Au nanostructure arrays to continuously tune their localized surface plasmon resonances (LSPR). Real-time extinction spectra were recorded from both Au nanodisks and nanospheres immobilized on glass substrates when immersed in Au etchant. The time-dependent LSPR frequencies, intensities, and bandwidths were studied theoretically with discrete dipole approximations and the Mie solution, and they were correlated with the evolution of the etched Au nanostructures\\' morphology (as examined by atomic force microscopy). Since this chemical etching method can conveniently and accurately tune LSPR, it offers precise control of plasmonic properties and can be useful in applications such as surfaceenhanced Raman spectroscopy and molecular resonance spectroscopy. © 2009 American Chemical Society.

  14. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  15. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  16. Crystal growth vs. conventional acid etching: A comparative evaluation of etch patterns, penetration depths, and bond strengths

    Directory of Open Access Journals (Sweden)

    Devanna Raghu

    2008-01-01

    Full Text Available The present study was undertaken to investigate the effect on enamel surface, penetration depth, and bond strength produced by 37% phosphoric acid and 20% sulfated polyacrylic acid as etching agents for direct bonding. Eighty teeth were used to study the efficacy of the etching agents on the enamel surface, penetration depth, and tensile bond strength. It was determined from the present study that a 30 sec application of 20% sulfated polyacrylic acid produced comparable etching topography with that of 37% phosphoric acid applied for 30 sec. The 37% phosphoric acid dissolves enamel to a greater extent than does the 20% sulfated polyacrylic acid. Instron Universal testing machine was used to evaluate the bond strengths of the two etching agents. Twenty percent sulfated polyacrylic acid provided adequate tensile bond strength. It was ascertained that crystal growth can be an alternative to conventional phosphoric acid etching as it dissolves lesser enamel and provides adequate tensile bond strength.

  17. Marginal Micro-leakage of Self-etch and All-in One Adhesives to Primary Teeth, with Mechanical or Chemo-Mechanical Caries Removal

    Directory of Open Access Journals (Sweden)

    Nouzari A

    2016-06-01

    Full Text Available Statement of Problem: Chemo-mechanical caries removal is an effective alternative to the traditional rotary drilling method. One of the factors that can influence micro-leakage is the method of caries removal. Objectives: To compare the micro-leakage of resin composite in primary dentition using self-etch and all-in one adhesives following conventional and chemo-mechanical caries removal. Materials and Methods: Sixty extracted human primary anterior teeth with class III carious lesions were collected. The selected teeth were divided randomly into two groups each consisting of 30 teeth. In group1 carious lesions were removed using Carisolv multi mix gel. In group 2, caries was removed using round steel burs in a slow–speed hand piece. Then, the specimens in each group were randomly divided into two subgroups (A and B of 15 and treated by either Clearfil SE Bond (CSEB or Scotch bond. All prepared cavities were filled with a resin composite (Estellite. All the specimens were stored in distilled water at 37ºC for 24 hours and then thermocycled in 5ºC and 55ºC water with a dwell time of 20 seconds for 1500 cycles. The specimens were immersed in 1% methylene blue solution for 24 hours, removed, washed and sectioned mesiodistally. The sectioned splits were examined under a stereomicroscope to determine the micro-leakage scores. The data were analyzed using Kruskal-Wallis Test in SPSS version 21. Results: There were no significant differences between micro-leakage scores among the four groups (p = 0.127. Score 0 of micro-leakage was detected for 60% of the specimens in group 1-A (Carisolv + CSEB, 73% of the group 2-A (hand piece + CSEB, 80% of the group 1-B (Carisolv + Scotch bond, and 93% of the group 2-B in which caries was removed using hand piece and bonded with Scotch bond . Conclusions: Although caries removal using hand piece bur along with using Scotch bond adhesive performed less micro-leakage, it would seems that the use of Carisolv

  18. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure

    Science.gov (United States)

    Liu, Y.; Bai, X.; Liu, Y.W.; Wang, Y.

    2015-01-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. PMID:26635279

  19. Light-Cured Self-Etch Adhesives Undergo Hydroxyapatite-Triggered Self-Cure.

    Science.gov (United States)

    Liu, Y; Bai, X; Liu, Y W; Wang, Y

    2016-03-01

    Light cure is a popular mode of curing for dental adhesives. However, it suffers from inadequate light delivery when the restoration site is less accessible, in which case a self-cure mechanism is desirable to salvage any compromised polymerization. We previously reported a novel self-cure system mediated by ethyl 4-(dimethylamino)-benzoate (4E) and hydroxyapatite (HAp). The present work aims to investigate if such self-cure phenomenon takes place in adhesives that underwent prior inadequate light cure and to elucidate if HAp released from the dental etching process is sufficient to trigger it. Model self-etch adhesives were formulated with various components, including bis[2-methacryloyloxy)ethyl]-phosphate (2MP) as acidic monomer and trimethylbenzoyl-diphenylphosphine oxide (TPO) as photoinitiator. In vitro evolution of degree of conversion (DC) of HAp-incorporated adhesives was monitored by infrared spectroscopy during light irradiation and dark storage. Selected adhesives were allowed to etch and extract HAp from enamel, light-cured in situ, and stored in the dark, after which Raman line mapping was used to obtain spatially resolved DC across the enamel-resin interface. Results showed that TPO+4E adhesives reached DC similar to TPO-only counterparts upon completion of light irradiation but underwent another round of initiation that boosted DC to ~100% regardless of HAp level or prior light exposure. When applied to enamel, TPO-only adhesives had ~80% DC in resin, which gradually descended to ~50% in enamel, whereas TPO+4E adhesives consistently scored ~80% DC across the enamel-resin interface. These observations suggest that polymerization of adhesives that underwent insufficient light cure is salvaged by the novel self-cure mechanism, and such salvaging effect can be triggered by HAp released from dental substrate during the etching process. © International & American Associations for Dental Research 2015.

  20. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    Science.gov (United States)

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  1. Wet-chemical etching of atom probe tips for artefact free analyses of nanoscaled semiconductor structures.

    Science.gov (United States)

    Melkonyan, D; Fleischmann, C; Veloso, A; Franquet, A; Bogdanowicz, J; Morris, R J H; Vandervorst, W

    2018-03-01

    We introduce an innovative specimen preparation method employing the selectivity of a wet-chemical etching step to improve data quality and success rates in the atom probe analysis of contemporary semiconductor devices. Firstly, on the example of an SiGe fin embedded in SiO 2 we demonstrate how the selective removal of SiO 2 from the final APT specimen significantly improves accuracy and reliability of the reconstructed data. With the oxide removal, we eliminate the origin of shape artefacts, i.e. the formation of a non-hemispherical tip shape, that are typically observed in the reconstructed volume of complex systems. Secondly, using the same approach, we increase success rates to ∼90% for the damage-free, 3D site-specific localization of short (250 nm), vertical Si nanowires at the specimen apex. The impact of the abrupt emitter radius change that is introduced by this specimen preparation method is evaluated as being minor using field evaporation simulation and comparison of different reconstruction schemes. The Ge content within the SiGe fin as well as the 3D boron distribution in the Si NW as resolved by atom probe analysis are in good agreement with TEM/EDS and ToF-SIMS analysis, respectively. Copyright © 2017 Elsevier B.V. All rights reserved.

  2. Studies of the confinement at laser-induced backside dry etching using infrared nanosecond laser pulses

    Science.gov (United States)

    Ehrhardt, M.; Lorenz, P.; Bayer, L.; Han, B.; Zimmer, K.

    2018-01-01

    In the present study, laser-induced backside etching of SiO2 at an interface to an organic material using laser pulses with a wavelength of λ = 1064 nm and a pulse length of τ = 7 ns have been performed in order to investigate selected processes involved in etching of the SiO2 at confined ablation conditions with wavelengths well below the band gap of SiO2. Therefore, in between the utilized metallic absorber layer and the SiO2 surface, a polymer interlayer with a thickness between 20 nm to 150 nm was placed with the aim, to separate the laser absorption process in the metallic absorber layer from the etching process of the SiO2 surface due to the provided organic interlayer. The influence of the confinement of the backside etching process was analyzed by the deposition of different thick polymer layers on top of the metallic absorber layer. In particular, it was found that the SiO2 etching depth decreases with higher polymer interlayer thickness. However, the etching depth increases with increasing the confinement layer thickness. SEM images of the laser processed areas show that the absorber and confinement layers are ruptured from the sample surface without showing melting, and suggesting a lift off process of these films. The driving force for the layers lift off and the etching of the SiO2 is probably the generated laser-induce plasma from the confined ablation that provides the pressure for lift off, the high temperatures and reactive organic species that can chemically attack the SiO2 surface at these conditions.

  3. Effect of gamma irradiation on the etching properties of Lexan and Makrofol-DE polycarbonate plastics

    International Nuclear Information System (INIS)

    Ashok Kumar; Jain, R.K.; Praveen Yadav; Chakraborty, R.N.; Singh, B.K.; Nayak, B.K.

    2013-01-01

    It is observed that for Lexan and Makrofol-DE polycarbonate plastic detectors the mean diameters of fission fragments from a 252 Cf source increases as a result of gamma-ray exposure. We have studied the bulk etching rate and track etching rate before and after gamma-ray irradiation on Lexan and Makrofol-DE polycarbonate plastics. The mechanism of Lexan and Makrofol-DE polycarbonate plastic detectors can be understood with the help of this exposures. It is also noted that degree of ordering of Lexan and Makrofol-DE polycarbonate is dependent on the gamma ray dose due to degradation and cross-linking processes. The results show that bulk and track etch rate increases with gamma dose while activation energy associated with bulk and track etch rates at a particular temperature and sensitivity decreases with gamma dose. (author)

  4. Dry etching technologies for the advanced binary film

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  5. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    Science.gov (United States)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  6. Track-etch membranes enabled nano-/microtechnology: A review

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.

    2009-01-01

    tunneling phenomenon, optical, magnetic, and chemical and other important functional attributes etc are found to be enhanced when the size reduction comes into play. This review article addresses the art and science of specific technique-the 'Template Synthesis'(TS) used as a route in the development of nano-/micromaterials and structures involving metals, non-metals, semiconductors, magnetic multilayered nanowires, conducting polymers, glasses, nanotubules, wires and whiskers etc. The recent past has witnessed keen interest being generated on the use of innovative technologies like TS in the production of nanomaterials' fabrication reported from various authors and from our lab. The strategy for embedding matter of interest within the etched pores or channels in the template is the material's placement through some suitable mechanism at the desired places viz., pores.

  7. Track-etch membranes enabled nano-/microtechnology: A review

    Energy Technology Data Exchange (ETDEWEB)

    Chakarvarti, S.K., E-mail: skchakarvarti@gmail.co [Department of Physics, National Institute of Technology, Institution of National Importance, Kurukshetra 136 119 (India)

    2009-10-15

    tunneling phenomenon, optical, magnetic, and chemical and other important functional attributes etc are found to be enhanced when the size reduction comes into play. This review article addresses the art and science of specific technique-the 'Template Synthesis'(TS) used as a route in the development of nano-/micromaterials and structures involving metals, non-metals, semiconductors, magnetic multilayered nanowires, conducting polymers, glasses, nanotubules, wires and whiskers etc. The recent past has witnessed keen interest being generated on the use of innovative technologies like TS in the production of nanomaterials' fabrication reported from various authors and from our lab. The strategy for embedding matter of interest within the etched pores or channels in the template is the material's placement through some suitable mechanism at the desired places viz., pores.

  8. Methods of removal of defects arising at liquid etching of polycrystalline silicon

    Directory of Open Access Journals (Sweden)

    Ivanchykou A. E.

    2008-02-01

    Full Text Available The paper presents a model of generation of defects having the form of spots on the surface of the polycrystalline silicon during processing of semiconductor wafers with hydrofluoric acid based etchant, and a model of removal of such defects in chemical solutions. The authors investigate how the centrifuge speed during drying and the relief of structures, produced on the plate, effect the number of defects. It is shown that there is a possibility to remove defects by chemical treatment in the peroxide-ammonia solutions (PAS and also by sequence of chemical cleaning in Karo mixture, SiO2 etching and treatment in PAS.

  9. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  10. Modification of track membranes structure by gas discharge etching method

    International Nuclear Information System (INIS)

    Dmitriev, S.N.; Kravets, L.I.

    1996-01-01

    An investigation of the properties of polyethyleneterephthalate track membranes (PET TM) treated with the plasma RF-discharge in air has been performed. The influence of the plasma treatment conditions on the basic properties of the membranes, namely pore size and pore shape, porosity and mechanical strength has been studied. It was arranged that the effect of air plasma on the PET TM results to etching a membrane's surface layer. The membranes' pore size and the form in this case change. It is shown that it is possible to change the structure of track membranes directly by the gas discharge etching method. Depending on the choice of discharge parameters, it is possible to make etching either in a part of the channel or along the whole length of the pore channels. In both cases the membranes with an asymmetric pore shape are formed which possess higher porosity and flow rate. The use of the membranes of such a type allows one to increase drastically the efficiency of the filtration processes. 12 refs., 5 figs., 1 tab

  11. Radially Polarized Conical Beam from an Embedded Etched Fiber

    OpenAIRE

    Kalaidji , D.; Spajer , M.; Marthouret , N.; Grosjean , T.

    2009-01-01

    International audience; We propose a method for producing a conical beam based on the lateral refraction of the TM01 mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid...

  12. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    Science.gov (United States)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  13. A novel approach to particle track etching: surfactant enhanced control of pore morphology

    International Nuclear Information System (INIS)

    Apel', P.Yu.; Dmitriev, S.N.; Vutsadakis, V.A.; Root, D.

    2000-01-01

    Based on the understanding of the mechanism behind a long observed but thus far unexplained effect, a new method to control the geometry of nano- and micropores is described. Surfactant molecules added to an etching solution used for etching out ion tracks, create a steric-hindrance effect which is responsible for the formation of 'bottleneck' or 'cigar-like' pores. Filtration membranes thus obtained exhibit significantly improved flow rates without deterioration in the retention properties. New applications are made possible with these new pore geometries

  14. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  15. Coupled chemical reactions in dynamic nanometric confinement: Ag2O membrane formation during ion track etching

    Czech Academy of Sciences Publication Activity Database

    Hernandez, G. M.; Cruz, S. A.; Quintero, R.; Arellano, H. G.; Fink, Dietmar; Alfonta, L.; Mandabi, Y.; Kiv, A.; Vacík, Jiří

    2013-01-01

    Roč. 168, č. 9 (2013), s. 675-695 ISSN 1042-0150 Institutional support: RVO:61389005 Keywords : track * polymers * etching * chemistry * ions * nanostructure Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 0.603, year: 2013

  16. Electrochemical trench etching of silicon triggered via mechanical nanocontacts

    Energy Technology Data Exchange (ETDEWEB)

    Gassilloud, R.; Michler, J. [EMPA, Materials Science and Technology, Feuerwerkerstrasse 39, CH-3602 Thun (Switzerland); Schmuki, P. [Department of Materials Science, LKO, University of Erlangen-Nuernberg, Martensstrasse 7, D-91058 Erlangen (Germany)

    2007-12-01

    We report a method to produce microstructures on silicon wafers using a microscratching technique followed by a subsequent electrochemical trench etching in hydrofluoric-based electrolyte. Micro-scratches are used to trigger macropore formation. We show that mask-less dissolved trenches with aspect ratios up to 1:7 are formed at the scratched regions on (0 0 1)Si surface. The micro-scratches orientate the macropores formation by aligning them in the scratching direction. We propose that dislocations formed during scratching are firstly dissolved leading to the formation of V-shape grooves. The V-shape geometries obtained by this way are used to initiate the macropores nucleation; i.e. due to the geometry, an avalanche current occurs at the grooves base and thus induces local dissolutions of the substrate. High rate local dissolutions are achieved by back-side illumination of the Si wafer. (author)

  17. Effect of reactive and un-reactive substrates on photopolymerization of self-etching adhesives with different aggressiveness.

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2013-01-01

    The study investigated the influence of reactive (enamel) and un-reactive (glass) substrates on photo-polymerization of self-etching adhesives. Two commercial adhesives Adper Prompt L-Pop (APLP, pH~0.8) and Adper Easy Bond (AEB, pH~2.5) were applied onto prepared enamel and glass substrates using the same protocol. Micro-Raman spectroscopy was employed to determine the degree of conversion (DC) and the involved mechanism. DC of APLP was dramatically enhanced from ~9.4% to ~82.0% as when changing from glass to enamel, while DC of AEB on both substrates showed no difference. The DC distributions along the adhesive layers of the APLP and AEB on enamel showed descending and constant trends, respectively. Spectral analysis disclosed that the difference in chemical reaction of the two adhesives with enamel might be associated with the results. The chemical reaction of the adhesives with enamel significantly improved the DC of the strong APLP, but not that of the mild AEB.

  18. Cradle-to-gate life cycle assessment of the dry etching step in the manufacturing of photovoltaic cells

    Directory of Open Access Journals (Sweden)

    Otto Andersen

    2014-11-01

    Full Text Available A new photovoltaic silicon crystalline solar cell dry chemical etching process (DCEP is developed. It is an alternative to the current State-of-the-Art (SoA wet chemical etching process (WCEP, associated with relatively large environmental loadings in the form of high water consumption and emissions of greenhouse gases with high Global Warming Potential (GWP. In order to compare the environmental impacts of DCEP to the corresponding impacts from WCEP, a comparative attributional life cycle assessment (LCA is conducted. From the LCA it can be concluded that the DCEP will lead to 86% reduction in water consumption compared to WCEP (acidic, and 89% reduction compared to WCEP (alkaline. The emissions of greenhouse gases, as expressed by the GWP100 indicator of the etching step, are also reduced with 63% and 20% respectively, when compared with current SoA acidic and alkaline WCEP. The toxicity impacts are also assessed to be lower for the DCEP compared to WCEP technologies, although the uncertainty is relatively high for the applied toxicity indicators. All in all, DCEP can reduce the CO2eq emissions of solar photovoltaic systems production by 5-10%.

  19. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  20. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    Energy Technology Data Exchange (ETDEWEB)

    Grégoire, Geneviève, E-mail: genevieve.gregoire@univ-tlse3.fr [Department of Biomaterials, Faculty of Odontology, University Toulouse III, 31062 Toulouse (France); Sharrock, Patrick, E-mail: patrick.sharrock@gmail.com [CNRS UMR 5302, University Toulouse III, Mines-Albi, 81013 Albi (France); Prigent, Yann, E-mail: prigent@chimie.ups-tlse.fr [Institut de Chimie de Toulouse (ICT) – FR 2599, Faculté des Sciences et de l' Ingénierie, University Toulouse III, 31062 Toulouse (France)

    2016-09-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  1. Performance of a universal adhesive on etched and non-etched surfaces: Do the results match the expectations?

    International Nuclear Information System (INIS)

    Grégoire, Geneviève; Sharrock, Patrick; Prigent, Yann

    2016-01-01

    A universal adhesive was applied to human dentin in both the etched and rinsed state and the normal non etched state, to compare the resulting properties and detect any significant differences. The study focused on observations of the hybrid layer by scanning electron microscopy and on fluid permeation measurements as a function of time. Spectroscopic characterizations included infrared and differential calorimetric curves of the samples. The results obtained show non-statistically significant fluid permeability between the two sample types. Both the etched and rinsed samples and the non-etched ones showed similar homogeneous hybrid layers that reduced the fluid flow, and corresponded to well spread polymer coatings. The infrared results illustrated the spectra obtained on going from the outside adhesive layer to the inside portion of the dentin-polymer interface and did not reveal any intermediate zone resembling demineralized collagen that would be water saturated and not infiltrated with adhesive. The Differential Scanning Calorimetry (DSC) curves corresponded to the curves obtained with ethanol wet bonding in that free water (melting at 0 °C) was removed by the universal adhesive, and that no collagen melting was observed for the non-etched samples. The Diffusion-Ordered Spectroscopy Nuclear Magnetic Resonance (DOSY NMR) spectrum of the virgin adhesive showed the presence of water and ethanol solvents and indicated that several monomer or prepolymer molecules were present with multiple acrylic functional groups with diffusion coefficients related to molecular weights. Overall, the results show that universal adhesive can be used in the milder self-etch mode and that more aggressive etch and rinse procedure can be reserved for the occasions with sclerotic dentin or enamel regions more difficult to treat.

  2. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  3. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  4. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  5. Precise thickness control in recess etching of AlGaN/GaN hetero-structure using photocarrier-regulated electrochemical process

    Science.gov (United States)

    Kumazaki, Yusuke; Uemura, Keisuke; Sato, Taketomo; Hashizume, Tamotsu

    2017-05-01

    The photocarrier-regulated electrochemical (PREC) process was developed for fabricating recessed-gate AlGaN/GaN high-electron-mobility transistors (HEMTs) for normally off operation. The PREC process is based on photo-assisted electrochemical etching using low-energy chemical reactions. The fundamental photo-electrochemical measurements on AlGaN/GaN heterostructures revealed that the photo-carriers generated in the top AlGaN layer caused homogeneous etching of AlGaN with a smooth surface, but those generated in the GaN layer underneath caused inhomogeneous etching that roughens the surface. The concept of the PREC process is to supply the photo-carriers generated only in the AlGaN layer by selecting proper conditions on light wavelength and voltage. The phenomenon of self-termination etching has been observed during the PREC process, where the etching depth was controlled by light intensity. The recessed-gate AlGaN/GaN HEMT fabricated with the PREC process showed positive threshold voltage and improvement in transconductance compared to planar-gate AlGaN/GaN HEMTs.

  6. Determination of the thickness of chemically removed thin layers on GaAs VPE structures

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K.; Nemeth-Sallay, M.; Nemcsics, A. (Research Inst. for Technical Physics, Hungarian Academy of Sciences, Budapest (Hungary))

    1991-01-01

    Thinning of epitaxial GaAs layers was studied during the surface etching, with a special attention to submicron epitaxial structures, like MESFET or varactor-type structures. Each chemical treatment influences the crystal surface during the device preparation processes, though the possible thinning of the active layer is small. Therefore a method allowing determination of thicknesses as small as at about 20 nm of the layer removed by chemical etching from GaAs VPE structures was applied. Using special multilayered structures and a continuous electrochemical carrier concentration depth profiling, the influence of the layer thickness inhomogeneity and of some measurement errors can be minimized. Some frequently used etchants and the influence of different - so called - non-etching processes were compared in different combinations. It was shown that besides the direct etching a change of the surface conditions occurs, which influences the etch rate in the succeeding etching procedure. (orig.).

  7. Periodic arrays of deep nanopores made in silicon with reactive ion etching and deep UV lithography

    International Nuclear Information System (INIS)

    Woldering, Leon A; Tjerkstra, R Willem; Vos, Willem L; Jansen, Henri V; Setija, Irwan D

    2008-01-01

    We report on the fabrication of periodic arrays of deep nanopores with high aspect ratios in crystalline silicon. The radii and pitches of the pores were defined in a chromium mask by means of deep UV scan and step technology. The pores were etched with a reactive ion etching process with SF 6 , optimized for the formation of deep nanopores. We have realized structures with pitches between 440 and 750 nm, pore diameters between 310 and 515 nm, and depth to diameter aspect ratios up to 16. To the best of our knowledge, this is the highest aspect ratio ever reported for arrays of nanopores in silicon made with a reactive ion etching process. Our experimental results show that the etching rate of the nanopores is aspect-ratio-dependent, and is mostly influenced by the angular distribution of the etching ions. Furthermore we show both experimentally and theoretically that, for sub-micrometer structures, reducing the sidewall erosion is the best way to maximize the aspect ratio of the pores. Our structures have potential applications in chemical sensors, in the control of liquid wetting of surfaces, and as capacitors in high-frequency electronics. We demonstrate by means of optical reflectivity that our high-quality structures are very well suited as photonic crystals. Since the process studied is compatible with existing CMOS semiconductor fabrication, it allows for the incorporation of the etched arrays in silicon chips

  8. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    International Nuclear Information System (INIS)

    Gao, Qingxue; Liu, Rong; Xiao, Hongdi; Cao, Dezhong; Liu, Jianqiang; Ma, Jin

    2016-01-01

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  9. Anodic etching of GaN based film with a strong phase-separated InGaN/GaN layer: Mechanism and properties

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Qingxue [School of Physics, Shandong University, Jinan, 250100 (China); Liu, Rong [Department of Fundamental Theories, Shandong Institute of Physical Education and Sports, Jinan 250063 (China); Xiao, Hongdi, E-mail: hdxiao@sdu.edu.cn [School of Physics, Shandong University, Jinan, 250100 (China); Cao, Dezhong; Liu, Jianqiang; Ma, Jin [School of Physics, Shandong University, Jinan, 250100 (China)

    2016-11-30

    Highlights: • GaN film with a strong phase-separated InGaN/GaN layer was etched by electrochemical etching. • Vertically aligned nanopores in n-GaN films were buried underneath the InGaN/GaN structures. • The relaxation of compressive stress in the MQW structure was found by PL and Raman spectra. - Abstract: A strong phase-separated InGaN/GaN layer, which consists of multiple quantum wells (MQW) and superlattices (SL) layers and can produce a blue wavelength spectrum, has been grown on n-GaN thin film, and then fabricated into nanoporous structures by electrochemical etching method in oxalic acid. Scanning electron microscopy (SEM) technique reveals that the etching voltage of 8 V leads to a vertically aligned nanoporous structure, whereas the films etched at 15 V show branching pores within the n-GaN layer. Due to the low doping concentration of barriers (GaN layers) in the InGaN/GaN layer, we observed a record-low rate of etching (<100 nm/min) and nanopores which are mainly originated from the V-pits in the phase-separated layer. In addition, there exists a horizontal nanoporous structure at the interface between the phase-separated layer and the n-GaN layer, presumably resulting from the high transition of electrons between the barrier and the well (InGaN layer) at the interface. As compared to the as-grown MQW structure, the etched MQW structure exhibits a photoluminescence (PL) enhancement with a partial relaxation of compressive stress due to the increased light-extracting surface area and light-guiding effect. Such a compressive stress relaxation can be further confirmed by Raman spectra.

  10. High-Performance Black Multicrystalline Silicon Solar Cells by a Highly Simplified Metal-Catalyzed Chemical Etching Method

    KAUST Repository

    Ying, Zhiqin; Liao, Mingdun; Yang, Xi; Han, Can; Li, Jingqi; Li, Junshuai; Li, Yali; Gao, Pingqi; Ye, Jichun

    2016-01-01

    cells, whereas it is limited by the production capacity and the cost cutting due to the complicated process. Here, we demonstrated that with careful control of the composition in etching solution, low-aspect-ratio bowl-like nanostructures with atomically

  11. Effects of the Addictives on Etching Characteristics of Aluminum Foil

    Energy Technology Data Exchange (ETDEWEB)

    Kim, S.K.; Jang, J.M.; Chi, C.S. [Kookmin University, Seoul (Korea); Shin, D.C. [Sungnam Polytechnic, Sungnam (Korea); Lee, J.H.; Oh, H.J. [Hanseo University, Seosan (Korea)

    2001-01-01

    The effects of additives in the HCI etching solution on etching behaviors of aluminium foil as dielectric film for electrolytic capacitors were investigated. The etch pits formed in 1M hydrochloric acid containing ethylene glycol as an additive contain more fine and homogeneous etch tunnels compared to thoese in 1 M hydrochloric acid only, which led to the increase in the effective internal surface area of aluminum foil. After anodizing of aluminum foil etched in etching solutions, the LCR meter results have shown that the capacitance of dielectric film etched in hydrochloric acid with ethylene glycol was increased remarkably compared to that etched in hydrochloric acid only. (author). 21 refs., 10 figs.

  12. Ion-beam etching of ramps in thin film heterostructures

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Mozhaeva, Ju. E.; Komissinskii, P. V.

    2002-01-01

    Ion-beam patterning of thin films and heterostructures is one of the most common processes of fabrication of thin film devices and structures. 'Directed' nature of ion-beam etching provides a possibility to form certain profiles on the films surface, like shallow ramps, when etching is performed at some inclination angle. A simple geometrical model is presented, describing the formation of a ramp as a shadow of the mask on the film surface. Good agreement with the experiment can be obtained if the mask etching is taken into account. The etching at the opposite direction ('high-angle etching') also can be satisfactory described by the model. The profile of the slope - positive or negative curvature, pits near the end of the ramp - is discussed as a function of the etch rate dependence on the incidence angle. Such etch rate dependences for some often used materials were measured. An area of instability of the resulting ramp shape is found for the 'high-angle etching'. The model is compared with the experimental data reported by other groups. Finally ion-beam etching of a rotating sample at non-normal incidence is discussed, the results are compared with experimental data. (Authors)

  13. Characterization of chemical interactions during chemical mechanical polishing (CMP) of copper

    Science.gov (United States)

    Lee, Seung-Mahn

    2003-10-01

    Chemical mechanical polishing (CMP) has received much attention as an unique technique to provide a wafer level planarization in semiconductor manufacturing. However, despite the extensive use of CMP, it still remains one of the least understood areas in semiconductor processing. The lack of the fundamental understanding is a significant barrier to further advancements in CMP technology. One critical aspect of metal CMP is the formation of a thin surface layer on the metal surface. The formation and removal of this layer controls all the aspects of the CMP process, including removal rate, surface finish, etc. In this dissertation, we focus on the characterization of the formation and removal of the thin surface layer on the copper surface. The formation dynamics was investigated using static and dynamic electrochemical techniques, including potentiodynamic scans and chronoamperometry. The results were validated using XPS measurements. The mechanical properties of the surface layer were investigated using nanoindentation measurements. The electrochemical investigation showed that the thickness of the surface layer is controlled by the chemicals such as an oxidizer (hydrogen peroxide), a corrosion inhibitor (benzotriazole), a complexing agent (citric acid), and their concentrations. The dynamic electrochemical measurements indicated that the initial layer formation kinetics is unaffected by the corrosion inhibitors. The passivation due to the corrosion inhibitor becomes important only on large time scales (>200 millisecond). The porosity and the density of the chemically modified surface layer can be affected by additives of other chemicals such as citric acid. An optimum density of the surface layer is required for high polishing rate while at the same time maintaining a high degree of surface finish. Nanoindentation measurements indicated that the mechanical properties of the surface layer are strongly dependent on the chemical additives in the slurry. The CMP

  14. Selective etching of n-type silicon in pn junction structure in hydrofluoric acid and its application in silicon nanowire fabrication

    International Nuclear Information System (INIS)

    Wang Huiquan; Jin Zhonghe; Zheng Yangming; Ma Huilian; Wang Yuelin; Li Tie

    2008-01-01

    Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1 nm min -1 , while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50 nm wide and 50 nm thick silicon nanowire has been formed using this method

  15. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    Science.gov (United States)

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  16. Preparation of composite micro/nano structure on the silicon surface by reactive ion etching: Enhanced anti-reflective and hydrophobic properties

    Science.gov (United States)

    Zeng, Yu; Fan, Xiaoli; Chen, Jiajia; He, Siyu; Yi, Zao; Ye, Xin; Yi, Yougen

    2018-05-01

    A silicon substrate with micro-pyramid structure (black silicon) is prepared by wet chemical etching and then subjected to reactive ion etching (RIE) in the mixed gas condition of SF6, CHF3 and He. We systematically study the impacts of flow rates of SF6, CHF3 and He, the etching pressure and the etching time on the surface morphology and reflectivity through various characterizations. Meanwhile, we explore and obtain the optimal combination of parameters for the preparation of composite structure that match the RIE process based on the basis of micro-pyramid silicon substrate. The composite sample prepared under the optimum parameters exhibits excellent anti-reflective performance, hydrophobic, self-cleaning and anti-corrosive properties. Based on the above characteristics, the composite micro/nano structure can be applied to solar cells, photodetectors, LEDs, outdoor devices and other important fields.

  17. Nuclear particle track-etched anti-bogus mark

    International Nuclear Information System (INIS)

    He Xiangming; Yan Yushun; Zhang Quanrong

    2003-01-01

    Nuclear particle track-etched anti-bogus mark is a new type of forgery-proof product after engraving gravure printing, thermocolour, fluorescence, laser hologram and metal concealed anti-bogus mark. The mark is manufactured by intricate high technology and the state strictly controlled sensitive nuclear facilities to ensure the mark not to be copied. The pattern of the mark is specially characterized by permeability of liquid to be discriminated from forgery. The genuine mark can be distinguished from sham one by transparent liquid (e.g. water), colorful pen and chemical reagent. The mark has passed the official examination of health safety. It is no danger of nuclear irradiation. (author)

  18. Radially polarized conical beam from an embedded etched fiber.

    Science.gov (United States)

    Kalaidji, Djamel; Spajer, Michel; Marthouret, Nadège; Grosjean, Thierry

    2009-06-15

    We propose a method for producing a conical beam based on the lateral refraction of the TM(01) mode from a two-mode fiber after chemical etching of the cladding, and for controlling its radial polarization. The whole power of the guided mode is transferred to the refracted beam with low diffraction. Polarization control by a series of azimuthal detectors and a stress controller affords the transmission of a stabilized radial polarization through an optical fiber. A solid component usable for many applications has been obtained.

  19. Directional Etching of Silicon by Silver Nanostructures

    Science.gov (United States)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  20. Freestanding nanostructures via reactive ion beam angled etching

    Directory of Open Access Journals (Sweden)

    Haig A. Atikian

    2017-05-01

    Full Text Available Freestanding nanostructures play an important role in optical and mechanical devices for classical and quantum applications. Here, we use reactive ion beam angled etching to fabricate optical resonators in bulk polycrystalline and single crystal diamond. Reported quality factors are approximately 30 000 and 286 000, respectively. The devices show uniformity across 25 mm samples, a significant improvement over comparable techniques yielding freestanding nanostructures.

  1. Influence of the particle discriminator for producing the microporous nuclear track etched membrane

    International Nuclear Information System (INIS)

    Thongphud, Apaporn; Ratanatongchai, Wichian; Supaphol, Pitt; Visal-athaphand, Pinpan

    2005-10-01

    The particle discriminator was used to focus the fission fragments from nuclear fission reaction between thermal neutron from the Thai Research Reactor and U-235 in uranium screen to strike almost normally to the polycarbonate (PC) film. The latent tracks in the thin 15 mm PC film were revealed after etching in 6N NaOH solution at 70 o C for 60 min. It was found that the tracks were porous. The porosity was more discrete and the pore shape was more circular as well. The track diameter was measured 3.73 +- 0.32 mm. It was also found that using particle discriminators with increasing thickness during exposure gives fewer pores in the PC film, after chemical etching under the same condition as above

  2. Reaction Mechanism Generator: Automatic construction of chemical kinetic mechanisms

    Science.gov (United States)

    Gao, Connie W.; Allen, Joshua W.; Green, William H.; West, Richard H.

    2016-06-01

    Reaction Mechanism Generator (RMG) constructs kinetic models composed of elementary chemical reaction steps using a general understanding of how molecules react. Species thermochemistry is estimated through Benson group additivity and reaction rate coefficients are estimated using a database of known rate rules and reaction templates. At its core, RMG relies on two fundamental data structures: graphs and trees. Graphs are used to represent chemical structures, and trees are used to represent thermodynamic and kinetic data. Models are generated using a rate-based algorithm which excludes species from the model based on reaction fluxes. RMG can generate reaction mechanisms for species involving carbon, hydrogen, oxygen, sulfur, and nitrogen. It also has capabilities for estimating transport and solvation properties, and it automatically computes pressure-dependent rate coefficients and identifies chemically-activated reaction paths. RMG is an object-oriented program written in Python, which provides a stable, robust programming architecture for developing an extensible and modular code base with a large suite of unit tests. Computationally intensive functions are cythonized for speed improvements.

  3. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  4. Intercalation-etching of graphene on Pt(111) in H2 and O2 observed by in-situ low energy electron microscopy

    Institute of Scientific and Technical Information of China (English)

    Wei; Wei; Caixia; Meng; Qiang; Fu; Xinhe; Bao

    2017-01-01

    Graphene layers are often exposed to gaseous environments in their synthesis and application processes, and interactions of graphene surfaces with molecules particularly H2 and O2 are of great importance in their physico-chemical properties. In this work, etching of graphene overlayers on Pt(111) in H2 and O2 atmospheres were investigated by in-situ low energy electron microscopy. Significant graphene etching was observed in 10-5 Torr H2 above 1023 K, which occurs simultaneously at graphene island edges and interiors with a determined reaction barrier at 5.7 eV. The similar etching phenomena were found in 10.7 Torr O2 above 973 K, while only island edges were reacted between 823 and 923 K. We suggest that etching of graphene edges is facilitated by Pt-aided hydrogenation or oxidation of edge carbon atoms while intercalation-etching is attributed to etching at the interiors at high temperatures. The different findings with etching in O2 and H2 depend on competitive adsorption, desorption, and diffusion processes of O and H atoms on Pt surface, as well as intercalation at the graphene/Pt interface.

  5. Shear bond strength of orthodontic brackets after acid-etched and erbium-doped yttrium aluminum garnet laser-etched

    Directory of Open Access Journals (Sweden)

    Shiva Alavi

    2014-01-01

    Full Text Available Background: Laser ablation has been suggested as an alternative method to acid etching; however, previous studies have obtained contrasting results. The purpose of this study was to compare the shear bond strength (SBS and fracture mode of orthodontic brackets that are bonded to enamel etched with acid and erbium-doped yttrium aluminum garnet (Er:YAG laser. Materials and Methods: In this experimental in vitro study, buccal surfaces of 15 non-carious human premolars were divided into mesial and distal regions. Randomly, one of the regions was etched with 37% phosphoric acid for 15 s and another region irradiated with Er:YAG laser at 100 mJ energy and 20 Hz frequency for 20 s. Stainless steel brackets were then bonded using Transbond XT, following which all the samples were stored in distilled water for 24 h and then subjected to 500 thermal cycles. SBS was tested by a chisel edge, mounted on the crosshead of universal testing machine. After debonding, the teeth were examined under Χ10 magnification and adhesive remnant index (ARI score determined. SBS and ARI scores of the two groups were then compared using t-test and Mann-Whitney U test. Significant level was set at P < 0.05. Results: The mean SBS of the laser group (16.61 ± 7.7 MPa was not significantly different from that of the acid-etched group (18.86 ± 6.09 MPa (P = 0.41. There was no significant difference in the ARI scores between two groups (P = 0.08. However, in the laser group, more adhesive remained on the brackets, which is not suitable for orthodontic purposes. Conclusion: Laser etching at 100 mJ energy produced bond strength similar to acid etching. Therefore, Er:YAG laser may be an alternative method for conventional acid-etching.

  6. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  7. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  8. Mass spectrometry analysis of etch products from CR-39 plastic irradiated by heavy ions

    Science.gov (United States)

    Kodaira, S.; Nanjo, D.; Kawashima, H.; Yasuda, N.; Konishi, T.; Kurano, M.; Kitamura, H.; Uchihori, Y.; Naka, S.; Ota, S.; Ideguchi, Y.; Hasebe, N.; Mori, Y.; Yamauchi, T.

    2012-09-01

    As a feasibility study, gas chromatography-mass spectrometry (GC-MS) and matrix-assisted laser desorption ionization-mass spectrometry (MALDI-MS) have been applied to analyze etch products of CR-39 plastic (one of the most frequently used solid states nuclear track detector) for the understanding of track formation and etching mechanisms by heavy ion irradiation. The etch products of irradiated CR-39 dissolved in sodium hydroxide solution (NaOH) contain radiation-induced fragments. For the GC-MS analysis, we found peaks of diethylene glycol (DEG) and a small but a definitive peak of ethylene glycol (EG) in the etch products from CR-39 irradiated by 60 MeV N ion beams. The etch products of unirradiated CR-39 showed a clear peak of DEG, but no other significant peaks were found. DEG is known to be released from the CR-39 molecule as a fragment by alkaline hydrolysis reaction of the polymer. We postulate that EG was formed as a result of the breaking of the ether bond (C-O-C) of the DEG part of the CR-39 polymer by the irradiation. The mass distribution of polyallylalcohol was obtained from the etch products from irradiated and unirradiated CR-39 samples by MALDI-MS analysis. Polyallylalcohol, with the repeating mass interval of m/z = 58 Da (dalton) between m/z = 800 and 3500, was expected to be produced from CR-39 by alkaline hydrolysis. We used IAA as a matrix to assist the ionization of organic analyte in MALDI-MS analysis and found that peaks from IAA covered mass spectrum in the lower m/z region making difficult to identify CR-39 fragment peaks which were also be seen in the same region. The mass spectrometry analysis using GC-MS and MALDI-MS will be powerful tools to investigate the radiation-induced polymeric fragments and helping to understand the track formation mechanism in CR-39 by heavy ions.

  9. Fiber-optic refractometer based on an etched high-Q π-phase-shifted fiber-Bragg-grating.

    Science.gov (United States)

    Zhang, Qi; Ianno, Natale J; Han, Ming

    2013-07-10

    We present a compact and highly-sensitive fiber-optic refractometer based on a high-Q π-phase-shifted fiber-Bragg-grating (πFBG) that is chemically etched to the core of the fiber. Due to the p phase-shift, a strong πFBG forms a high-Q optical resonator and the reflection spectrum features an extremely narrow notch that can be used for highly sensitivity refractive index measurement. The etched πFBG demonstrated here has a diameter of ~9.3 μm and a length of only 7 mm, leading to a refractive index responsivity of 2.9 nm/RIU (RIU: refractive index unit) at an ambient refractive index of 1.318. The reflection spectrum of the etched πFBG features an extremely narrow notch with a linewidth of only 2.1 pm in water centered at ~1,550 nm, corresponding to a Q-factor of 7.4 × 10(5), which allows for potentially significantly improved sensitivity over refractometers based on regular fiber Bragg gratings.

  10. Characterization of stain etched p-type silicon in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mogoda, A.S., E-mail: awad_mogoda@hotmail.com [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt); Ahmad, Y.H.; Badawy, W.A. [Department of Chemistry, Faculty of Science, Cairo University, Giza (Egypt)

    2011-04-15

    Research highlights: {yields} Stain etching of p-Si in aqueous HF solutions containing HNO{sub 3} or KMnO{sub 4} was investigated. {yields} The electrical conductivity of the etched Si surfaces was measured using impedance technique. {yields} Scanning electron microscope and energy disperse X-ray were used to analyze the etched surfaces. {yields} Etching in aqueous HF solution containing HNO{sub 3} led to formation of a porous silicon layer. {yields} The formation of the porous silicon layer in HF/KMnO{sub 4} was accompanied by deposition of K{sub 2}SiF{sub 6} on the pores surfaces. - Abstract: Stain etching of p-type silicon in hydrofluoric acid solutions containing nitric acid or potassium permanganate as an oxidizing agent has been examined. The effects of etching time, oxidizing agent and HF concentrations on the electrochemical behavior of etched silicon surfaces have been investigated by electrochemical impedance spectroscopy (EIS). An electrical equivalent circuit was used for fitting the impedance data. The morphology and the chemical composition of the etched Si surface were studied using scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) techniques, respectively. A porous silicon layer was formed on Si etched in HF solutions containing HNO{sub 3}, while etching in HF solutions containing KMnO{sub 4} led to the formation of a porous layer and simultaneous deposition of K{sub 2}SiF{sub 6} inside the pores. The thickness of K{sub 2}SiF{sub 6} layer increases with increasing the KMnO{sub 4} concentration and decreases as the concentration of HF increases.

  11. Semiconductor structure and recess formation etch technique

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching process stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.

  12. Hydroxyapatite Effect on Photopolymerization of Self-etching Adhesives with Different Aggressiveness

    Science.gov (United States)

    Zhang, Ying; Wang, Yong

    2012-01-01

    Objective To understand the correlation of the acidic monomer/hydroxyapatite (HAp) reaction with the photopolymerization behavior of self-etching adhesives with different aggressiveness. Methods Two commercial self-etching adhesives the strong Adper Prompt L-Pop (APLP, pH~0.8) and the mild Adper Easy Bond (AEB, pH~2.5) were used. HAp powders were incorporated into both adhesives to acquire solutions with concentrations of 0, 1, 3, 5, 7 wt%. The attenuated total reflectance Fourier transform infrared (ATR/FT-IR) technique was employed to collect the in-situ spectra during light-curing, from which the degree of conversion (DC) and polymerization rate (PR) were calculated. The pH of each tested solution was also measured. Results Without HAp incorporation, the DC and PR of the strong APLP (7.8% and 0.12%/s, respectively) were much lower than those of the mild AEB (85.5% and 5.7%/s, respectively). The DC and PR of APLP displayed an apparent increasing trend with the HAp content. For example, the DC increased from 7.8% to 58.4% and the PR increased from 0.12 to 3.8%/s when the HAp content increased from 0 to 7 wt%. In contrast, the DC and PR of AEB were much less affected by the HAp content. The observations were correlated well with the spectral and pH changes, which indicated that APLP underwent a higher extent of chemical reaction with HAp than AEB. Conclusions The results disclosed the important role of the acidic monomer/HAp chemical reaction in improving the photopolymerization of the strong (low-pH) self-etching adhesives such as APLP. The phenomenon of polymerization improvement strongly depended on the adhesive aggressiveness. PMID:22445789

  13. Observations on the geometries of etched fission and alpha-recoil tracks with reference to models of track revelation in minerals

    International Nuclear Information System (INIS)

    Jonckheere, R.; Enkelmann, E.; Stuebner, K.

    2005-01-01

    The kinetic and atomistic theories of crystal growth and dissolution are used to interpret the shapes and orientations of fission-track, recoil-track and dislocation etch pits in tri-octahedral phlogopite and di-octahedral muscovite. An atomistic approach combined with symmetry considerations lead to the identification of the periodic bond chains that determine the etch pit morphologies and relative etch rates at a chemical level: O-Mg-O in phlogopite, O-Mg-O-Fe in biotite and O-Al-O in muscovite. Using first-order estimates of the bond strengths, it is possible to account for the relative track etch rates in these minerals. The reported, sometimes simultaneous, occurrence of triangular, polygonal and hexagonal etch pit contours in phlogopite, some of which violate the crystal symmetry, suggests that the cohesion of the phlogopite lattice is lost over a much larger radius than that of the track core around the trajectories of particles for which the energy loss exceeds a threshold value. This is interpreted as an indication of pronounced sublattice and anisotropic effects during track registration

  14. Low energy electron irradiation induced carbon etching: Triggering carbon film reacting with oxygen from SiO{sub 2} substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Cheng [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China); Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education and Guangdong Province, College of Optoelectronic Engineering, Shenzhen University, Shenzhen 518060 (China); Wang, Chao, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn; Diao, Dongfeng, E-mail: cwang367@szu.edu.cn, E-mail: dfdiao@szu.edu.cn [Institute of Nanosurface Science and Engineering (INSE), Shenzhen University, Shenzhen 518060 (China)

    2016-08-01

    We report low-energy (50–200 eV) electron irradiation induced etching of thin carbon films on a SiO{sub 2} substrate. The etching mechanism was interpreted that electron irradiation stimulated the dissociation of the carbon film and SiO{sub 2}, and then triggered the carbon film reacting with oxygen from the SiO{sub 2} substrate. A requirement for triggering the etching of the carbon film is that the incident electron penetrates through the whole carbon film, which is related to both irradiation energy and film thickness. This study provides a convenient electron-assisted etching with the precursor substrate, which sheds light on an efficient pathway to the fabrication of nanodevices and nanosurfaces.

  15. Fiscal 2000 achievement report on the investigation of alternative gas system and process technologies for dry etching in electronic device manufacturing; 2000 nendo denshi device seizo process de shiyosuru etching gas no daitai gas system oyobi daitai process no kenkyu kaihatsu seika hokokusho

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    Efforts are made to develop technologies for saving PFC (perfluoro-compound) and conserving energy in semiconductor manufacturing processes, in particular, in the layer insulation film (SiO{sub 2}) dry etching process. Activities are conducted in the five fields of (1) research and development of technologies for reducing the amount of etching gas consumption, (2) development of a dry etching technology using alternative gas, (3) development of a dry etching technology using a low dielectric constant layer insulation film, (4) research and development of novel wiring structures and a method for fabricating the same, and (5) re-entrusted studies. Conducted in field (5) are studies of novel alternative gas - solid sources to substitute PFC, theory design technologies for low dielectric constant organic macromolecules, low dielectric constant material film fabrication by CVD (chemical vapor deposition), and technology for optical wiring inside chips. In field (2), studies are conducted of low GWP (global warming potential) alternative PFC gas aided etching and decomposition prevention technologies for reduction in PFC emissions, and it is made clear that C{sub 4}F{sub 6} performs excellently as an etchant. (NEDO)

  16. Selective laser-induced photochemical dry etching of semiconductors controlled by ion-bombardment-induced damage

    International Nuclear Information System (INIS)

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1987-01-01

    When a photochemical dry etching process requires direct participation of photogenerated carriers in the chemical reaction, it is sensitive to the electronic properties of the semiconductor. For such solid-excitation-based dry etching processes, the balance between reaction and carrier recombination rates determines the practical utility of a particular reaction for device fabrication. The distance from the surface at which the photocarriers are generated by light adsorption is determined by the absorption coefficient. In the absence of an external bias potential, only those carriers formed within a diffusion length of the surface space-charge region will have an opportunity to drive the dry etching reaction. When the absorption coefficient is high, most of the photons generate carriers within a diffusion length from the surface space-charge region, and the etching rate is largely determined by the balance between the rate of the carrier-driven reaction and the surface recombination velocity. When the recombination rate of free carriers in the bulk of the semiconductor is high, the effective diffusion length is reduced and fewer of the carriers generated in the subsurface region ever reach the surface. An important effect of ion bombardment is the creation of many lattice defects that increase the rate of recombination of electrons and holes. When a sufficient number of defects, which act as recombination sites, are formed during ion implantation, the recombination of photogenerated carriers at these defects in the subsurface region can greatly reduce the number of carriers which can reach the surface and drive a photochemical etching reaction

  17. 3D memory: etch is the new litho

    Science.gov (United States)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  18. Nanoporous polymeric nanofibers based on selectively etched PS-b-PDMS block copolymers.

    Science.gov (United States)

    Demirel, Gokcen B; Buyukserin, Fatih; Morris, Michael A; Demirel, Gokhan

    2012-01-01

    One-dimensional nanoporous polymeric nanofibers have been fabricated within an anodic aluminum oxide (AAO) membrane by a facile approach based on selective etching of poly(dimethylsiloxane) (PDMS) domains in polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) block copolymers that had been formed within the AAO template. It was observed that prior to etching, the well-ordered PS-b-PDMS nanofibers are solid and do not have any porosity. The postetched PS nanofibers, on the other hand, had a highly porous structure having about 20-50 nm pore size. The nanoporous polymeric fibers were also employed as a drug carrier for the native, continuous, and pulsatile drug release using Rhodamine B (RB) as a model drug. These studies showed that enhanced drug release and tunable drug dosage can be achieved by using ultrasound irradiation. © 2011 American Chemical Society

  19. Spatially-Resolved Ion Trajectory Measurements During Cl2 Reactive Ion Beam Etching and Ar Ion Beam Etching

    International Nuclear Information System (INIS)

    Vawter, G. Allen; Woodworth, Joseph R.; Zubrzycki, Walter J.

    1999-01-01

    The angle of ion incidence at the etched wafer location during RIBE and IBE using Cl 2 , Ar and O 2 ion beams has been characterized using an ion energy and angle analyzer. Effects of beam current and accelerator grid bias on beam divergence and the spatial uniformity of the spread of incident angles are measured. It is observed that increased total beam current can lead to reduced current density at the sample stage due to enhanced beam divergence at high currents. Results are related to preferred etch system design for uniform high-aspect-ratio etching across semiconductor wafers

  20. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa

    2014-08-28

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  1. Method For Producing Mechanically Flexible Silicon Substrate

    KAUST Repository

    Hussain, Muhammad Mustafa; Rojas, Jhonathan Prieto

    2014-01-01

    A method for making a mechanically flexible silicon substrate is disclosed. In one embodiment, the method includes providing a silicon substrate. The method further includes forming a first etch stop layer in the silicon substrate and forming a second etch stop layer in the silicon substrate. The method also includes forming one or more trenches over the first etch stop layer and the second etch stop layer. The method further includes removing the silicon substrate between the first etch stop layer and the second etch stop layer.

  2. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    Science.gov (United States)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  3. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  4. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  5. Study on morphology of high-aspect-ratio grooves fabricated by using femtosecond laser irradiation and wet etching

    International Nuclear Information System (INIS)

    Chen, Tao; Pan, An; Li, Cunxia; Si, Jinhai; Hou, Xun

    2015-01-01

    Highlights: • We studied morphologies of silicon grooves fabricated by laser irradiation and wet etching. • We found nano-ripple structures formed on the groove sidewall. • Formations of nano-ripples were due to the formation of standing wave and nanoplanes. • Remaining debris on the groove bottom was removed by KOH etching. - Abstract: Morphologies of high-aspect-ratio silicon grooves fabricated by using femtosecond laser irradiation and selective chemical etching of hydrofluoric acid (HF) were studied. Oxygen was deeply doped into silicon under femtosecond laser irradiation in air, and then the oxygen-doped regions were removed by HF etching to form high-aspect-ratio grooves. After HF etching, periodic nano-ripples which were induced in silicon by femtosecond laser were observed on the groove sidewalls. The ripple orientation was perpendicular or parallel to the laser propagation direction (z direction), which depended on the relative direction between the laser polarization direction and the scanning direction. The formation of nano-ripples with orientations perpendicular to z direction could be attributed to the standing wave generated by the interference of the incident light and the reflected light in z direction. The formation of nano-ripples with orientations parallel to z direction could be attributed to the formation of self-organized periodic nanoplanes (bulk nanogratings) induced by femtosecond laser inside silicon. Materials in the tail portion of laser-induced oxygen doping (LIOD) regions were difficult to be etched by HF solution due to low oxygen concentration. The specimen was etched further in KOH solution to remove remaining materials in LIOD regions and all-silicon grooves were fabricated

  6. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  7. Refractive index and temperature-sensing characteristics of a cladding-etched thin core fiber interferometer

    Science.gov (United States)

    Wang, Weiying; Dong, Xinran; Chu, Dongkai; Hu, Youwang; Sun, Xiaoyan; Duan, Ji-An

    2018-05-01

    A high refractive index (RI) sensor based on an in-line Mach-Zehnder mode interferometer (MZI) is proposed. The sensor was realized by splicing a 2-cm length of cladding-etched thin core fiber (TCF) between two single mode fibers (SMFs). The TCF-structured MZI exhibited good fringe visibility as high as 15 dB in air and the high RI sensitivity attained a value of 1143.89 nm/RIU at a RI of 1.447. The experimental data revealed that the MZI has high RI sensitivity after HF etching realizing 2599.66 nm/RIU. Studies were performed on the temperature characteristics of the device. It is anticipated that this high RI sensor will be deployed in new and diverse applications in the chemical and biological fields.

  8. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    Science.gov (United States)

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  9. Comparative study of resist stabilization techniques for metal etch processing

    Science.gov (United States)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  10. Effects of etching time on enamel bond strengths.

    Science.gov (United States)

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  11. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  12. Influence of water storage on fatigue strength of self-etch adhesives.

    Science.gov (United States)

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  13. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  14. Neutron dosimetry using electrochemical etching

    International Nuclear Information System (INIS)

    Su, S.J.; Stillwagon, G.B.; Morgan, K.Z.

    1977-01-01

    Registration of α-tracks and fast-neutron-induced recoils tracks by the electrochemical etching technique as applied to sensitive polymer foils (e.g., polycarbonate) provides a simple, sensitive and inexpensive means of fast neutron personnel dosimetry as well as a valuable research tool for microdosimetry. When tracks were amplified by our electrochemical technique and the etching results compared with conventional etching technique a striking difference was noted. The electrochemically etched tracks were of much larger diameter (approx. 100 μm) and gave superior contrast. Two optical devices--the transparency projector and microfiche reader--were adapted to facilitate counting of the tracks appearing on our polycarbonate foils. The projector produced a magnification of 14X for a screen to projector distance of 5.0 meter and read's magnification was 50X. A Poisson distribution was determined for the number of tracks located in a particular area of the foil and experimentally verified by random counting of quarter sections of the microfiche reader screen. Finally, in an effort to determine dose equivalent (rem), a conversion factor is being determined by finding the sensitivity response (tracks/neutron) of recoil particle induced tracks as a function of monoenergetic fast neutrons and comparing results with those obtained by others

  15. Effect of Metal Ion Etching on the Tribological, Mechanical and Microstructural Properties of TiN-COATED d2 Tool Steel Using Cae Pvd Technique

    Science.gov (United States)

    Ali, Mubarak; Hamzah, Esah Binti; Hj. Mohd Toff, Mohd Radzi

    A study has been made on TiN coatings deposited on D2 tool steel substrates by using commercially available cathodic arc evaporation, physical vapor deposition technique. The goal of this work is to determine the usefulness of TiN coatings in order to improve the micro-Vickers hardness, coefficient of friction and surface roughness of TiN coating deposited on tool steel, which is vastly use in tool industry for various applications. A pin-on-disc test was carried out to study the coefficient of friction versus sliding distance of TiN coating at various ion etching rates. The tribo-test showed that the minimum value recorded for friction coefficient was 0.386 and 0.472 with standard deviation of 0.056 and 0.036 for the coatings deposited at zero and 16 min ion etching. The differences in friction coefficient and surface roughness was mainly associated with the macrodroplets, which was produced during etching stage. The coating deposited for 16 min metal ion etching showed the maximum hardness, i.e., about five times higher than uncoated one and 1.24 times to the coating deposited at zero ion etching. After friction test, the wear track was observed by using field emission scanning electron microscope. The coating deposited for zero ion etching showed small amounts of macrodroplets as compared to the coating deposited for 16 min ion etching. The elemental composition on the wear scar were investigated by means of energy dispersive X-ray, indicate no further TiN coating on wear track. A considerable improvement in TiN coatings was recorded as a function of various ion etching rates.

  16. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  17. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane

    2012-10-03

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  18. Etched glass self-assembles into micron-size hollow platonic solids

    KAUST Repository

    Boukhalfa, Sofiane; Chaieb, Saharoui

    2012-01-01

    The interaction between the spreading of a hydrofluoric acid-based drop on a glass surface and its etching rate gives rise to hollow crystals of various shapes, including cubes, triangles, and icosahedra. These geometries are dependent on their position with respect to the contact line, where a rim forms by agglutination, similar to the formation of a coffee stain. Atomic force microscopy indentation and transmission electron microscopy observations revealed that these crystals are hollow ammonium-fluosilicate-based cryptohalite shells. © 2012 American Chemical Society.

  19. Effect of oxygen gas and annealing treatment for magnetically enhanced reactive ion etched (Ba0.65,Sr0.35)TiO3 thin films

    International Nuclear Information System (INIS)

    Zhang Baishun; Quan Zuci; Zhang Tianjin; Guo Tao; Mo Shaobo

    2007-01-01

    Sol-gel-derived (Ba 0.65 ,Sr 0.35 )TiO 3 (BST) thin films were etched in CF 4 /Ar and CF 4 /Ar/O 2 plasmas using magnetically enhanced reactive ion etching technology. Experimental results show that adding appropriate O 2 to CF 4 /Ar can better the etching effects of BST films for the increase of etching rate and decrease of etched residues. The maximum etching rate is 8.47 nm/min when CF 4 /Ar/O 2 gas-mixing ratio is equal to 9/36/5. X-ray photoelectron spectroscopy (XPS) data confirm accumulation of reaction products on the etched surface due to low volatility of reaction products such as Ba and Sr fluorides, and these residues could be removed by annealing treatment. The exact peak positions and chemical shifts of the interested elements were deduced by fitting XPS narrow-scan spectra with symmetrical Gaussian-Lorentzian product function for Ba 3d, Sr 3d, and O 1s peaks, meanwhile asymmetrical Gaussian-Lorentzian sum function was used to fit Ti 2p doublet to adjust the multiple splitting and/or shake-up process of transition-metal Ti cations. Compared to the unetched counterparts, the etched Ba 3d 5/2 , Ba 3d 3/2 , Sr 3d 5/2 , Sr 3d 3/2 , Ti 2p 3/2 , Ti 2p 1/2 , and O 1s peaks shift towards higher binding energy regions by amounts of 1.31, 1.30, 0.60, 0.79, 0.09, 0.46, and 0.50 eV, respectively. While the etched Ti 2p 3/2 and Ti 2p 1/2 peaks have small chemical shifts for two reasons. One is that Ti fluoride (TiF z ) is mostly removed from the etched surface because of its higher volatility in the process of thermal desorption. The other is that there is a shift compensation between TiF z and the etched BST matrix in which Ti 4+ cations are partially reduced to form Ti x+ (0 0.65 ,Sr 0.35 )Ti 0.97 O 2.86 , (Ba 0.70 ,Sr 0.30 )Ti 0.24 O 1.39 , and (Ba 0.68 ,Sr 0.32 )Ti 0.95 O 2.74 , and then the average valence of Ti cations is estimated to be +3.84, +3.25, and +3.66 with respect to the electroneutrality principle, respectively. It is inferred that electrical

  20. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process