Directory of Open Access Journals (Sweden)
Jie Jian
2018-01-01
Full Text Available The demand from exascale computing has made the design of high-radix switch chips an attractive and challenging research field in EHPC (exascale high-performance computing. The static power, due to the thermal sensitivity and process variation of the microresonator rings, and the cross talk noise of the optical network become the main bottlenecks of the network’s scalability. This paper proposes the analyze model of the trimming power, process variation power, and signal-to-noise ratio (SNR for the Graphein-based high-radix optical switch networks and uses the extra channels and the redundant rings to decrease the trimming power and the process variation power. The paper also explores the SNR under different configurations. The simulation result shows that when using 8 extra channels in the 64×64 crossbar optical network, the trimming power reduces almost 80% and the process variation power decreases 65% by adding 16 redundant rings in the 64×64 crossbar optical network. All of these schemes have little influence on the SNR. Meanwhile, the greater channel spacing has great advantages to decrease the static power and increase the SNR of the optical network.
Quasi Cyclic Low Density Parity Check Code for High SNR Data Transfer
Directory of Open Access Journals (Sweden)
M. R. Islam
2010-06-01
Full Text Available An improved Quasi Cyclic Low Density Parity Check code (QC-LDPC is proposed to reduce the complexity of the Low Density Parity Check code (LDPC while obtaining the similar performance. The proposed QC-LDPC presents an improved construction at high SNR with circulant sub-matrices. The proposed construction yields a performance gain of about 1 dB at a 0.0003 bit error rate (BER and it is tested on 4 different decoding algorithms. Proposed QC-LDPC is compared with the existing QC-LDPC and the simulation results show that the proposed approach outperforms the existing one at high SNR. Simulations are also performed varying the number of horizontal sub matrices and the results show that the parity check matrix with smaller horizontal concatenation shows better performance.
On the low SNR capacity of log-normal turbulence channels with full CSI
Benkhelifa, Fatma; Tall, Abdoulaye; Rezki, Zouheir; Alouini, Mohamed-Slim
2014-01-01
In this paper, we characterize the low signal-To-noise ratio (SNR) capacity of wireless links undergoing the log-normal turbulence when the channel state information (CSI) is perfectly known at both the transmitter and the receiver. We derive a closed form asymptotic expression of the capacity and we show that it scales essentially as λ SNR where λ is the water-filling level satisfying the power constraint. An asymptotically closed-form expression of λ is also provided. Using this framework, we also propose an on-off power control scheme which is capacity-achieving in the low SNR regime.
On the low SNR capacity of log-normal turbulence channels with full CSI
Benkhelifa, Fatma
2014-09-01
In this paper, we characterize the low signal-To-noise ratio (SNR) capacity of wireless links undergoing the log-normal turbulence when the channel state information (CSI) is perfectly known at both the transmitter and the receiver. We derive a closed form asymptotic expression of the capacity and we show that it scales essentially as λ SNR where λ is the water-filling level satisfying the power constraint. An asymptotically closed-form expression of λ is also provided. Using this framework, we also propose an on-off power control scheme which is capacity-achieving in the low SNR regime.
Weighted-SNR-based fair scheduling for uplink OFDMA
Ma, Yao
2009-11-01
In this paper, we study the sum rate maximization algorithms with long-term proportional rate fairness (PRF) for uplink orthogonal frequency division multiple access (OFDMA) systems. In contrast to the rate-maximization schemes which used short-term PRF in the literature, we propose to use a selective multiuser diversity (SMuD) scheme to achieve a long-term PRF and improved sum rate performance. This scheme implements weighted channel signal-to-noise ratio (w-SNR)-based ranking for user selection on each subchannel, and then uses either water-filling (WF) or equal power allocation (EPA) along the assigned channels of each user. Both offline and online methods to find the optimal SNR weight factors are designed to achieve the target proportional rates for different users. The offline optimization technique requires to know the channel distribution information (CDI) at the scheduler. The online method uses the weight adaption combined with individual user rate tracking, which avoids the need to know the CDI. Analytical throughput metrics for the proposed w-SNR scheme with WF and EPA over Rayleigh channels are derived, and verified by simulations. Simulation results show that the proposed w-SNR PRF scheme can achieve significantly higher sum rates than the frequency diversity-based short-term and long-term fairness schemes. Besides the improved performance, the proposed schemes have a low complexity which is linear to numbers of users and subchannels.
Low-SNR Capacity of MIMO Optical Intensity Channels
Chaaban, Anas; Rezki, Zouheir; Alouini, Mohamed-Slim
2017-01-01
The capacity of the multiple-input multiple-output (MIMO) optical intensity channel is studied, under both average and peak intensity constraints. We focus on low SNR, which can be modeled as the scenario where both constraints proportionally vanish, or where the peak constraint is held constant while the average constraint vanishes. A capacity upper bound is derived, and is shown to be tight at low SNR under both scenarios. The capacity achieving input distribution at low SNR is shown to be a maximally-correlated vector-binary input distribution. Consequently, the low-SNR capacity of the channel is characterized. As a byproduct, it is shown that for a channel with peak intensity constraints only, or with peak intensity constraints and individual (per aperture) average intensity constraints, a simple scheme composed of coded on-off keying, spatial repetition, and maximum-ratio combining is optimal at low SNR.
Low-SNR Capacity of MIMO Optical Intensity Channels
Chaaban, Anas
2017-09-18
The capacity of the multiple-input multiple-output (MIMO) optical intensity channel is studied, under both average and peak intensity constraints. We focus on low SNR, which can be modeled as the scenario where both constraints proportionally vanish, or where the peak constraint is held constant while the average constraint vanishes. A capacity upper bound is derived, and is shown to be tight at low SNR under both scenarios. The capacity achieving input distribution at low SNR is shown to be a maximally-correlated vector-binary input distribution. Consequently, the low-SNR capacity of the channel is characterized. As a byproduct, it is shown that for a channel with peak intensity constraints only, or with peak intensity constraints and individual (per aperture) average intensity constraints, a simple scheme composed of coded on-off keying, spatial repetition, and maximum-ratio combining is optimal at low SNR.
Synchronization of OFDM at low SNR over an AWGN channel
Kokkeler, Andre B.J.; Smit, Gerardus Johannes Maria; Dimitrova, D.C.; Blom, K.C.H.; Meratnia, Nirvana
2011-01-01
This paper is based on Extended Symbol OFDM (ES-OFDM) where symbols are extended in time. This way ES-OFDM can operate at low SNR. Each doubling of the symbol length improves the SNR performance by 3 dB in case of a coherent receiver. One of the basic questions is how to synchronize to signals far
Development of a smartphone-based pulse oximeter with adaptive SNR/power balancing.
Phelps, Tom; Haowei Jiang; Hall, Drew A
2017-07-01
Millions worldwide suffer from diseases that exhibit early warnings signs that can be detected by standard clinical-grade diagnostic tools. Unfortunately, such tools are often prohibitively expensive to the developing world leading to inadequate healthcare and high mortality rates. To address this problem, a smartphone-based pulse oximeter is presented that interfaces with the phone through the audio jack, enabling point-of-care measurements of heart rate (HR) and oxygen saturation (SpO 2 ). The device is designed to utilize existing phone resources (e.g., the processor, battery, and memory) resulting in a more portable and inexpensive diagnostic tool than standalone equivalents. By adaptively tuning the LED driving signal, the device is less dependent on phone-specific audio jack properties than prior audio jack-based work making it universally compatible with all smartphones. We demonstrate that the pulse oximeter can adaptively optimize the signal-to-noise ratio (SNR) within the power constraints of a mobile phone (<; 10mW) while maintaining high accuracy (HR error <; 3.4% and SpO 2 error <; 3.7%) against a clinical grade instrument.
Low SNR Capacity of FSO Links over Gamma-Gamma Atmospheric Turbulence Channels
Benkhelifa, Fatma; Alouini, Mohamed-Slim; Rezki, Zouheir
2013-01-01
free space optical communication systems over Gamma-Gamma atmospheric turbulence fading channels with perfect channel state information at both the transmitter and the receiver. In our framework, we mainly focus on the low signal-to-noise ratio range and show that the ergodic capacity scales proportionally to SNR log^4(1/SNR). We show also that one-bit CSI feedback at the transmitter is enough to achieve this capacity using an on-o ff power control scheme.
Low SNR Capacity of FSO Links over Gamma-Gamma Atmospheric Turbulence Channels
Benkhelifa, Fatma
2013-01-27
In this paper, we study the ergodic capacity of free space optical communication systems over Gamma-Gamma atmospheric turbulence fading channels with perfect channel state information at both the transmitter and the receiver. In our framework, we mainly focus on the low signal-to-noise ratio range and show that the ergodic capacity scales proportionally to SNR log^4(1/SNR). We show also that one-bit CSI feedback at the transmitter is enough to achieve this capacity using an on-o ff power control scheme.
Low SNR Capacity of FSO Links over Gamma-Gamma Atmospheric Turbulence Channels
Benkhelifa, Fatma
2013-02-23
In this paper, we study the ergodic capacity of free space optical communication systems over Gamma-Gamma atmospheric turbulence fading channels with perfect channel state information at both the transmitter and the receiver. In our framework, we mainly focus on the low signal-to-noise ratio range and show that the ergodic capacity scales proportionally to SNR log^4(1/SNR). We show also that one-bit CSI feedback at the transmitter is enough to achieve this capacity using an on-off power control scheme.
Low SNR Capacity of FSO Links over Gamma-Gamma Atmospheric Turbulence Channels
Benkhelifa, Fatma; Alouini, Mohamed-Slim; Rezki, Zouheir
2013-01-01
In this paper, we study the ergodic capacity of free space optical communication systems over Gamma-Gamma atmospheric turbulence fading channels with perfect channel state information at both the transmitter and the receiver. In our framework, we mainly focus on the low signal-to-noise ratio range and show that the ergodic capacity scales proportionally to SNR log^4(1/SNR). We show also that one-bit CSI feedback at the transmitter is enough to achieve this capacity using an on-off power control scheme.
A Witricity-Based High-Power Device for Wireless Charging of Electric Vehicles
Directory of Open Access Journals (Sweden)
Zhongyu Dai
2017-03-01
Full Text Available In this paper, a Witricity-based high-power device is proposed for wireless charging of electric vehicles. According to the specific requirements of three-stage charging for electric vehicles, four compensation modes of the Witricity system are analyzed by the Loosely Coupled Theory among transformer coils and the Substitution Theorem in circuit theory. In addition, when combining voltage withstand levels, the current withstand capability, the switching frequency of electronic switching tubes, and the features of the resonant circuit, the series-parallel (SP compensation mode is selected as the best compensation mode for matching the capacitor of the system. The performances of coils with different ferrite core arrangements are compared by simulations and models. The feasibility of the system is verified theoretically and the system functions are evaluated by the joint simulation of Simplorer and Maxwell. Finally, a Witricity-based high-power device is proposed as designed, and the correctness of theoretical analyses and simulation results are verified.
Directory of Open Access Journals (Sweden)
Ching-Ming Lai
2018-03-01
Full Text Available This study proposes a high-gain reflex-charging-based bidirectional DC charger (RC-BDC to enhance the battery charging efficiency of light electric vehicles (LEV in a DC-microgrid. The proposed charger topology consists of an unregulated level converter (ULC and a two-phase interleaved buck-boost charge-pump converter (IBCPC, which together provide low ripple and high voltage conversion ratio. As the high-gain RC-BDC charges, the LEV’s battery with reflex charging currents, high battery charging efficiency, and prolonged battery life cycles are achieved. This is possible due to the recovering of negative pulse energy of reflex charging currents to reduce charge dissipations within LEV’s batteries. Derivations of the operating principles of the high-gain RC-BDC, analyses of its topology, and the closed-loop control designs were presented. Simulations and experiments were implemented with battery voltage of 48 V and DC-bus voltage of 400 V for a 500 W prototype. The results verify the feasibility of the proposed concept and were compared with the typical constant-current/constant-voltage (CC/CV charger. The comparison shows that the proposed high gain RC-BDC improves battery charging speed and reduces the battery thermal deterioration effect by about 12.7% and 25%, respectively.
High voltage generator circuit with low power and high efficiency applied in EEPROM
International Nuclear Information System (INIS)
Liu Yan; Zhang Shilin; Zhao Yiqiang
2012-01-01
This paper presents a low power and high efficiency high voltage generator circuit embedded in electrically erasable programmable read-only memory (EEPROM). The low power is minimized by a capacitance divider circuit and a regulator circuit using the controlling clock switch technique. The high efficiency is dependent on the zero threshold voltage (V th ) MOSFET and the charge transfer switch (CTS) charge pump. The proposed high voltage generator circuit has been implemented in a 0.35 μm EEPROM CMOS process. Measured results show that the proposed high voltage generator circuit has a low power consumption of about 150.48 μW and a higher pumping efficiency (83.3%) than previously reported circuits. This high voltage generator circuit can also be widely used in low-power flash devices due to its high efficiency and low power dissipation. (semiconductor integrated circuits)
Low-SNR Capacity of Parallel IM-DD Optical Wireless Channels
Chaaban, Anas
2016-11-29
The capacity of parallel intensity-modulation and direct-detection (IM-DD) optical wireless channels with total average intensity and per-channel peak intensity constraints is studied. The optimal intensity allocation at low signal-to-noise ratio (SNR) is derived, leading to the capacity-achieving onoff keying (OOK) distribution. Interestingly, while activating the strongest channel is optimal if (i) the peak intensity is fixed, this is not the case if (ii) the peak intensity is proportional to the average intensity. The minimum average optical intensity per bit is also studied, and is characterized for case (i) where it is achievable at low SNR. However, in case (ii), the average optical intensity per bit grows indefinitely as SNR decreases, indicating that lower optical intensity per bit can be achieved at moderate SNR than at low SNR.
Design of planar microcoil-based NMR probe ensuring high SNR
Ali, Zishan; Poenar, D. P.; Aditya, Sheel
2017-09-01
A microNMR probe for ex vivo applications may consist of at least one microcoil, which can be used as the oscillating magnetic field (MF) generator as well as receiver coil, and a sample holder, with a volume in the range of nanoliters to micro-liters, placed near the microcoil. The Signal-to-Noise ratio (SNR) of such a probe is, however, dependent not only on its design but also on the measurement setup, and the measured sample. This paper introduces a performance factor P independent of both the proton spin density in the sample and the external DC magnetic field, and which can thus assess the performance of the probe alone. First, two of the components of the P factor (inhomogeneity factor K and filling factor η ) are defined and an approach to calculate their values for different probe variants from electromagnetic simulations is devised. A criterion based on dominant component of the magnetic field is then formulated to help designers optimize the sample volume which also affects the performance of the probe, in order to obtain the best SNR for a given planar microcoil. Finally, the P factor values are compared between different planar microcoils with different number of turns and conductor aspect ratios, and planar microcoils are also compared with conventional solenoids. These comparisons highlight which microcoil geometry-sample volume combination will ensure a high SNR under any external setup.
Design of planar microcoil-based NMR probe ensuring high SNR
Directory of Open Access Journals (Sweden)
Zishan Ali
2017-09-01
Full Text Available A microNMR probe for ex vivo applications may consist of at least one microcoil, which can be used as the oscillating magnetic field (MF generator as well as receiver coil, and a sample holder, with a volume in the range of nanoliters to micro-liters, placed near the microcoil. The Signal-to-Noise ratio (SNR of such a probe is, however, dependent not only on its design but also on the measurement setup, and the measured sample. This paper introduces a performance factor P independent of both the proton spin density in the sample and the external DC magnetic field, and which can thus assess the performance of the probe alone. First, two of the components of the P factor (inhomogeneity factor K and filling factor η are defined and an approach to calculate their values for different probe variants from electromagnetic simulations is devised. A criterion based on dominant component of the magnetic field is then formulated to help designers optimize the sample volume which also affects the performance of the probe, in order to obtain the best SNR for a given planar microcoil. Finally, the P factor values are compared between different planar microcoils with different number of turns and conductor aspect ratios, and planar microcoils are also compared with conventional solenoids. These comparisons highlight which microcoil geometry-sample volume combination will ensure a high SNR under any external setup.
International Nuclear Information System (INIS)
Hani, Ahmad Fadzil M; Younis, M Shahzad; Halim, M Firdaus M
2009-01-01
A blind deconvolution technique using a modified higher order statistics (HOS)-based eigenvector algorithm (EVA) is presented in this paper. The main purpose of the technique is to enable the processing of low SNR short length seismograms. In our study, the seismogram is assumed to be the output of a mixed phase source wavelet (system) driven by a non-Gaussian input signal (due to earth) with additive Gaussian noise. Techniques based on second-order statistics are shown to fail when processing non-minimum phase seismic signals because they only rely on the autocorrelation function of the observed signal. In contrast, existing HOS-based blind deconvolution techniques are suitable in the processing of a non-minimum (mixed) phase system; however, most of them are unable to converge and show poor performance whenever noise dominates the actual signal, especially in the cases where the observed data are limited (few samples). The developed blind equalization technique is primarily based on the EVA for blind equalization, initially to deal with mixed phase non-Gaussian seismic signals. In order to deal with the dominant noise issue and small number of available samples, certain modifications are incorporated into the EVA. For determining the deconvolution filter, one of the modifications is to use more than one higher order cumulant slice in the EVA. This overcomes the possibility of non-convergence due to a low signal-to-noise ratio (SNR) of the observed signal. The other modification conditions the cumulant slice by increasing the power of eigenvalues of the cumulant slice, related to actual signal, and rejects the eigenvalues below the threshold representing the noise. This modification reduces the effect of the availability of a small number of samples and strong additive noise on the cumulant slices. These modifications are found to improve the overall deconvolution performance, with approximately a five-fold reduction in a mean square error (MSE) and a six
EXPERIMENTAL INVESTIGATION OF AN AIR CHARGED LOW POWERED STIRLING ENGINE
Directory of Open Access Journals (Sweden)
Can ÇINAR
2004-01-01
Full Text Available In this study, an air charged, low powered manufactured ? type Stirling engine was investigated experimentally. Tests were conducted at 800, 900 and 1000 °C hot source temperatures, 1, 1.5, 2, 2.5, 3, 3.5 bars air charge pressure. The variation of engine power depending on the charge pressure and hot source temperature for two different heat transfer area was investigated experimentally. Maximum output power was obtained at 1000 °C and 3 bars charge pressure as 58 W at 441 rpm. Engine speed was reached at 846 rpm without load.
On the capacity of nakagami-m fading Channels with full channel state information at low SNR
Rezki, Zouheir
2012-06-01
The capacity of flat Rayleigh fading channels with full channel state information (CSI) at the transmitter and at the receiver at asymptotically low SNR has been recently shown to scale essentially as SNR log(1/SNR)}. In this paper, we investigate the Nakagami-m fading channel capacity with full CSI, and show that the capacity of this channel scales essentially as m/ Omega SNR log(1/SNR), where m is the Nakagami-m fading parameter and where Ω is the channel mean-square. We also show that one-bit CSI at the transmitter is enough to achieve this asymptotic capacity using an On-Off power control scheme. Our framework may be seen as a generalization of previous works as it captures the Rayleigh fading channel as a special case by taking m=1. © 2012 IEEE.
On the capacity of Rician fading channels with full channel state information at low SNR
Rezki, Zouheir
2012-06-01
The capacity of flat Rayleigh fading channels with full channel state information (CSI) at the transmitter and at the receiver at asymptotically low SNR has been recently shown to scale essentially as SNR log (1/SNR). In this paper, we investigate the Rician fading channel capacity with full CSI, and show that the capacity of this channel scales essentially as 1/1+K SNR log (1 /SNR), where K is the Rician factor. This characterization includes perfect CSI at both the transmitter and the receiver or noisy CSI at the transmitter and perfect CSI at the receiver. We also show that one-bit CSI at the transmitter is enough to achieve this asymptotic capacity using an On-Off power control scheme. Our framework may be seen as a generalization of previous works as it captures the Rayleigh fading channel as a special case by letting K goes to zero. © 2012 IEEE.
Microcontroller Based Solar Charge Controller for Power Application
Mr. Vikas Khare
2012-01-01
Photovoltaic cell converts solar energy directly into electricity. This paper describes a design of microcontroller based solar charge controller for power application.[2] The work of the Paper is to charge a 12 volt battery by using a 50 watt solar panel with maximum power. This circuit regulates the charging of battery in a solar system by monitoring battery voltage and switching the solar or other power source off when the battery reached a preset value.[1] The microprocessor based charge ...
MIMO Intensity-Modulation Channels: Capacity Bounds and High SNR Characterization
Chaaban, Anas
2016-10-01
The capacity of MIMO intensity modulation channels is studied. The nonnegativity of the transmit signal (intensity) poses a challenge on the precoding of the transmit signal, which limits the applicability of classical schemes in this type of channels. To resolve this issue, capacity lower bounds are developed by using precoding-free schemes. This is achieved by channel inversion or QR decomposition to convert the MIMO channel to a set of parallel channels. The achievable rate of a DC-offset SVD based scheme is also derived as a benchmark. Then, a capacity upper bound is derived and is shown to coincide with the achievable rate of the QR decomposition based scheme at high SNR, consequently characterizing the high-SNR capacity of the channel. The high-SNR gap between capacity and the achievable rates of the channel inversion and the DC-offset SVD based schemes is also characterized. Finally, the ergodic capacity of the channel is also briefly discussed.
Secret-key agreement over spatially correlated multiple-antenna channels in the low-SNR regime
Zorgui, Marwen; Rezki, Zouheir; Alomair, Basel; Jorswieck, Eduard A.; Alouini, Mohamed-Slim
2015-01-01
We consider secret-key agreement with public discussion over Rayleigh fast-fading channels with transmit, receive and eavesdropper correlation. The legitimate receiver along with the eavesdropper are assumed to have perfect channel knowledge while the transmitter has only knowledge of the correlation matrices. We analyze the secret-key capacity in the low signal-to-noise ratio (SNR) regime. We derive closed-form expressions for the first and the second derivatives of the secret-key capacity with respect to SNR at SNR= 0, for arbitrary correlation matrices and number of transmit, receive and eavesdropper antennas. Moreover, we identify optimal transmission strategies achieving these derivatives. For instance, we prove that achieving the first and the second derivatives requires a uniform power distribution between the eigenvectors spanning the maximal-eigenvalue eigenspace of the transmit correlation matrix. We also compare the optimal transmission scheme to a simple uniform power allocation. Finally, we express the minimum energy required for sharing a secret-key bit as well as the wideband slope in terms of the system parameters.
Secret-key agreement over spatially correlated multiple-antenna channels in the low-SNR regime
Zorgui, Marwen
2015-09-28
We consider secret-key agreement with public discussion over Rayleigh fast-fading channels with transmit, receive and eavesdropper correlation. The legitimate receiver along with the eavesdropper are assumed to have perfect channel knowledge while the transmitter has only knowledge of the correlation matrices. We analyze the secret-key capacity in the low signal-to-noise ratio (SNR) regime. We derive closed-form expressions for the first and the second derivatives of the secret-key capacity with respect to SNR at SNR= 0, for arbitrary correlation matrices and number of transmit, receive and eavesdropper antennas. Moreover, we identify optimal transmission strategies achieving these derivatives. For instance, we prove that achieving the first and the second derivatives requires a uniform power distribution between the eigenvectors spanning the maximal-eigenvalue eigenspace of the transmit correlation matrix. We also compare the optimal transmission scheme to a simple uniform power allocation. Finally, we express the minimum energy required for sharing a secret-key bit as well as the wideband slope in terms of the system parameters.
High-resolution multiphoton microscopy with a low-power continuous wave laser pump.
Chen, Xiang-Dong; Li, Shen; Du, Bo; Dong, Yang; Wang, Ze-Hao; Guo, Guang-Can; Sun, Fang-Wen
2018-02-15
Multiphoton microscopy (MPM) has been widely used for three-dimensional biological imaging. Here, based on the photon-induced charge state conversion process, we demonstrated a low-power high-resolution MPM with a nitrogen vacancy (NV) center in diamond. Continuous wave green and orange lasers were used to pump and detect the two-photon charge state conversion, respectively. The power of the laser for multiphoton excitation was 40 μW. Both the axial and lateral resolutions were improved approximately 1.5 times compared with confocal microscopy. The results can be used to improve the resolution of the NV center-based quantum sensing and biological imaging.
Low Power and High Sensitivity MOSFET-Based Pressure Sensor
International Nuclear Information System (INIS)
Zhang Zhao-Hua; Ren Tian-Ling; Zhang Yan-Hong; Han Rui-Rui; Liu Li-Tian
2012-01-01
Based on the metal-oxide-semiconductor field effect transistor (MOSFET) stress sensitive phenomenon, a low power MOSFET pressure sensor is proposed. Compared with the traditional piezoresistive pressure sensor, the present pressure sensor displays high performances on sensitivity and power consumption. The sensitivity of the MOSFET sensor is raised by 87%, meanwhile the power consumption is decreased by 20%. (cross-disciplinary physics and related areas of science and technology)
PVDF-PZT nanocomposite film based self-charging power cell.
Zhang, Yan; Zhang, Yujing; Xue, Xinyu; Cui, Chunxiao; He, Bin; Nie, Yuxin; Deng, Ping; Lin Wang, Zhong
2014-03-14
A novel PVDF-PZT nanocomposite film has been proposed and used as a piezoseparator in self-charging power cells (SCPCs). The structure, composed of poly(vinylidene fluoride) (PVDF) and lead zirconate titanate (PZT), provides a high piezoelectric output, because PZT in this nanocomposite film can improve the piezopotential compared to the pure PVDF film. The SCPC based on this nanocomposite film can be efficiently charged up by the mechanical deformation in the absence of an external power source. The charge capacity of the PVDF-PZT nanocomposite film based SCPC in 240 s is ∼0.010 μA h, higher than that of a pure PVDF film based SCPC (∼0.004 μA h). This is the first demonstration of using PVDF-PZT nanocomposite film as a piezoseparator for SCPC, and is an important step for the practical applications of SCPC for harvesting and storing mechanical energy.
Phase shift PWM with double two-switch bridge for high power capacitor charging
International Nuclear Information System (INIS)
Karandikar, U.S.; Singh, Yashpal; Thakurta, A.C.
2013-01-01
Pulse power supply systems working at higher voltage and high repetition rate demands for higher power from capacitor chargers. Capacitor charging requirement become more challenging in such cases. In pulse power circuits, energy storage capacitor should be charged to its desired voltage before the next switching occurs. It is discharged within a small time, delivering large pulse power. A capacitor charger has to work with wide load variation repeatedly. Many schemes are used for this purpose. The proposed scheme aims at reducing stresses on switches by reducing peak current and their evils. A high voltage power supply is designed for capacitor charging. The proposed scheme is based on a Phase-Shifted PWM without using any extra component to achieve soft switching. Indirect constant average current capacitor charging is achieved with a simple control scheme. A double two-switch bridge is proposed to enhance reliability. Power supply has been developed to charge a capacitor of 50 μF to 2.5 kV at 25 Hz. (author)
Benkhelifa, Fatma; Rezki, Zouheir; Alouini, Mohamed-Slim
2013-01-01
In this letter, we study the ergodic capacity of a maximum ratio combining (MRC) Rician fading channel with full channel state information (CSI) at the transmitter and at the receiver. We focus on the low Signal-to-Noise Ratio (SNR) regime and we show that the capacity scales as L ΩK+L SNRx log(1SNR), where Ω is the expected channel gain per branch, K is the Rician fading factor, and L is the number of diversity branches. We show that one-bit CSI feedback at the transmitter is enough to achieve this capacity using an on-off power control scheme. Our framework can be seen as a generalization of recently established results regarding the fading-channels capacity characterization in the low-SNR regime. © 2012 IEEE.
Benkhelifa, Fatma
2013-04-01
In this letter, we study the ergodic capacity of a maximum ratio combining (MRC) Rician fading channel with full channel state information (CSI) at the transmitter and at the receiver. We focus on the low Signal-to-Noise Ratio (SNR) regime and we show that the capacity scales as L ΩK+L SNRx log(1SNR), where Ω is the expected channel gain per branch, K is the Rician fading factor, and L is the number of diversity branches. We show that one-bit CSI feedback at the transmitter is enough to achieve this capacity using an on-off power control scheme. Our framework can be seen as a generalization of recently established results regarding the fading-channels capacity characterization in the low-SNR regime. © 2012 IEEE.
A Ramp Cosine Cepstrum Model for the Parameter Estimation of Autoregressive Systems at Low SNR
Directory of Open Access Journals (Sweden)
Zhu Wei-Ping
2010-01-01
Full Text Available A new cosine cepstrum model-based scheme is presented for the parameter estimation of a minimum-phase autoregressive (AR system under low levels of signal-to-noise ratio (SNR. A ramp cosine cepstrum (RCC model for the one-sided autocorrelation function (OSACF of an AR signal is first proposed by considering both white noise and periodic impulse-train excitations. Using the RCC model, a residue-based least-squares optimization technique that guarantees the stability of the system is then presented in order to estimate the AR parameters from noisy output observations. For the purpose of implementation, the discrete cosine transform, which can efficiently handle the phase unwrapping problem and offer computational advantages as compared to the discrete Fourier transform, is employed. From extensive experimentations on AR systems of different orders, it is shown that the proposed method is capable of estimating parameters accurately and consistently in comparison to some of the existing methods for the SNR levels as low as −5 dB. As a practical application of the proposed technique, simulation results are also provided for the identification of a human vocal tract system using noise-corrupted natural speech signals demonstrating a superior estimation performance in terms of the power spectral density of the synthesized speech signals.
Present status and further objectives of SNR fuel element development
International Nuclear Information System (INIS)
Karsten, G.
Within the scope of the fuel element development program for the fast breeder reactor SNR 300, 500 fuel pins have been irradiated since 1964, 250 of them in fast flux. Results indicate that the maximum nominal target burnup of 90.000 MWd/t of the SNR 300 Mk Ia possibly can be reached. The main problems, which arise from clad swelling and internal corrosion, can be met by special pretreatments of the austenitic stainless steel 1.4970 and a fuel stoichiometry of 1.97. Beyond this target burnup either material property improvements have to be made or burnup reductions have to be accepted. The remaining questions can be answered by the use of the SNR 300 as a test reactor. A further target is the development of a carbide fuel element, which should be very effective in a high power breeder reactor because of its low fissile inventory and high breeding gain. This development program will also be finalized in the SNR 300. (U.S.)
Solar photovoltaic charging of high voltage nickel metal hydride batteries using DC power conversion
Kelly, Nelson A.; Gibson, Thomas L.
There are an increasing number of vehicle choices available that utilize batteries and electric motors to reduce tailpipe emissions and increase fuel economy. The eventual production of electricity and hydrogen in a renewable fashion, such as using solar energy, can achieve the long-term vision of having no tailpipe environmental impact, as well as eliminating the dependence of the transportation sector on dwindling supplies of petroleum for its energy. In this report we will demonstrate the solar-powered charging of the high-voltage nickel-metal hydride (NiMH) battery used in the GM 2-mode hybrid system. In previous studies we have used low-voltage solar modules to produce hydrogen via the electrolysis of water and to directly charge lithium-ion battery modules. Our strategy in the present work was to boost low-voltage PV voltage to over 300 V using DC-DC converters in order to charge the high-voltage NiMH battery, and to regulate the battery charging using software to program the electronic control unit supplied with the battery pack. A protocol for high-voltage battery charging was developed, and the solar to battery charging efficiency was measured under a variety of conditions. We believe this is the first time such high-voltage batteries have been charged using solar energy in order to prove the concept of efficient, solar-powered charging for battery-electric vehicles.
High Efficiency Power Converter for Low Voltage High Power Applications
DEFF Research Database (Denmark)
Nymand, Morten
The topic of this thesis is the design of high efficiency power electronic dc-to-dc converters for high-power, low-input-voltage to high-output-voltage applications. These converters are increasingly required for emerging sustainable energy systems such as fuel cell, battery or photo voltaic based...
Low power consumption and high temperature durability for radiation sensor
International Nuclear Information System (INIS)
Matsumoto, Yoshinori; Ueno, Hiroto
2015-01-01
Low power consumption and high temperature operation are important in an environmental monitoring system. The power consumption of 3 mW is achieved for the radiation sensor using low voltage operational amplifier and comparator in the signal processing circuit. The leakage reverse current of photodiode causes the charge amplifier saturation over 50degC. High temperature durability was improved by optimizing the circuit configuration and the values of feedback resistance and capacitance in the charge amplifier. The pulse response of the radiation sensor was measured up to 55degC. The custom detection circuit was designed by 0.6 μm CMOS process at 5-V supply voltage. The operation temperature was improved up to 65degC. (author)
High speed low power optical detection of sub-wavelength scatterer
Roy, S.; Bouwens, M.A.J.; Wei, L.; Pereira, S.F.; Urbach, H.P.; Walle, P. van der
2015-01-01
Optical detection of scatterers on a flat substrate, generally done using dark field microscopy technique, is challenging since it requires high power illumination to obtain sufficient SNR (Signal to Noise Ratio) to be able to detect sub-wavelength particles. We developed a bright field technique,
High Efficiency Power Converter for Low Voltage High Power Applications
DEFF Research Database (Denmark)
Nymand, Morten
The topic of this thesis is the design of high efficiency power electronic dc-to-dc converters for high-power, low-input-voltage to high-output-voltage applications. These converters are increasingly required for emerging sustainable energy systems such as fuel cell, battery or photo voltaic based......, and remote power generation for light towers, camper vans, boats, beacons, and buoys etc. A review of current state-of-the-art is presented. The best performing converters achieve moderately high peak efficiencies at high input voltage and medium power level. However, system dimensioning and cost are often...
Low-Power Amplifier-Discriminators for High Time Resolution Detection
Despeisse, M; Anghinolfi, F; Tiuraniemi, S; Osmic, F; Riedler, P; Kluge, A; Ceccucci, A
2009-01-01
Low-power amplifier-discriminators based on a so-called NINO architecture have been developed with high time resolution for the readout of radiation detectors. Two different circuits were integrated in the NINO13 chip, processed in IBM 130 nm CMOS technology. The LCO version (Low Capacitance and consumption Optimization) was designed for potential use as front-end electronics in the Gigatracker of the NA62 experiment at CERN. It was developed as pixel readout for solid-state pixel detectors to permit minimum ionizing particle detection with less than 180 ps rms resolution per pixel on the output pulse, for power consumption below 300 mu W per pixel. The HCO version (High Capacitance Optimization) was designed with 4 mW power consumption per channel to provide timing resolution below 20 ps rms on the output pulse, for charges above 10 fC. Results presented show the potential of the LCO and HCO circuits for the precise timing readout of solid-state detectors, vacuum tubes or gas detectors, for applications in h...
Naresh, P.; Patel, Ankur; Sharma, Archana
2015-09-01
Pulse power systems with highly dynamic loads like klystron, backward wave oscillator (BWO), and magnetron generate highly dynamic noise. This noise leads to frequent failure of controlled switches in the inverter stage of charging power supply. Designing a reliable and compatible power supply for pulse power applications is always a tricky job when charging rate is in multiples of 10 kJ/s. A ±50 kV and 45 kJ/s capacitor charging power supply based on 4th order LCLC resonant topology has been developed for a 10 Hz repetitive Marx based system. Conditions for load independent constant current and zero current switching (ZCS) are derived mathematically. Noise generated at load end due to dynamic load is tackled effectively and reduction in magnitude noise voltage is achieved by providing shielding between primary and secondary of high voltage high frequency transformer and with LCLC low pass filter. Shielding scales down the ratio between coupling capacitance (Cc) and the collector-emitter capacitance of insulated gate bi-polar transistor switch, which in turn reduces the common mode noise voltage magnitude. The proposed 4th order LCLC resonant network acts as a low pass filter for differential mode noise in the reverse direction (from load to source). Power supply has been tested repeatedly with 5 Hz repetition rate with repetitive Marx based system connected with BWO load working fine without failure of single switch in the inverter stage.
On the low SNR capacity of MIMO fading channels with imperfect channel state information
Benkhelifa, Fatma
2014-06-01
The capacity of multiple-input multiple-output (MIMO) Rayleigh fading channels with full knowledge of channel state information (CSI) at both the transmitter and the receiver (CSI-TR) has been shown recently to scale at low signal-to-noise ratio (SNR) essentially as SNR log(1/SNR), independently of the number of transmit and receive antennas. In this paper, we investigate the ergodic capacity of MIMO Rayleigh fading channel with estimated channel state information at the transmitter (CSI-T) and possibly imperfect channel state information at the receiver (CSI-R). Our framework can be seen as a generalization of previous works as it can capture the perfect CSI-TR as a special case when the estimation error variance goes to zero. In this paper, we mainly focus on the low SNR regime, and we show that the capacity scales as (1-α) SNR log(1/SNR), where α is the estimation error variance. This characterization shows the loss of performance due to error estimation over the perfect channel state information at both the transmitter and the receiver. As a by-product of our new analysis, we show that our framework can be also extended to characterize the capacity of MIMO Rician fading channels at low SNR with possibly imperfect CSI-T and CSI-R. © 1972-2012 IEEE.
On the low SNR capacity of MIMO fading channels with imperfect channel state information
Benkhelifa, Fatma
2014-05-01
The capacity of Multiple Input Multiple Output (MIMO) Rayleigh fading channels with full knowledge of channel state information (CSI) at both the transmitter and the receiver (CSI-TR) has been shown recently to scale at low Signal-to-Noise Ratio (SNR) essentially as SNR log(1=SNR), independently of the number of transmit and receive antennas. In this paper, we investigate the ergodic capacity of MIMO Rayleigh fading channel with estimated channel state information at the transmitter (CSI-T) and possibly imperfect channel state information at the receiver (CSI-R). Our framework can be seen as a generalization of previous works as it can capture the perfect CSI-TR as a special case when the estimation error variance goes to zero. In our work, we mainly focus on the low SNR regime and we show that the capacity scales as (1-α) SNR log(1=SNR), where α is the estimation error variance. This characterization shows the loss of performance due to error estimation over the perfect channel state information at both the transmitter and the receiver. As a by-product of our new analysis, we show that our framework can also be extended to characterize the capacity of MIMO Rician fading channels at low SNR with possibly imperfect CSI-T and CSI-R. © 2014 IFIP.
Sharma, Surender Kumar; Shyam, Anurag
2015-02-01
High energy capacitor bank is used for primary electrical energy storage in pulsed power drivers. The capacitors used in these pulsed power drivers have low inductance, low internal resistance, and less dc life, so it has to be charged rapidly and immediately discharged into the load. A series resonant converter based 45 kV compact power supply is designed and developed for rapid charging of the capacitor bank with constant charging current up to 150 mA. It is short circuit proof, and zero current switching technique is used to commute the semiconductor switch. A high frequency resonant inverter switching at 10 kHz makes the overall size small and reduces the switching losses. The output current of the power supply is limited by constant on-time and variable frequency switching control technique. The power supply is tested by charging the 45 kV/1.67 μF and 15 kV/356 μF capacitor banks. It has charged the capacitor bank up to rated voltage with maximum charging current of 150 mA and the average charging rate of 3.4 kJ/s. The output current of the power supply is limited by reducing the switching frequency at 5 kHz, 3.3 kHz, and 1.7 kHz and tested with 45 kV/1.67 μF capacitor bank. The protection circuit is included in the power supply for over current, under voltage, and over temperature. The design details and the experimental testing results of the power supply for resonant current, output current, and voltage traces of the power supply with capacitive, resistive, and short circuited load are presented and discussed.
Kabir, Salman; Smith, Craig; Armstrong, Frank; Barnard, Gerrit; Schneider, Alex; Guidash, Michael; Vogelsang, Thomas; Endsley, Jay
2018-03-01
Differential binary pixel technology is a threshold-based timing, readout, and image reconstruction method that utilizes the subframe partial charge transfer technique in a standard four-transistor (4T) pixel CMOS image sensor to achieve a high dynamic range video with stop motion. This technology improves low light signal-to-noise ratio (SNR) by up to 21 dB. The method is verified in silicon using a Taiwan Semiconductor Manufacturing Company's 65 nm 1.1 μm pixel technology 1 megapixel test chip array and is compared with a traditional 4 × oversampling technique using full charge transfer to show low light SNR superiority of the presented technology.
Motosugi, Utaroh; Hernando, Diego; Wiens, Curtis; Bannas, Peter; Reeder, Scott. B
2017-01-01
Purpose: To determine whether high signal-to-noise ratio (SNR) acquisitions improve the repeatability of liver proton density fat fraction (PDFF) measurements using confounder-corrected chemical shift-encoded magnetic resonance (MR) imaging (CSE-MRI). Materials and Methods: Eleven fat-water phantoms were scanned with 8 different protocols with varying SNR. After repositioning the phantoms, the same scans were repeated to evaluate the test-retest repeatability. Next, an in vivo study was performed with 20 volunteers and 28 patients scheduled for liver magnetic resonance imaging (MRI). Two CSE-MRI protocols with standard- and high-SNR were repeated to assess test-retest repeatability. MR spectroscopy (MRS)-based PDFF was acquired as a standard of reference. The standard deviation (SD) of the difference (Δ) of PDFF measured in the two repeated scans was defined to ascertain repeatability. The correlation between PDFF of CSE-MRI and MRS was calculated to assess accuracy. The SD of Δ and correlation coefficients of the two protocols (standard- and high-SNR) were compared using F-test and t-test, respectively. Two reconstruction algorithms (complex-based and magnitude-based) were used for both the phantom and in vivo experiments. Results: The phantom study demonstrated that higher SNR improved the repeatability for both complex- and magnitude-based reconstruction. Similarly, the in vivo study demonstrated that the repeatability of the high-SNR protocol (SD of Δ = 0.53 for complex- and = 0.85 for magnitude-based fit) was significantly higher than using the standard-SNR protocol (0.77 for complex, P magnitude-based fit, P = 0.003). No significant difference was observed in the accuracy between standard- and high-SNR protocols. Conclusion: Higher SNR improves the repeatability of fat quantification using confounder-corrected CSE-MRI. PMID:28190853
Development of Discrete Power Supply with Charge Pump Method for High Powered Sonar System
Directory of Open Access Journals (Sweden)
Kristian Ismail
2012-07-01
Full Text Available Power supply is one of the electronic devices that can provide electric energy for electronic systems or other systems. There are several types of power supplies that can be applied depend on the requirement and functions. One example is the use of power supply for sonar systems. Sonar system is a device which can be used to detect a target under water. The sonar system is an electronic circuit that requires a power supply with specific characteristics when the sonar functions as a transmitter and a receiver in the specific span time (when on and the specific lag time (when off. This paper discusses the design of power supply for high-powered sonar systems with discrete methods in which high power supply is only applied when the acoustic waves radiated under water. Charge pump was used to get the appropriate output voltage from lower input voltage. Charge pump utilized a combination of series and parallel connections of capacitors. The working mode of this power supply used the lag time as the calculation of time to charge charge pump capacitors in parallel while the span time was used for the calculation of discharging the charge pump capacitors in series.
Energy Technology Data Exchange (ETDEWEB)
Shepard, K.W.; Kim, J.W.
1995-08-01
A design is being developed for a low-charge-state linac suitable for injecting ATLAS with a low-charge-state, radioactive beam. Initial work indicates that the existing ATLAS interdigital superconducting accelerating structures, together with the superconducting quadrupole transverse focussing element discussed above, provides a basis for a high-performance low-charge-state linac. The initial 2 or 3 MV of such a linac could be based on a normally-conducting, low-frequency RFQ, possibly combined with 24-MHz superconducting interdigital structures. Beam dynamics studies of the whole low-charge-state post-accelerator section were carried out in early FY 1995.
Guo, Hengyu; Yeh, Min-Hsin; Zi, Yunlong; Wen, Zhen; Chen, Jie; Liu, Guanlin; Hu, Chenguo; Wang, Zhong Lin
2017-05-23
The development of lightweight, superportable, and sustainable power sources has become an urgent need for most modern personal electronics. Here, we report a cut-paper-based self-charging power unit (PC-SCPU) that is capable of simultaneously harvesting and storing energy from body movement by combining a paper-based triboelectric nanogenerator (TENG) and a supercapacitor (SC), respectively. Utilizing the paper as the substrate with an assembled cut-paper architecture, an ultralight rhombic-shaped TENG is achieved with highly specific mass/volume charge output (82 nC g -1 /75 nC cm -3 ) compared with the traditional acrylic-based TENG (5.7 nC g -1 /5.8 nC cm -3 ), which can effectively charge the SC (∼1 mF) to ∼1 V in minutes. This wallet-contained PC-SCPU is then demonstrated as a sustainable power source for driving wearable and portable electronic devices such as a wireless remote control, electric watch, or temperature sensor. This study presents a potential paper-based portable SCPU for practical and medical applications.
Electron capture by highly charged low-velocity ions
International Nuclear Information System (INIS)
Cocke, C.L.; Dubois, R.; Justiniano, E.; Gray, T.J.; Can, C.
1982-01-01
This paper describes the use of a fast heavy ion beam to produce, by bombardment of gaseous targets, highly-charged low-velocity recoil ions, and the use of these secondary ions in turn as projectiles in studies of electron capture and ionization in low-energy collision systems. The interest in collisions involving low-energy highly-charged projectiles comes both from the somewhat simplifying aspects of the physics which attend the long-range capture and from applications to fusion plasmas, astrophysics and more speculative technology such as the production of X-ray lasers. The ions of interest in such applications should have both electronic excitation and center-of-mass energies in the keV range and cannot be produced by simply stripping fast heavy ion beams. Several novel types of ion source have been developed to produce low-energy highly-charged ions, of which the secondary ion recoil source discussed in this paper is one. (Auth.)
Low-latency video transmission over high-speed WPANs based on low-power video compression
DEFF Research Database (Denmark)
Belyaev, Evgeny; Turlikov, Andrey; Ukhanova, Ann
2010-01-01
This paper presents latency-constrained video transmission over high-speed wireless personal area networks (WPANs). Low-power video compression is proposed as an alternative to uncompressed video transmission. A video source rate control based on MINMAX quality criteria is introduced. Practical...
Low Power Design with High-Level Power Estimation and Power-Aware Synthesis
Ahuja, Sumit; Shukla, Sandeep Kumar
2012-01-01
Low-power ASIC/FPGA based designs are important due to the need for extended battery life, reduced form factor, and lower packaging and cooling costs for electronic devices. These products require fast turnaround time because of the increasing demand for handheld electronic devices such as cell-phones, PDAs and high performance machines for data centers. To achieve short time to market, design flows must facilitate a much shortened time-to-product requirement. High-level modeling, architectural exploration and direct synthesis of design from high level description enable this design process. This book presents novel research techniques, algorithms,methodologies and experimental results for high level power estimation and power aware high-level synthesis. Readers will learn to apply such techniques to enable design flows resulting in shorter time to market and successful low power ASIC/FPGA design. Integrates power estimation and reduction for high level synthesis, with low-power, high-level design; Shows spec...
Delay-Limited Capacity in the Low Power Regime
Rezki, Zouheir
2016-02-11
Outage performance of the M-block fading with additive white Gaussian noise (BF-AWGN) is investigated in the low-power regime. We consider delay-constrained constant-rate communications with perfect channel state information (CSI) at both the transmitter and the receiver (CSI-TR), under a shortterm power constraint (STPC) and a long-term power constraint (LTPC). Subject to STPC, we show that selection diversity that allocates all the power to the strongest block is asymptotically optimal. Then, we provide a simple characterization of the outage probability in the regime of interest. We quantify the reward due to CSI-TR over the constant-rate constant-power scheme and show that this reward increases with the delay constraint. For instance, for Rayleigh fading, we find that a power gain up to 4.3 dB is achievable. Subject to LTPC, we show that the above guidelines still holds and that the outage performance improves due to the flexibility of the LTPC over the STPC. More interestingly, we prove that LTPC allows zero-outage communication even at low SNR and characterize the delaylimited capacity at low SNR in a simple form. More precisely, we establish that the delay-limited capacity scales linearly with the power constraint, for a given M < 1. Our framework highlights the benefit of fading at low SNR as the delay-limited capacity may outperform the AWGN capacity. For instance, for Rayleigh fading and with M = 3, the delay-limited capacity is 16% higher than the capacity of an AWGN channel.
Free-space optical communications with peak and average constraints: High SNR capacity approximation
Chaaban, Anas
2015-09-07
The capacity of the intensity-modulation direct-detection (IM-DD) free-space optical channel with both average and peak intensity constraints is studied. A new capacity lower bound is derived by using a truncated-Gaussian input distribution. Numerical evaluation shows that this capacity lower bound is nearly tight at high signal-to-noise ratio (SNR), while it is shown analytically that the gap to capacity upper bounds is a small constant at high SNR. In particular, the gap to the high-SNR asymptotic capacity of the channel under either a peak or an average constraint is small. This leads to a simple approximation of the high SNR capacity. Additionally, a new capacity upper bound is derived using sphere-packing arguments. This bound is tight at high SNR for a channel with a dominant peak constraint.
Wireless power charging using point of load controlled high frequency power converters
Miller, John M.; Campbell, Steven L.; Chambon, Paul H.; Seiber, Larry E.; White, Clifford P.
2015-10-13
An apparatus for wirelessly charging a battery of an electric vehicle is provided with a point of load control. The apparatus includes a base unit for generating a direct current (DC) voltage. The base unit is regulated by a power level controller. One or more point of load converters can be connected to the base unit by a conductor, with each point of load converter comprising a control signal generator that transmits a signal to the power level controller. The output power level of the DC voltage provided by the base unit is controlled by power level controller such that the power level is sufficient to power all active load converters when commanded to do so by any of the active controllers, without generating excessive power that may be otherwise wasted.
Selective Route Based on SNR with Cross-Layer Scheme in Wireless Ad Hoc Network
Directory of Open Access Journals (Sweden)
Istikmal
2017-01-01
Full Text Available In this study, we developed network and throughput formulation models and proposed new method of the routing protocol algorithm with a cross-layer scheme based on signal-to-noise ratio (SNR. This method is an enhancement of routing protocol ad hoc on-demand distance vector (AODV. This proposed scheme uses selective route based on the SNR threshold in the reverse route mechanism. We developed AODV SNR-selective route (AODV SNR-SR for a mechanism better than AODV SNR, that is, the routing protocol that used average or sum of path SNR, and also better than AODV which is hop-count-based. We also used selective reverse route based on SNR mechanism, replacing the earlier method to avoid routing overhead. The simulation results show that AODV SNR-SR outperforms AODV SNR and AODV in terms of throughput, end-to-end delay, and routing overhead. This proposed method is expected to support Device-to-Device (D2D communications that are concerned with the quality of the channel awareness in the development of the future Fifth Generation (5G.
Energy Technology Data Exchange (ETDEWEB)
Qazalbash, A.A.; Iqbal, T.; Shafiq, M.Z. [National Univ. of Sciences and Technology, Rawalpindi (Pakistan). Dept. of Electrical Engineering
2007-07-01
Photovoltaic (PV) solar arrays are particularly useful for electrical power generation in remote, off-grid areas in developing countries. However, PV arrays offer a small power to area ratio, resulting in the need for more PV arrays which increases the cost of the system. In order to improve the profitability of PV arrays, the power extraction from available PV array systems must be maximized. This paper presented an analysis, modeling and implementation of an efficient solar charge controller. It was shown that the maximum power of a photovoltaic system depends largely on temperature and insolation. A perturb and observe algorithm was used for maximum power point tracking (MPPT). MPPT maximizes the efficiency of a solar PV system. A solar charge controller determines the optimal values of output current and voltage of converters to maximize power output for battery charging. In order to improve performance and implement the perturb and observe algorithm, the authors designed a fuzzy rule-based system in which a solar charge controller worked with a PWM controlled DC-DC converter for battery charging. The system was implemented on a low-cost PIC microcontroller. Results were better than conventional techniques in power efficiency. Swift maximum power point tracking was obtained. 13 refs., 1 tab., 11 figs.
Influence of range-gated intensifiers on underwater imaging system SNR
Wang, Xia; Hu, Ling; Zhi, Qiang; Chen, Zhen-yue; Jin, Wei-qi
2013-08-01
Range-gated technology has been a hot research field in recent years due to its high effective back scattering eliminating. As a result, it can enhance the contrast between a target and its background and extent the working distance of the imaging system. The underwater imaging system is required to have the ability to image in low light level conditions, as well as the ability to eliminate the back scattering effect, which means that the receiver has to be high-speed external trigger function, high resolution, high sensitivity, low noise, higher gain dynamic range. When it comes to an intensifier, the noise characteristics directly restrict the observation effect and range of the imaging system. The background noise may decrease the image contrast and sharpness, even covering the signal making it impossible to recognize the target. So it is quite important to investigate the noise characteristics of intensifiers. SNR is an important parameter reflecting the noise features of a system. Through the use of underwater laser range-gated imaging prediction model, and according to the linear SNR system theory, the gated imaging noise performance of the present market adopted super second generation and generation Ⅲ intensifiers were theoretically analyzed. Based on the active laser underwater range-gated imaging model, the effect to the system by gated intensifiers and the relationship between the system SNR and MTF were studied. Through theoretical and simulation analysis to the image intensifier background noise and SNR, the different influence on system SNR by super second generation and generation Ⅲ ICCD was obtained. Range-gated system SNR formula was put forward, and compared the different effect influence on the system by using two kind of ICCDs was compared. According to the matlab simulation, a detailed analysis was carried out theoretically. All the work in this paper lays a theoretical foundation to further eliminating back scattering effect, improving
Low Cost, Low Power, High Sensitivity Magnetometer
2008-12-01
which are used to measure the small magnetic signals from brain. Other types of vector magnetometers are fluxgate , coil based, and magnetoresistance...concentrator with the magnetometer currently used in Army multimodal sensor systems, the Brown fluxgate . One sees the MEMS fluxgate magnetometer is...Guedes, A.; et al., 2008: Hybrid - LOW COST, LOW POWER, HIGH SENSITIVITY MAGNETOMETER A.S. Edelstein*, James E. Burnette, Greg A. Fischer, M.G
High-power semiconductor RSD-based switch
Energy Technology Data Exchange (ETDEWEB)
Bezuglov, V G; Galakhov, I V; Grusin, I A [All-Russian Scientific Research Inst. of Experimental Physics, Sarov (Russian Federation); and others
1997-12-31
The operating principle and test results of a high-power semiconductor RSD-based switch with the following operating parameters is described: operating voltage 25 kV, peak operating current 200 kA, maximum transferred charge 70 C. The switch is intended for use by high-power capacitor banks of state-of-the-art research facilities. The switch was evaluated for applicability in commercial pulsed systems. The possibility of increasing the peak operating current to 500 kA is demonstrated. (author). 4 figs., 2 refs.
Jiang, Qiang; Chen, Bo; Zhang, Kewei; Yang, Ya
2017-12-20
Li-ion batteries are a green energy storage technology with advantages of high energy density, long lifetime, and sustainability, but they cannot generate electric energy by themselves. As a novel energy-harvesting technology, triboelectric nanogenerators (TENGs) are a promising power source for supplying electronic devices, however it is difficult to directly use their high output voltage and low output current. Here, we designed a Ag nanoparticle-based TENG for scavenging wind energy. After including a transformer and a power management circuit into the system, constant output voltages such as 3.6 V and a pulsed current of about 100 mA can be obtained, which can be used to directly light up a light-emitting diode. Furthermore, the produced electric energy can be effectively stored in a WO 3 /LiMn 2 O 4 electrode based Li-ion battery. Our present work provides a new approach to effectively scavenge wind energy and store the obtained electric energy, which is significant for exploring self-charging power units.
Beamline for low-energy transport of highly charged ions at HITRAP
International Nuclear Information System (INIS)
Andelkovic, Z.; Herfurth, F.; Kotovskiy, N.; König, K.; Maaß, B.; Murböck, T.; Neidherr, D.; Schmidt, S.; Steinmann, J.; Vogel, M.; Vorobjev, G.
2015-01-01
A beamline for transport of highly charged ions with energies as low as a few keV/charge has been constructed and commissioned at GSI. Complementary to the existing infrastructure of the HITRAP facility for deceleration of highly charged ions from the GSI accelerator, the new beamline connects the HITRAP ion decelerator and an EBIT with the associated experimental setups. Therefore, the facility can now transport the decelerated heavy highly charged ions to the experiments or supply them offline with medium-heavy highly charged ions from the EBIT, both at energies as low as a few keV/charge. Here we present the design of the 20 m long beamline with the corresponding beam instrumentation, as well as its performance in terms of energy and transport efficiency
On the mechanism of charge transport in low density polyethylene
Upadhyay, Avnish K.; Reddy, C. C.
2017-08-01
Polyethylene based polymeric insulators, are being increasingly used in the power industry for their inherent advantages over conventional insulation materials. Specifically, modern power cables are almost made with these materials, replacing the mass-impregnated oil-paper cable technology. However, for ultra-high dc voltage applications, the use of these polymeric cables is hindered by ununderstood charge transport and accumulation. The conventional conduction mechanisms (Pool-Frenkel, Schottky, etc.) fail to track high-field charge transport in low density polyethylene, which is semi-crystalline in nature. Until now, attention was devoted mainly to the amorphous region of the material. In this paper, authors propose a novel mechanism for conduction in low density polyethylene, which could successfully track experimental results. As an implication, a novel, substantial relationship is established for electrical conductivity that could be effectively used for understanding conduction and breakdown in polyethylene, which is vital for successful development of ultra-high voltage dc cables.
Free-space optical communications with peak and average constraints: High SNR capacity approximation
Chaaban, Anas; Morvan, Jean-Marie; Alouini, Mohamed-Slim
2015-01-01
. Numerical evaluation shows that this capacity lower bound is nearly tight at high signal-to-noise ratio (SNR), while it is shown analytically that the gap to capacity upper bounds is a small constant at high SNR. In particular, the gap to the high
Development of a Microcontroller-based Battery Charge Controller for an Off-grid Photovoltaic System
Rina, Z. S.; Amin, N. A. M.; Hashim, M. S. M.; Majid, M. S. A.; Rojan, M. A.; Zaman, I.
2017-08-01
A development of a microcontroller-based charge controller for a 12V battery has been explained in this paper. The system is designed based on a novel algorithm to couple existing solar photovoltaic (PV) charging and main grid supply charging power source. One of the main purposes of the hybrid charge controller is to supply a continuous charging power source to the battery. Furthermore, the hybrid charge controller was developed to shorten the battery charging time taken. The algorithm is programmed in an Arduino Uno R3 microcontroller that monitors the battery voltage and generates appropriate commands for the charging power source selection. The solar energy is utilized whenever the solar irradiation is high. The main grid supply will be only consumed whenever the solar irradiation is low. This system ensures continuous charging power supply and faster charging of the battery.
Compact, Low-Power, and High-Speed Graphene-Based Integrated Photonic Modulator Technology
2017-11-02
Compact, Low-Power, and High-Speed Graphene- Based Integrated Photonic Modulator Technology The views, opinions and/or findings contained in this...Graphene-Based Integrated Photonic Modulator Technology Report Term: 0-Other Email: sorger@gwu.edu Distribution Statement: 1-Approved for public release...which is an all-time record at Georgia Tech. Protocol Activity Status: Technology Transfer: Nothing to Report PARTICIPANTS: Person Months Worked
Assessment of broadband SNR estimation for hearing aid applications
DEFF Research Database (Denmark)
May, Tobias; Kowalewski, Borys; Fereczkowski, Michal
2017-01-01
was systematically investigated. The most accurate approach utilized an estimation of the clean speech power spectral density (PSD) and the noisy speech power across a sliding window of 1280 ms and achieved an total SNR estimation error below 3 dB across a wide variety of background noises and input SNRs......An accurate estimation of the broadband input signal-to-noise ratio (SNR) is a prerequisite for many hearing-aid algorithms. An extensive comparison of three SNR estimation algorithms was performed. Moreover, the influence of the duration of the analysis window on the SNR estimation performance...
Shuenn-Yuh Lee; Chih-Jen Cheng; Ming-Chun Liang
2011-08-01
In this paper, wireless telemetry using the near-field coupling technique with round-wire coils for an implanted cardiac microstimulator is presented. The proposed system possesses an external powering amplifier and an internal bidirectional microstimulator. The energy of the microstimulator is provided by a rectifier that can efficiently charge a rechargeable device. A fully integrated regulator and a charge pump circuit are included to generate a stable, low-voltage, and high-potential supply voltage, respectively. A miniature digital processor includes a phase-shift-keying (PSK) demodulator to decode the transmission data and a self-protective system controller to operate the entire system. To acquire the cardiac signal, a low-voltage and low-power monitoring analog front end (MAFE) performs immediate threshold detection and data conversion. In addition, the pacing circuit, which consists of a pulse generator (PG) and its digital-to-analog (D/A) controller, is responsible for stimulating heart tissue. The chip was fabricated by Taiwan Semiconductor Manufacturing Company (TSMC) with 0.35-μm complementary metal-oxide semiconductor technology to perform the monitoring and pacing functions with inductively powered communication. Using a model with lead and heart tissue on measurement, a -5-V pulse at a stimulating frequency of 60 beats per minute (bpm) is delivered while only consuming 31.5 μW of power.
Wu, Mengxin; Liu, Qingwen; Chen, Jiageng; He, Zuyuan
2017-04-01
Pound-Drever-Hall (PDH) technique has been widely adopted for ultrahigh resolution fiber-optic sensors, but its performance degenerates seriously as the light power drops. To solve this problem, we developed a coherent PDH technique for weak optical signal detection, with which the signal-to-noise ratio (SNR) of demodulated PDH signal is dramatically improved. In the demonstrational experiments, a high resolution fiber-optic sensor using the proposed technique is realized, and n"-order strain resolution at a low light power down to -43 dBm is achieved, which is about 15 dB lower compared with classical PDH technique. The proposed coherent PDH technique has great potentials in longer distance and larger scale sensor networks.
Directory of Open Access Journals (Sweden)
Yuyu Geng
2017-01-01
Full Text Available In the application of rail transit vehicles, when using typical wireless power transfer (WPT systems with series–series (SS compensation supply power for supercapacitors, the output current is in an approximately inverse relationship with the duty cycle in a wide range. This renders the typical buck circuit control inappropriate. In order to help resolve the above issues, this paper designs inductor/capacitor/capacitor (LCC compensation with new compensation parameters, which can achieve an adjustable quasi-constant voltage from the input of the inverter to the output of the rectifier. In addition, the two-port network method is used to analyze the resonant compensation circuit. The analysis shows that LCC compensation is more suitable for the WPT system using the supercapacitor as the energy storage device. In the case of LCC compensation topology combined with the charging characteristics of the supercapacitor, an efficient charging strategy is designed, namely first constant current charging, followed by constant power charging. Based on the analysis of LCC compensation, the system has an optimal load, by which the system works at the maximum efficiency point. Combined with the characteristics of the constant voltage output, the system can maintain high efficiency in the constant power stage by making constant output power the same as the optimal power point. Finally, the above design is verified through experiments.
Charge transport in poly(p-phenylene vinylene) at low temperature and high electric field
Katsouras, I.; Najafi, A.; Asadi, K.; Kronemeijer, A. J.; Oostra, A. J.; Koster, L. J. A.; de Leeuw, D. M.; Blom, P. W. M.
Charge transport in poly(2-methoxy, 5-(2'-ethyl-hexyloxy)-p-phenylene vinylene) (MEH-PPV)-based hole-only diodes is investigated at high electric fields and low temperatures using a novel diode architecture. Charge carrier densities that are in the range of those in a field-effect transistor are
An Integrated Chip High-Voltage Power Receiver for Wireless Biomedical Implants
Directory of Open Access Journals (Sweden)
Vijith Vijayakumaran Nair
2015-06-01
Full Text Available In near-field wireless-powered biomedical implants, the receiver voltage largely overrides the compliance of low-voltage power receiver systems. To limit the induced voltage, generally, low-voltage topologies utilize limiter circuits, voltage clippers or shunt regulators, which are power-inefficient methods. In order to overcome the voltage limitation and improve power efficiency, we propose an integrated chip high-voltage power receiver based on the step down approach. The topology accommodates voltages as high as 30 V and comprises a high-voltage semi-active rectifier, a voltage reference generator and a series regulator. Further, a battery management circuit that enables safe and reliable implant battery charging based on analog control is proposed and realized. The power receiver is fabricated in 0.35-μm high-voltage Bipolar-CMOS-DMOStechnology based on the LOCOS0.35-μm CMOS process. Measurement results indicate 83.5% power conversion efficiency for a rectifier at 2.1 mA load current. The low drop-out regulator based on the current buffer compensation and buffer impedance attenuation scheme operates with low quiescent current, reduces the power consumption and provides good stability. The topology also provides good power supply rejection, which is adequate for the design application. Measurement results indicate regulator output of 4 ± 0.03 V for input from 5 to 30 V and 10 ± 0.05 V output for input from 11 to 30 V with load current 0.01–100 mA. The charger circuit manages the charging of the Li-ion battery through all if the typical stages of the Li-ion battery charging profile.
Directory of Open Access Journals (Sweden)
Crawford E.J.
2008-01-01
Full Text Available We present the results of new moderate resolution ATCA observations of SNR J0455-6838. We found that this SNR exhibits a mostly typical appearance with rather steep and curved α=-0.81±0.18 and D=43×31±1 pc. Regions of high polarization were detected, including unusually strong (~70% region corresponding to the northern breakout. Such a strong polarization in breakout regions has not been observed in any other SNR.
Measurement of power loss during electric vehicle charging and discharging
International Nuclear Information System (INIS)
Apostolaki-Iosifidou, Elpiniki; Codani, Paul; Kempton, Willett
2017-01-01
When charging or discharging electric vehicles, power losses occur in the vehicle and the building systems supplying the vehicle. A new use case for electric vehicles, grid services, has recently begun commercial operation. Vehicles capable of such application, called Grid-Integrated Vehicles, may have use cases with charging and discharging summing up to much more energy transfer than the charging only use case, so measuring and reducing electrical losses is even more important. In this study, the authors experimentally measure and analyze the power losses of a Grid-Integrated Vehicle system, via detailed measurement of the building circuits, power feed components, and of sample electric vehicle components. Under the conditions studied, measured total one-way losses vary from 12% to 36%, so understanding loss factors is important to efficient design and use. Predominant losses occur in the power electronics used for AC-DC conversion. The electronics efficiency is lowest at low power transfer and low state-of-charge, and is lower during discharging than charging. Based on these findings, two engineering design approaches are proposed. First, optimal sizing of charging stations is analyzed. Second, a dispatch algorithm for grid services operating at highest efficiency is developed, showing 7.0% to 9.7% less losses than the simple equal dispatch algorithm. - Highlights: • Grid-to-battery-to-grid comprehensive power loss measurement and analysis. • No previous experimental measurements of Grid-Integrated Vehicle system power loss. • Electric vehicle loss analyzed as a factor of state of charge and charging rate. • Power loss in the building components less than 3%. • Largest losses found in Power Electronics (typical round-trip loss 20%).
Impact of Uncoordinated Plug-in Electric Vehicle Charging on Residential Power Demand
Energy Technology Data Exchange (ETDEWEB)
Muratori, Matteo [National Renewable Energy Laboratory (NREL), Golden, CO (United States)
2018-01-22
Electrification of transport offers opportunities to increase energy security, reduce carbon emissions, and improve local air quality. Plug-in electric vehicles (PEVs) are creating new connections between the transportation and electric sectors, and PEV charging will create opportunities and challenges in a system of growing complexity. Here, I use highly resolved models of residential power demand and PEV use to assess the impact of uncoordinated in-home PEV charging on residential power demand. While the increase in aggregate demand might be minimal even for high levels of PEV adoption, uncoordinated PEV charging could significantly change the shape of the aggregate residential demand, with impacts for electricity infrastructure, even at low adoption levels. Clustering effects in vehicle adoption at the local level might lead to high PEV concentrations even if overall adoption remains low, significantly increasing peak demand and requiring upgrades to the electricity distribution infrastructure. This effect is exacerbated when adopting higher in-home power charging.
Impact of uncoordinated plug-in electric vehicle charging on residential power demand
Muratori, Matteo
2018-03-01
Electrification of transport offers opportunities to increase energy security, reduce carbon emissions, and improve local air quality. Plug-in electric vehicles (PEVs) are creating new connections between the transportation and electric sectors, and PEV charging will create opportunities and challenges in a system of growing complexity. Here, I use highly resolved models of residential power demand and PEV use to assess the impact of uncoordinated in-home PEV charging on residential power demand. While the increase in aggregate demand might be minimal even for high levels of PEV adoption, uncoordinated PEV charging could significantly change the shape of the aggregate residential demand, with impacts for electricity infrastructure, even at low adoption levels. Clustering effects in vehicle adoption at the local level might lead to high PEV concentrations even if overall adoption remains low, significantly increasing peak demand and requiring upgrades to the electricity distribution infrastructure. This effect is exacerbated when adopting higher in-home power charging.
Longitudinal and transverse space charge limitations on transport of maximum power beams
International Nuclear Information System (INIS)
Khoe, T.K.; Martin, R.L.
1977-01-01
The maximum transportable beam power is a critical issue in selecting the most favorable approach to generating ignition pulses for inertial fusion with high energy accelerators. Maschke and Courant have put forward expressions for the limits on transport power for quadrupole and solenoidal channels. Included in a more general way is the self consistent effect of space charge defocusing on the power limit. The results show that no limits on transmitted power exist in principal. In general, quadrupole transport magnets appear superior to solenoids except for transport of very low energy and highly charged particles. Longitudinal space charge effects are very significant for transport of intense beams
Charging electric vehicles from solar energy : Power converter, charging algorithm and system design
Chandra Mouli, G.R.
2018-01-01
Electric vehicles are only sustainable if the electricity used to charge them comes from renewable sources and not from fossil fuel based power plants. The goal of this PhD thesis is to develop a highly efficient, V2G-enabled smart charging system for electric vehicles at
Highly Selective and Sensitive Self-Powered Glucose Sensor Based on Capacitor Circuit.
Slaughter, Gymama; Kulkarni, Tanmay
2017-05-03
Enzymatic glucose biosensors are being developed to incorporate nanoscale materials with the biological recognition elements to assist in the rapid and sensitive detection of glucose. Here we present a highly sensitive and selective glucose sensor based on capacitor circuit that is capable of selectively sensing glucose while simultaneously powering a small microelectronic device. Multi-walled carbon nanotubes (MWCNTs) is chemically modified with pyrroloquinoline quinone glucose dehydrogenase (PQQ-GDH) and bilirubin oxidase (BOD) at anode and cathode, respectively, in the biofuel cell arrangement. The input voltage (as low as 0.25 V) from the biofuel cell is converted to a stepped-up power and charged to the capacitor to the voltage of 1.8 V. The frequency of the charge/discharge cycle of the capacitor corresponded to the oxidation of glucose. The biofuel cell structure-based glucose sensor synergizes the advantages of both the glucose biosensor and biofuel cell. In addition, this glucose sensor favored a very high selectivity towards glucose in the presence of competing and non-competing analytes. It exhibited unprecedented sensitivity of 37.66 Hz/mM.cm 2 and a linear range of 1 to 20 mM. This innovative self-powered glucose sensor opens new doors for implementation of biofuel cells and capacitor circuits for medical diagnosis and powering therapeutic devices.
Energy Technology Data Exchange (ETDEWEB)
Guang, D.
1992-01-01
The electrostatic charges and charge distributions on individual flyash particles were experimentally measured in situ at four power stations in New South Wales and in the laboratory with an Electrostatic Charge Classifier. The global charge of these flyashes was also measured. The electrostatic charge on flyash particles of four power stations was found to be globally native. The median charge on the flyash particles varies linearly with particle diameter for all four flyashes. The electrostatic charge on the Tallawarra flyash particles was found to increase after passage through the air heater having huge metal surface areas, suggesting that triboelectrification was the primary charging mechanism for flyash particles. Distinctly different characteristics of the electrostatic charge, particle size and particle shape were found between the Eraring and the Tallawarra flyashes. The spherical Eraring ash has the highest proportion of lines and positively charged particles, but the lowest global charge level among the four flyashes. In contrast, the Tallawarra flyash has just the opposite. It is the distinct characteristics of the flyashes from Eraring and Tallawarra power stations that are responsible for the significant differences in their baghouse performance. The napping feature on the surface of the filter bags used in the Eraring and Tallawarra power stations provides an upstream surface of low fibre density above the fabric bulk. This feature presents and advantage to highly charged particles, like the Tallawarra flyash particles. Highly charged particles tend to deposit on such an upstream surface resulting in a porous dust cake with much less contact areas with the fabric medium than would otherwise be formed. This cake is easy to remove and provides less resistance to the gas flow. After singeing the naps on the filter bag surface at the Eraring power station, the problems of high pressure drop and retention of dust cake on the bas surface have been resolved.
Aloulou, R.; De Peslouan, P.-O. Lucas; Mnif, H.; Alicalapa, F.; Luk, J. D. Lan Sun; Loulou, M.
2016-05-01
Energy Harvesting circuits are developed as an alternative solution to supply energy to autonomous sensor nodes in Wireless Sensor Networks. In this context, this paper presents a micro-power management system for multi energy sources based on a novel design of charge pump circuit to allow the total autonomy of self-powered sensors. This work proposes a low-voltage and high performance charge pump (CP) suitable for implementation in standard complementary metal oxide semiconductor (CMOS) technologies. The CP design was implemented using Cadence Virtuoso with AMS 0.35μm CMOS technology parameters. Its active area is 0.112 mm2. Consistent results were obtained between the measured findings of the chip testing and the simulation results. The circuit can operate with an 800 mV supply and generate a boosted output voltage of 2.835 V with 1 MHz as frequency.
Naresh, P; Hitesh, C; Patel, A; Kolge, T; Sharma, Archana; Mittal, K C
2013-08-01
A fourth order (LCLC) resonant converter based capacitor charging power supply (CCPS) is designed and developed for pulse power applications. Resonant converters are preferred t utilize soft switching techniques such as zero current switching (ZCS) and zero voltage switching (ZVS). An attempt has been made to overcome the disadvantages in 2nd and 3rd resonant converter topologies; hence a fourth order resonant topology is used in this paper for CCPS application. In this paper a novel fourth order LCLC based resonant converter has been explored and mathematical analysis carried out to calculate load independent constant current. This topology provides load independent constant current at switching frequency (fs) equal to resonant frequency (fr). By changing switching condition (on time and dead time) this topology has both soft switching techniques such as ZCS and ZVS for better switching action to improve the converter efficiency. This novel technique has special features such as low peak current through switches, DC blocking for transformer, utilizing transformer leakage inductance as resonant component. A prototype has been developed and tested successfully to charge a 100 μF capacitor to 200 V.
An ultra low-power off-line APDM-based switchmode power supply with very high conversion efficiency
DEFF Research Database (Denmark)
Nielsen, Nils
2001-01-01
This article describes the results from the research work on design of a ultra low power off-line power supply with very high conversion efficiency. The input voltage is 230 VAC nominal and output voltage is 5 VDC. By ultra low power levels, an output power level in the area ranging from 50 m......W and up to 1000 mW is meant. The small power supply is intended for use as a standby power supply in mains operated equipment, which requires a small amount of power in standby mode....
Energy Technology Data Exchange (ETDEWEB)
Leach, R.R.; Schultz, C.; Dowla, F.
1997-07-15
Development of a worldwide network to monitor seismic activity requires deployment of seismic sensors in areas which have not been well studied or may have from available recordings. Development and testing of detection and discrimination algorithms requires a robust representative set of calibrated seismic events for a given region. Utilizing events with poor signal-to-noise (SNR) can add significant numbers to usable data sets, but these events must first be adequately filtered. Source and path effects can make this a difficult task as filtering demands are highly varied as a function of distance, event magnitude, bearing, depth etc. For a given region, conventional methods of filter selection can be quite subjective and may require intensive analysis of many events. In addition, filter parameters are often overly generalized or contain complicated switching. We have developed a method to provide an optimized filter for any regional or teleseismically recorded event. Recorded seismic signals contain arrival energy which is localized in frequency and time. Localized temporal signals whose frequency content is different from the frequency content of the pre-arrival record are identified using rms power measurements. The method is based on the decomposition of a time series into a set of time series signals or scales. Each scale represents a time-frequency band with a constant Q. SNR is calculated for a pre-event noise window and for a window estimated to contain the arrival. Scales with high SNR are used to indicate the band pass limits for the optimized filter.The results offer a significant improvement in SNR particularly for low SNR events. Our method provides a straightforward, optimized filter which can be immediately applied to unknown regions as knowledge of the geophysical characteristics is not required. The filtered signals can be used to map the seismic frequency response of a region and may provide improvements in travel-time picking, bearing estimation
A High-Power Wireless Charging System Development and Integration for a Toyota RAV4 Electric Vehicle
Energy Technology Data Exchange (ETDEWEB)
Onar, Omer C [ORNL; Seiber, Larry Eugene [ORNL; White, Cliff P [ORNL; Chinthavali, Madhu Sudhan [ORNL; Campbell, Steven L [ORNL
2016-01-01
Several wireless charging methods are underdevelopment or available as an aftermarket option in the light-duty automotive market. However, there are not many studies detailing the vehicle integrations, particularly a complete vehicle integration with higher power levels. This paper presents the development, implementation, and vehicle integration of a high-power (>10 kW) wireless power transfer (WPT)-based electric vehicle (EV) charging system for a Toyota RAV4 vehicle. The power stages of the system are introduced with the design specifications and control systems including the active front-end rectifier with power factor correction (PFC), high frequency power inverter, high frequency isolation transformer, coupling coils, vehicle side full-bridge rectifier and filter, and the vehicle battery. The operating principles of the control, communications, and protection systems are also presented in addition to the alignment and the driver interface system. The physical limitations of the system are also defined that would prevent the system operating at higher levels. The experiments are carried out using the integrated vehicle and the results obtained to demonstrate the system performance including the stage-by-stage efficiencies with matched and interoperable primary and secondary coils.
Dong, Kai; Wang, Yi-Cheng; Deng, Jianan; Dai, Yejing; Zhang, Steven L; Zou, Haiyang; Gu, Bohong; Sun, Baozhong; Wang, Zhong Lin
2017-09-26
Rapid advancements in stretchable and multifunctional wearable electronics impose a challenge on corresponding power devices that they should have comparable portability and stretchability. Here, we report a highly stretchable and washable all-yarn-based self-charging knitting power textile that enables both biomechanical energy harvesting and simultaneously energy storing by hybridizing triboelectrical nanogenerator (TENG) and supercapacitor (SC) into one fabric. With the weft-knitting technique, the power textile is qualified with high elasticity, flexibility, and stretchability, which can adapt to complex mechanical deformations. The knitting TENG fabric is able to generate electric energy with a maximum instantaneous peak power density of ∼85 mW·m -2 and light up at least 124 light-emitting diodes. The all-solid-state symmetrical yarn SC exhibits lightweight, good capacitance, high flexibility, and excellent mechanical and long-term stability, which is suitable for wearable energy storage devices. The assembled knitting power textile is capable of sustainably driving wearable electronics (for example, a calculator or temperature-humidity meter) with energy converted from human motions. Our work provides more opportunities for stretchable multifunctional power sources and potential applications in wearable electronics.
Energy Technology Data Exchange (ETDEWEB)
Ma, Lu; Zhang, Dongzhou [Partnership; Lei, Yu [Department; Yuan, Yifei; Wu, Tianpin; Lu, Jun; Amine, Khalil
2018-01-05
The superoxide based Na-O-2 battery has circumvented the issue of large charge overpotential in Li-O-2 batteries; however, the one-electron process leads to limited capacity. Herein, a sodium peroxide based low-overpotential (similar to 0.5 V) Na-O-2 battery with a capacity as high as 7.5 mAh/cm(2) is developed with Pd nanoparticles as catalysts on the cathode.
International Nuclear Information System (INIS)
Gray, T.J.; Cocke, C.L.; Justiniano, E.
1980-01-01
We report measured cross sections for the collisional production of highly charged low-velocity Ne recoil ions resulting from the bombardment of a thin Ne gas target by highly charged 1-MeV/amu C, N, O, and F projectiles. The measurements were made using time-of-flight techniques which allowed the simultaneous identification of the final charge state of both the low-velocity recoil ion and the high-velocity projectile for each collision event. For a given incident-projectile charge state, the recoil charge-state distribution is very dependent upon the final charge state of the projectile. Single- and double-electron capture events by incident bare nuclei and projectile K-shell ionization during the collision cause large shifts in the recoil charge-state distributions toward higher charge states. A previously proposed energy-deposition model is modified to include the effects of projectile charge-changing collisions during the collision for bare and hydrogenlike projectiles and is used to discuss the present experimental results
Rakia, Tamer
2015-07-23
Hybrid free-space optical (FSO)/radio-frequency (RF) systems have emerged as a promising solution for high-data-rate wireless communications. In this paper, we consider power adaptation strategies based on truncated channel inversion for the hybrid FSO/RF system employing adaptive combining. Specifically, we adaptively set the RF link transmission power when FSO link quality is unacceptable to ensure constant combined signal-to-noise ratio (SNR) at the receiver. Two adaptation strategies are proposed. One strategy depends on the received RF SNR, whereas the other one depends on the combined SNR of both links. Analytical expressions for the outage probability of the hybrid system with and without power adaptation are obtained. Numerical examples show that the hybrid FSO/RF system with power adaptation achieves a considerable outage performance improvement over the conventional system.
Rakia, Tamer; Hong-Chuan Yang; Gebali, Fayez; Alouini, Mohamed-Slim
2015-01-01
Hybrid free-space optical (FSO)/radio-frequency (RF) systems have emerged as a promising solution for high-data-rate wireless communications. In this paper, we consider power adaptation strategies based on truncated channel inversion for the hybrid FSO/RF system employing adaptive combining. Specifically, we adaptively set the RF link transmission power when FSO link quality is unacceptable to ensure constant combined signal-to-noise ratio (SNR) at the receiver. Two adaptation strategies are proposed. One strategy depends on the received RF SNR, whereas the other one depends on the combined SNR of both links. Analytical expressions for the outage probability of the hybrid system with and without power adaptation are obtained. Numerical examples show that the hybrid FSO/RF system with power adaptation achieves a considerable outage performance improvement over the conventional system.
Kang, Sonia K; Galinsky, Adam D; Kray, Laura J; Shirako, Aiwa
2015-05-01
The current research examines how power affects performance in pressure-filled contexts. We present low-power-threat and high-power-lift effects, whereby performance in high-stakes situations suffers or is enhanced depending on one's power; that is, the power inherent to a situational role can produce effects similar to stereotype threat and lift. Three negotiations experiments demonstrate that role-based power affects outcomes but only when the negotiation is diagnostic of ability and, therefore, pressure-filled. We link these outcomes conceptually to threat and lift effects by showing that (a) role power affects performance more strongly when the negotiation is diagnostic of ability and (b) underperformance disappears when the low-power negotiator has an opportunity to self-affirm. These results suggest that stereotype threat and lift effects may represent a more general phenomenon: When the stakes are raised high, relative power can act as either a toxic brew (stereotype/low-power threat) or a beneficial elixir (stereotype/high-power lift) for performance. © 2015 by the Society for Personality and Social Psychology, Inc.
New method to extract radial acceleration of target from short-duration signal at low SNR
Institute of Scientific and Technical Information of China (English)
2008-01-01
In order to extract target radial acceleration from radar echo signal at low SNR (signal-to-noise), this paper employed FRFT (fractional Fourier transformation) to analyze short-duration radar echo and studied the relations between signal convergence peaks in matched transformation domain and signal duration and modu- lated frequency of signal. When signal duration is specified, the method of multi- plying sampled signal by the known frequency modulated signal to alter modulated frequency was presented, which generated the new signal with larger convergence peaks than the initial signal in matched transformation domain. Thus, it could successfully estimate the radial acceleration of radar target at low SNR. Simulations were conducted to show the feasibility and effectiveness of the method.
International Nuclear Information System (INIS)
Wehmann, U.K.
1987-01-01
The paper describes in its first part the main characteristics of the core of the SNR 2 fast breeder reactor which is being planned within the European collaboration on fast breeder reactors. In the second part some core design aspects are discussed. The fuel element management with an inwards shuffling after each cycle is illustrated which offers advantages with respect to linear rating, steel damage and average discharge burnup. For this management, the full three-dimensional power and burnup history has been calculated and some typical results are presented. The shutdown requirements and the capabilities of the two shutdown systems of SNR 2 are discussed. The necessity for a reliable surveillance of the power distribution is demonstrated by the pronounced power tilts in case of the unintentional withdrawal of an absorber rod. Finally, a short review of the main nuclear design methods and their validation with help of the evaluation of experiments in zero power facilities and power reactors is given
Radiative recombination of highly charged ions: Enhanced rates at low energies
International Nuclear Information System (INIS)
Frank, A.; Mueller, A.; Haselbauer, J.; Schennach, S.; Spies, W.; Uwira, O.; Wagner, M.
1992-01-01
In a single-pass merged-beams experiment employing a dense cold electron target recombination of highly charged ions is studied. Unexpected high recombination rates are observed at low energies E cm in the electron-ion center-of-mass frame. In particular, theoretical estimates for radiative recombination are dramatically exceeded by the experimental recombination rates at E cm =0 eV for U 28+ and for Au 25+ ions. Considerable rate enhancement is also observed for Ar 15+ . This points to a general phenomenon which has to be interpreted as a consequence of high electron densities, low electron beam temperatures, high ion charge states and presence of strong magnetic fields. (orig.)
High-Sensitivity and Low-Power Flexible Schottky Hydrogen Sensor Based on Silicon Nanomembrane.
Cho, Minkyu; Yun, Jeonghoon; Kwon, Donguk; Kim, Kyuyoung; Park, Inkyu
2018-04-18
High-performance and low-power flexible Schottky diode-based hydrogen sensor was developed. The sensor was fabricated by releasing Si nanomembrane (SiNM) and transferring onto a plastic substrate. After the transfer, palladium (Pd) and aluminum (Al) were selectively deposited as a sensing material and an electrode, respectively. The top-down fabrication process of flexible Pd/SiNM diode H 2 sensor is facile compared to other existing bottom-up fabricated flexible gas sensors while showing excellent H 2 sensitivity (Δ I/ I 0 > 700-0.5% H 2 concentrations) and fast response time (τ 10-90 = 22 s) at room temperature. In addition, selectivity, humidity, and mechanical tests verify that the sensor has excellent reliability and robustness under various environments. The operating power consumption of the sensor is only in the nanowatt range, which indicates its potential applications in low-power portable and wearable electronics.
Kobayashi, Kenya; Sudo, Masaki; Omura, Ichiro
2018-04-01
Field-plate trench MOSFETs (FP-MOSFETs), with the features of ultralow on-resistance and very low gate–drain charge, are currently the mainstream of high-performance applications and their advancement is continuing as low-voltage silicon power devices. However, owing to their structure, their output capacitance (C oss), which leads to main power loss, remains to be a problem, especially in megahertz switching. In this study, we propose a structure-based capacitance model of FP-MOSFETs for calculating power loss easily under various conditions. Appropriate equations were modeled for C oss curves as three divided components. Output charge (Q oss) and stored energy (E oss) that were calculated using the model corresponded well to technology computer-aided design (TCAD) simulation, and we validated the accuracy of the model quantitatively. In the power loss analysis of FP-MOSFETs, turn-off loss was sufficiently suppressed, however, mainly Q oss loss increased depending on switching frequency. This analysis reveals that Q oss may become a significant issue in next-generation high-efficiency FP-MOSFETs.
Chaaban, Anas
2016-09-15
An optical wireless communications system which employs either intensity-modulation and direct-detection (IM-DD) or heterodyne detection (HD) is considered. IM-DD has lower complexity and cost than HD, but on the other hand, has lower capacity. It is therefore interesting to investigate the capacity gap between the two systems. The main focus of this paper is to investigate this gap at high SNR. Bounds on this gap are established for two cases: between IM-DD and HD, and between IM-DD and an HD-PAM which is an HD system employing pulse-amplitude modulation (PAM). While the gap between IM-DD and HD increases as the signal-to-noise ratio (SNR) increases, the gap between IM-DD and an HD-PAM is upper bounded by a constant at high SNR. © 2015 IEEE.
Chaaban, Anas; Alouini, Mohamed-Slim
2016-01-01
An optical wireless communications system which employs either intensity-modulation and direct-detection (IM-DD) or heterodyne detection (HD) is considered. IM-DD has lower complexity and cost than HD, but on the other hand, has lower capacity. It is therefore interesting to investigate the capacity gap between the two systems. The main focus of this paper is to investigate this gap at high SNR. Bounds on this gap are established for two cases: between IM-DD and HD, and between IM-DD and an HD-PAM which is an HD system employing pulse-amplitude modulation (PAM). While the gap between IM-DD and HD increases as the signal-to-noise ratio (SNR) increases, the gap between IM-DD and an HD-PAM is upper bounded by a constant at high SNR. © 2015 IEEE.
Compact high-power terahertz radiation source
Directory of Open Access Journals (Sweden)
G. A. Krafft
2004-06-01
Full Text Available In this paper a new type of THz radiation source, based on recirculating an electron beam through a high gradient superconducting radio frequency cavity, and using this beam to drive a standard electromagnetic undulator on the return leg, is discussed. Because the beam is recirculated and not stored, short bunches may be produced that radiate coherently in the undulator, yielding exceptionally high average THz power for relatively low average beam power. Deceleration from the coherent emission, and the detuning it causes, limits the charge-per-bunch possible in such a device.
International Nuclear Information System (INIS)
Kelkar, Y.; Singh, Y.P.; Thakurta, A.C.
2013-01-01
The capacitor charging power supply (CCPS) was developed to charge bank of 150uF energy storage capacitor (15uf , 10 nos in parallel) upto 1kV in 35 ms exhibiting a peak charging power of 2 kJ/s at a repetition rate of 25 pps. A CCPS observes a large change in load variations at the output. Initially the capacitor will act as a short circuit so the topology must be such that it should withstand short circuit condition repetitively. The High Voltage capacitor charging power supply consist of two identical full bridge resonant converters feeding to two primary windings of a transformer with rectified secondary connected to capacitor load. Topology selection is based on the fact that the series resonant converter with switching frequency f s , below 50% of the resonant frequency f r (f s ≤ 0.5 f r ) act as a current source. (author)
Charge-sharing SAR ADCs for low-voltage low-power applications
Rabuske, Taimur
2017-01-01
This book introduces readers to the potential of charge-sharing (CS) successive approximation register (SAR) analog-to-digital converters (ADCs), while providing extensive analysis of the factors that limit the performance of the CS topology. The authors present guidelines and useful techniques for mitigating the limitations of the architecture, while focusing on the implementation under restricted power budgets and voltage supplies.
MIMO channel capacity with full CSI at Low SNR
Tall, Abdoulaye
2012-10-01
In this paper, we characterize the ergodic capacity of Multiple Input Multiple Output (MIMO) Rayleigh fading channels with full channel state information (CSI) at both the transmitter (CSI-T) and the receiver (CSI-R) at asymptotically low signal-to-noise ratio (SNR). A simple analytical expression of the capacity is derived for any number of transmit and receive antennas. This characterization clearly shows the substantial gain in terms of capacity over the no CSI-T case and gives a good insight on the effect of the number of antennas used. In addition, an On-Off transmission scheme is proposed and is shown to be asymptotically capacity-achieving. © 2012 IEEE.
The economic feasibility of renewable powered fast charging stations
Energy Technology Data Exchange (ETDEWEB)
Benger, Ralf; Heyne, Raoul; Wenzl, Heinz; Beck, Hans-Peter
2011-07-01
Electric vehicles will make an important contribution for a sustainable energy supply in the public transport sector. Although it is not sure at the moment which role the different vehicle concepts and charging options will play, it is possible to act on following assumptions: There will be purely electrically operated vehicles (EV), which will need a charging infrastructure in the public domain. Even if the number of these vehicles in comparison with hybrid electric vehicles (HEV) or range extended electric vehicles (REV) will be low, in the long run an amount of some million vehicles can be reached (1 0 % of the vehicles in Germany corresponds to round about 4 million vehicles). Charging stations in parking areas, shopping malls, at home or at work do not require high charging power because the time available for charging is relative long. In contrast charging stations beside these in normal parking areas should have the ability to charge the car batteries in a very short time, e.g. 80% of the energy content in 15 minutes or less. Therefore every charging process requires 100-200 kW electric power. Such charging stations are necessary both in rural and in urban regions.
Cloud-based design of high average power traveling wave linacs
Kutsaev, S. V.; Eidelman, Y.; Bruhwiler, D. L.; Moeller, P.; Nagler, R.; Barbe Welzel, J.
2017-12-01
The design of industrial high average power traveling wave linacs must accurately consider some specific effects. For example, acceleration of high current beam reduces power flow in the accelerating waveguide. Space charge may influence the stability of longitudinal or transverse beam dynamics. Accurate treatment of beam loading is central to the design of high-power TW accelerators, and it is especially difficult to model in the meter-scale region where the electrons are nonrelativistic. Currently, there are two types of available codes: tracking codes (e.g. PARMELA or ASTRA) that cannot solve self-consistent problems, and particle-in-cell codes (e.g. Magic 3D or CST Particle Studio) that can model the physics correctly but are very time-consuming and resource-demanding. Hellweg is a special tool for quick and accurate electron dynamics simulation in traveling wave accelerating structures. The underlying theory of this software is based on the differential equations of motion. The effects considered in this code include beam loading, space charge forces, and external magnetic fields. We present the current capabilities of the code, provide benchmarking results, and discuss future plans. We also describe the browser-based GUI for executing Hellweg in the cloud.
International Nuclear Information System (INIS)
Anders, Andre
2008-01-01
Self-sputtering runaway in high power impulse magnetron sputtering is closely related to the appearance of multiply charged ions. This conclusion is based on the properties of potential emission of secondary electrons and energy balance considerations. The effect is especially strong for materials whose sputtering yield is marginally greater than unity. The absolute deposition rate increases ∼Q 1/2 , whereas the rate normalized to the average power decreases ∼Q -1/2 , with Q being the mean ion charge state number
Wireless power transmission for battery charging
Mi, Chris; Li, Siqi; Nguyen, Trong-Duy; Wang, Junhua; Li, Jiangui; Li, Weihan; Xu, Jun
2016-11-15
A wireless power transmission system is provided for high power applications. The power transmission system is comprised generally of a charging unit configured to generate an alternating electromagnetic field and a receive unit configured to receive the alternating electromagnetic field from the charging unit. The charging unit includes a power source; an input rectifier; an inverter; and a transmit coil. The transmit coil has a spirangle arrangement segmented into n coil segments with capacitors interconnecting adjacent coil segments. The receive unit includes a receive coil and an output rectifier. The receive coil also has a spirangle arrangement segmented into m coil segments with capacitors interconnecting adjacent coil segments.
Best relay selection using SNR and interference quotient for underlay cognitive networks
Hussain, Syed Imtiaz
2012-06-01
Cognitive networks in underlay settings operate simultaneously with the primary networks satisfying stringent interference limits. This condition forces them to operate with low transmission powers and confines their area of coverage. In an effort to reach remote destinations, underlay cognitive sources make use of relaying techniques. Selecting the best relay among those who are ready to cooperate is different in underlay settings than traditional non-cognitive networks. In this paper, we present a relay selection scheme which uses the quotient of the relay link signal to noise ratio (SNR) and the interference generated from the relay to the primary user to choose the best relay. The proposed scheme optimizes this quotient in a way to maximize the relay link SNR above a certain value whereas the interference is kept below a defined threshold. We derive closed expressions for the outage probability and bit error probability of the system incorporating this scheme. Simulation results confirm the validity of the analytical results and reveal that the relay selection in cognitive environment is feasible in low SNR regions. © 2012 IEEE.
International Nuclear Information System (INIS)
Traube, K.
1976-01-01
The joint German-Belgian-Dutch loop-type 300 MW(e) LMFBR prototype is being constructed at Kalkar on the lower Rhine in Germany. Among the many arguments put forward in defense of SNR-300, that of acquiring licensing exprience has proven to be of major importance to the international breeder scene. The severity of the licensing procedures and of the safety standards imposed are unique in several respects, including timing: generally growing scepticism towards nuclear power increased severity of the licensing practice; organizational features: the procedure and criteria developed for commercial light water reactors have been applied without exemptions. This relates to the commercial-type contract under which SNR-300 is being built for private utilities by a private company; and German nuclear safety standards, known worldwide to be most stringent. The following three important areas are discussed in which SNR-300 decidedly deviates from its forerunners: protection against the hypothetical core disruptive accident (HCDA), protection against external events, and provisions for in-service inspection
Capacity Bounds and High-SNR Capacity of MIMO Intensity-Modulation Optical Channels
Chaaban, Anas
2018-02-19
The capacity of the intensity modulation direct detection multiple-input multiple-output channel is studied. Therein, the nonnegativity constraint of the transmit signal limits the applicability of classical schemes, including precoding. Thus, new ways are required for deriving capacity bounds for this channel. To this end, capacity lower bounds are developed in this paper by deriving the achievable rates of two precodingfree schemes: Channel inversion and QR decomposition. The achievable rate of a DC-offset SVD-based scheme is also derived as a benchmark. Then, capacity upper bounds are derived and compared against the lower bounds. As a result, the capacity at high signal-to-noise ratio (SNR) is characterized for the case where the number of transmit apertures is not larger than the number of receive apertures, and is shown to be achievable by the QR decomposition scheme. This is shown for a channel with average intensity or peak intensity constraints. Under both constraints, the high-SNR capacity is approximated within a small gap. Extensions to a channel with more transmit apertures than receive apertures are discussed, and capacity bounds for this case are derived.
Capacity Bounds and High-SNR Capacity of MIMO Intensity-Modulation Optical Channels
Chaaban, Anas; Rezki, Zouheir; Alouini, Mohamed-Slim
2018-01-01
The capacity of the intensity modulation direct detection multiple-input multiple-output channel is studied. Therein, the nonnegativity constraint of the transmit signal limits the applicability of classical schemes, including precoding. Thus, new ways are required for deriving capacity bounds for this channel. To this end, capacity lower bounds are developed in this paper by deriving the achievable rates of two precodingfree schemes: Channel inversion and QR decomposition. The achievable rate of a DC-offset SVD-based scheme is also derived as a benchmark. Then, capacity upper bounds are derived and compared against the lower bounds. As a result, the capacity at high signal-to-noise ratio (SNR) is characterized for the case where the number of transmit apertures is not larger than the number of receive apertures, and is shown to be achievable by the QR decomposition scheme. This is shown for a channel with average intensity or peak intensity constraints. Under both constraints, the high-SNR capacity is approximated within a small gap. Extensions to a channel with more transmit apertures than receive apertures are discussed, and capacity bounds for this case are derived.
Intelligibility for Binaural Speech with Discarded Low-SNR Speech Components.
Schoenmaker, Esther; van de Par, Steven
2016-01-01
Speech intelligibility in multitalker settings improves when the target speaker is spatially separated from the interfering speakers. A factor that may contribute to this improvement is the improved detectability of target-speech components due to binaural interaction in analogy to the Binaural Masking Level Difference (BMLD). This would allow listeners to hear target speech components within specific time-frequency intervals that have a negative SNR, similar to the improvement in the detectability of a tone in noise when these contain disparate interaural difference cues. To investigate whether these negative-SNR target-speech components indeed contribute to speech intelligibility, a stimulus manipulation was performed where all target components were removed when local SNRs were smaller than a certain criterion value. It can be expected that for sufficiently high criterion values target speech components will be removed that do contribute to speech intelligibility. For spatially separated speakers, assuming that a BMLD-like detection advantage contributes to intelligibility, degradation in intelligibility is expected already at criterion values below 0 dB SNR. However, for collocated speakers it is expected that higher criterion values can be applied without impairing speech intelligibility. Results show that degradation of intelligibility for separated speakers is only seen for criterion values of 0 dB and above, indicating a negligible contribution of a BMLD-like detection advantage in multitalker settings. These results show that the spatial benefit is related to a spatial separation of speech components at positive local SNRs rather than to a BMLD-like detection improvement for speech components at negative local SNRs.
FPGA Based Low Power Router Design Using High Speed Transeceiver Logic IO Standard
DEFF Research Database (Denmark)
Thind, Vandana; Hussain, Dil muhammed Akbar
2015-01-01
and information. Router is main component of computer networks is an intelligent device uses to transfer data packets between various computer networks. Router must consume low power to perform its work in an efficient manner. To achieve the same the work has been done to make a FPGA based low power design using...
18 CFR 420.51 - Hydroelectric power plant water use charges.
2010-04-01
... 18 Conservation of Power and Water Resources 2 2010-04-01 2010-04-01 false Hydroelectric power... BASIN COMMISSION ADMINISTRATIVE MANUAL BASIN REGULATIONS-WATER SUPPLY CHARGES Hydroelectric Power Water Use Charges § 420.51 Hydroelectric power plant water use charges. (a) Annual base charges. Owners of...
Low-leakage, high-current power crowbar transformer
International Nuclear Information System (INIS)
Buck, R.T.; Galbraith, J.D.; Nunnally, W.C.
1979-01-01
The design, fabrication, and testing of two sizes of power crowbar transformers for the ZT-40 Toroidal Z-Pinch experiment at the Los Alamos Scientific Laboratory are described. Low-leakage transformers in series with the poloidal and the toroidal field coils are used to sustain magnetic field currents initially produced by 50-kV capacitor banks. The transformer primaries are driven by cost-effective, ignitron-switched, 10-kV high-density capacitor banks. The transformer secondaries, in series with the field coils, provide from 1,000 to 1,500 V to cancel the resistive voltage drop in the coil circuits. Prototype transformers, with a total leakage inductance measured in the secondary of 5 nH, have been tested with peak secondary currents in excess of 600 kA resulting from a 10-kV primary charge voltage. The test procedures and results and the mechanical construction details are presented
International Nuclear Information System (INIS)
1984-06-01
The nuclear power station Kalkar (SNR-300) is a prototype with a sodium cooled fast reactor and a thermal power of 762 MW. The present plant description has been made available in parallel to the licensing procedure for the reactor plant and its core Mark-Ia as supplementary information for the public. The report gives a detailed description of the whole plant including the prevention measures against the impact of external and plant internal events. The radioactive materials within the reactor cooling system and the irradiation protection and surveillance measures are outlined. Finally, the operation of the plant is described with the start-up procedures, power operation, shutdown phases with decay heat removal and handling procedures
High-resolution X-ray spectra from low-temperature, highly charged ions
International Nuclear Information System (INIS)
Beiersdorfer, P.
1996-09-01
The electron beam ion traps (EBIT) at Livermore were designed for studying the x-ray emission of highly charged ions produced and excited by a monoenergetic electron beam. The precision with which the x-ray emission can be analyzed has recently been increased markedly when it became possible to decouple the temperature of the ions from the energy of the electron beam by several orders of magnitude. By adjusting the trap parameters, ion temperatures as low as 15.8±4.4 eV for Ti 20+ and 59.4±9.9 eV for Cs 45+ were achieved. These temperatures were more than two orders of magnitude lower than the energy of the multi-keV electron beam used for the production and excitation of the ions. A discussion of the techniques used to produce and study low-temperature highly charged ions is presented in this progress report. The low ion temperatures enabled measurements heretofore impossible. As an example, a direct observation of the natural line width of fast electric dipole allowed x-ray transitions is described. From the observed natural line width and b making use of the time-energy relations of the uncertainty principle we were able to determine a radiative transition rate of 1.65 fs for the 2p-3d resonance transition in neonlike Cs 45+ . A brief discussion of other high-precision measurements enabled by our new technique is also given
Directory of Open Access Journals (Sweden)
Ikponmwosa Oghogho
2017-04-01
Full Text Available The dependence of TCP downstream throughput (TCPdownT on signal to noise ratio (SNR in an IEEE802.11b WLAN system was investigated in various environments and varieties of QoS traffic. TCPdownT was measured for various SNR observed. An Infrastructure based IEEE802.11b WLAN system having networked computers on which measurement software were installed, was set up consecutively in various environments (open corridor, small offices with block walls and plaster boards and free space. Empirical models describing TCPdownT against SNR for different signal ranges (all ranges of signals, strong signals only, grey signals only and weak signals only were statistically generated and validated. As the SNR values changed from high (strong signals through low (grey signals to very low (weak signals, our results show a strong dependence of TCPdownT on the received SNR. Our models showed lower RMS errors when compared with other similar models. We observed RMS errors of 0.6734791 Mbps, 0.472209 Mbps, 0.9111563 Mbps and 0.5764460 Mbps for general (all SNR model, strong signals model, grey signals model and Weak signals model respectively. Our models will provide researchers and WLAN systems users with a tool to estimate the TCP downstream throughput in a real network in various environments by monitoring the received SNR.
Wei, Lei; Liu, Qi-Xuan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Lu, Hong-Liang; Jiang, Anquan; Zhang, David Wei
2016-12-01
Highly powered electrostatic capacitors based on nanostructures with a high aspect ratio are becoming critical for advanced energy storage technology because of their high burst power and energy storage capability. We report the fabrication process and the electrical characteristics of high capacitance density capacitors with three-dimensional solid-state nanocapacitors based on a ZnO nanowire template. Stand-up ZnO nanowires are grown face down on p-type Si substrates coated with a ZnO seed layer using a hydrothermal method. Stacks of AlZnO/Al2O3/AlZnO are then deposited sequentially on the ZnO nanowires using atomic layer deposition. The fabricated capacitor has a high capacitance density up to 92 fF/μm(2) at 1 kHz (around ten times that of the planar capacitor without nanowires) and an extremely low leakage current density of 3.4 × 10(-8) A/cm(2) at 2 V for a 5-nm Al2O3 dielectric. Additionally, the charge-discharge characteristics of the capacitor were investigated, indicating that the resistance-capacitance time constants were 550 ns for both the charging and discharging processes and the time constant was not dependent on the voltage. This reflects good power characteristics of the fabricated capacitors. Therefore, the current work provides an exciting strategy to fabricate low-cost and easily processable, high capacitance density capacitors for energy storage.
Target life time of laser ion source for low charge state ion production
Energy Technology Data Exchange (ETDEWEB)
Kanesue,T.; Tamura, J.; Okamura, M.
2008-06-23
Laser ion source (LIS) produces ions by irradiating pulsed high power laser shots onto the solid state target. For the low charge state ion production, laser spot diameter on the target can be over several millimeters using a high power laser such as Nd:YAG laser. In this case, a damage to the target surface is small while there is a visible crater in case of the best focused laser shot for high charge state ion production (laser spot diameter can be several tens of micrometers). So the need of target displacement after each laser shot to use fresh surface to stabilize plasma is not required for low charge state ion production. We tested target lifetime using Nd:YAG laser with 5 Hz repetition rate. Also target temperature and vacuum condition were recorded during experiment. The feasibility of a long time operation was verified.
Zainudin, W. N. R. A.; Ramli, N. A.
2017-09-01
In 2010, Energy Commission (EC) had introduced Incentive Based Regulation (IBR) to ensure sustainable Malaysian Electricity Supply Industry (MESI), promotes transparent and fair returns, encourage maximum efficiency and maintains policy driven end user tariff. To cater such revolutionary transformation, a sophisticated system to generate policy driven electricity tariff structure is in great need. Hence, this study presents a data analytics framework that generates altered revenue function based on varying power consumption distribution and tariff charge function. For the purpose of this study, the power consumption distribution is being proxy using proportion of household consumption and electricity consumed in KwH and the tariff charge function is being proxy using three-tiered increasing block tariff (IBT). The altered revenue function is useful to give an indication on whether any changes in the power consumption distribution and tariff charges will give positive or negative impact to the economy. The methodology used for this framework begins by defining the revenue to be a function of power consumption distribution and tariff charge function. Then, the proportion of household consumption and tariff charge function is derived within certain interval of electricity power. Any changes in those proportion are conjectured to contribute towards changes in revenue function. Thus, these changes can potentially give an indication on whether the changes in power consumption distribution and tariff charge function are giving positive or negative impact on TNB revenue. Based on the finding of this study, major changes on tariff charge function seems to affect altered revenue function more than power consumption distribution. However, the paper concludes that power consumption distribution and tariff charge function can influence TNB revenue to some great extent.
International Nuclear Information System (INIS)
Zhao Huidong; Hei Yong; Qiao Shushan; Ye Tianchun
2012-01-01
An optimized channel estimation algorithm based on a time-spread structure in OFDM low-voltage power line communication (PLC) systems is proposed to achieve a lower bit error rate (BER). This paper optimizes the best maximum multi-path delay of the linear minimum mean square error (LMMSE) algorithm in time-domain spread OFDM systems. Simulation results indicate that the BER of the improved method is lower than that of conventional LMMSE algorithm, especially when the signal-to-noise ratio (SNR) is lower than 0 dB. Both the LMMSE algorithm and the proposed algorithm are implemented and fabricated in CSMC 0.18 μm technology. This paper analyzes and compares the hardware complexity and performance of the two algorithms. Measurements indicate that the proposed channel estimator has better performance than the conventional estimator.
Coil Design for High Misalignment Tolerant Inductive Power Transfer System for EV Charging
Directory of Open Access Journals (Sweden)
Kafeel Ahmed Kalwar
2016-11-01
Full Text Available The inductive power transfer (IPT system for electric vehicle (EV charging has acquired more research interest in its different facets. However, the misalignment tolerance between the charging coil (installed in the ground and pick-up coil (mounted on the car chassis, has been a challenge and fundamental interest in the future market of EVs. This paper proposes a new coil design QDQ (Quad D Quadrature that maintains the high coupling coefficient and efficient power transfer during reasonable misalignment. The QDQ design makes the use of four adjacent circular coils and one square coil, for both charging and pick-up side, to capture the maximum flux at any position. The coil design has been modeled in JMAG software for calculation of inductive parameters using the finite element method (FEM, and its hardware has been tested experimentally at various misaligned positions. The QDQ coils are shown to be capable of achieving good coupling coefficient and high efficiency of the system until the misalignment displacement reaches 50% of the employed coil size.
Improved method for SNR prediction in machine-learning-based test
Sheng, Xiaoqin; Kerkhoff, Hans G.
2010-01-01
This paper applies an improved method for testing the signal-to-noise ratio (SNR) of Analogue-to-Digital Converters (ADC). In previous work, a noisy and nonlinear pulse signal is exploited as the input stimulus to obtain the signature results of ADC. By applying a machine-learning-based approach,
Interaction of low-energy highly charged ions with matter
International Nuclear Information System (INIS)
Ginzel, Rainer
2010-01-01
The thesis presented herein deals with experimental studies of the interaction between highly charged ions and neutral matter at low collision energies. The energy range investigated is of great interest for the understanding of both charge exchange reactions between ions comprising the solar wind and various astrophysical gases, as well as the creation of near-surface nanostructures. Over the course of this thesis an experimental setup was constructed, capable of reducing the kinetic energy of incoming ions by two orders of magnitude and finally focussing the decelerated ion beam onto a solid or gaseous target. A coincidence method was employed for the simultaneous detection of photons emitted during the charge exchange process together with the corresponding projectile ions. In this manner, it was possible to separate reaction channels, whose superposition presumably propagated large uncertainties and systematic errors in previous measurements. This work has unveiled unexpectedly strong contributions of slow radiative decay channels and clear evidence of previously only postulated decay processes in charge exchange-induced X-ray spectra. (orig.)
A low-power high dynamic range front-end ASIC for imaging calorimeters
Bagliesi, M G; Marrocchesi, P S; Meucci, M; Millucci, V; Morsani, F; Paoletti, R; Pilo, F; Scribano, A; Turini, N; Valle, G D
2002-01-01
High granularity calorimeters with shower imaging capabilities require dedicated front-end electronics. The ICON 4CH and VA4 PMT chip-set is suitable for very high dynamic range systems with strict noise requirements. The ICON 4CH is a 4 channel input, 12 channel output ASIC designed for use in a multi-anode photomultiplier system with very large dynamic range and low-noise requirements. Each of the four input signals to the ASIC is split equally into three branches by a current conveyor. Each of the three branches is scaled differently: 1:1, 1:8 and 1:80. The signal is read out by a 12 channel low noise/low power high dynamic range charge sensitive preamplifier-shaper circuit (VA4-PMT chip), with simultaneous sample- and-hold, multiplexed analog read-out, calibration facilities. Tests performed in our lab with a PMT are reported in terms of linearity, dynamic range and cross-talk of the system. (5 refs).
On-chip high-voltage generator design design methodology for charge pumps
Tanzawa, Toru
2016-01-01
This book provides various design techniques for switched-capacitor on-chip high-voltage generators, including charge pump circuits, regulators, level shifters, references, and oscillators. Readers will see these techniques applied to system design in order to address the challenge of how the on-chip high-voltage generator is designed for Flash memories, LCD drivers, and other semiconductor devices to optimize the entire circuit area and power efficiency with a low voltage supply, while minimizing the cost. This new edition includes a variety of useful updates, including coverage of power efficiency and comprehensive optimization methodologies for DC-DC voltage multipliers, modeling of extremely low voltage Dickson charge pumps, and modeling and optimum design of AC-DC switched-capacitor multipliers for energy harvesting and power transfer for RFID.
International Nuclear Information System (INIS)
Gomez, P; Litvinov, D; Khizroev, S
2007-01-01
This paper presents a systematic method to design and calculate tunnelling magneto-resistance (TMR) sensors with high signal-to-noise ratio (SNR). The sensing module consists of four TMR devices arranged in a Wheatstone-bridge configuration. Closed-form equations were obtained to calculate TMR sensor current, array output voltage, magneto-resistance ratio, overall noise (thermal and shot) and SNR for a given bandwidth. Using this technique we were able to maximize the SNR by tuning the many parameters of the TMR devices. Typical SNR values are in excess of 45 dB
A CMOS In-Pixel CTIA High Sensitivity Fluorescence Imager.
Murari, Kartikeya; Etienne-Cummings, Ralph; Thakor, Nitish; Cauwenberghs, Gert
2011-10-01
Traditionally, charge coupled device (CCD) based image sensors have held sway over the field of biomedical imaging. Complementary metal oxide semiconductor (CMOS) based imagers so far lack sensitivity leading to poor low-light imaging. Certain applications including our work on animal-mountable systems for imaging in awake and unrestrained rodents require the high sensitivity and image quality of CCDs and the low power consumption, flexibility and compactness of CMOS imagers. We present a 132×124 high sensitivity imager array with a 20.1 μm pixel pitch fabricated in a standard 0.5 μ CMOS process. The chip incorporates n-well/p-sub photodiodes, capacitive transimpedance amplifier (CTIA) based in-pixel amplification, pixel scanners and delta differencing circuits. The 5-transistor all-nMOS pixel interfaces with peripheral pMOS transistors for column-parallel CTIA. At 70 fps, the array has a minimum detectable signal of 4 nW/cm(2) at a wavelength of 450 nm while consuming 718 μA from a 3.3 V supply. Peak signal to noise ratio (SNR) was 44 dB at an incident intensity of 1 μW/cm(2). Implementing 4×4 binning allowed the frame rate to be increased to 675 fps. Alternately, sensitivity could be increased to detect about 0.8 nW/cm(2) while maintaining 70 fps. The chip was used to image single cell fluorescence at 28 fps with an average SNR of 32 dB. For comparison, a cooled CCD camera imaged the same cell at 20 fps with an average SNR of 33.2 dB under the same illumination while consuming over a watt.
Fast charging technique for high power LiFePO4 batteries: A mechanistic analysis of aging
Anseán, D.; Dubarry, M.; Devie, A.; Liaw, B. Y.; García, V. M.; Viera, J. C.; González, M.
2016-07-01
One of the major issues hampering the acceptance of electric vehicles (EVs) is the anxiety associated with long charging time. Hence, the ability to fast charging lithium-ion battery (LIB) systems is gaining notable interest. However, fast charging is not tolerated by all LIB chemistries because it affects battery functionality and accelerates its aging processes. Here, we investigate the long-term effects of multistage fast charging on a commercial high power LiFePO4-based cell and compare it to another cell tested under standard charging. Coupling incremental capacity (IC) and IC peak area analysis together with mechanistic model simulations ('Alawa' toolbox with harvested half-cell data), we quantify the degradation modes that cause aging of the tested cells. The results show that the proposed fast charging technique caused similar aging effects as standard charging. The degradation is caused by a linear loss of lithium inventory, coupled with a less degree of linear loss of active material on the negative electrode. This study validates fast charging as a feasible mean of operation for this particular LIB chemistry and cell architecture. It also illustrates the benefits of a mechanistic approach to understand cell degradation on commercial cells.
Economic and environmental impacts of a PV powered workplace parking garage charging station
International Nuclear Information System (INIS)
Tulpule, Pinak J.; Marano, Vincenzo; Yurkovich, Stephen; Rizzoni, Giorgio
2013-01-01
Highlights: • Photovoltaic (PV) based, plug-in electric vehicle (PEV) charging station located in a workplace parking garage. • Emissions from the power grid. • Economic analysis. • Parametric analysis for parking rates, installed capacities to show benefits to vehicle and garage owner. - Abstract: Plug-in hybrid electric vehicles (PHEVs) and electric vehicles (EVs) have high potential for reducing fuel consumption and emissions, and for providing a way to utilize renewable energy sources for the transportation sector. On the other hand, charging millions of PEVs could overload the power grid, increase emissions and significantly alter economic characteristics. A day-time photovoltaic (PV) based, plug-in electric vehicle charging station located in a workplace parking garage is considered in this research. The results show the impact of PV based workplace charging on the economics and emissions from the power grid. An optimal charge scheduling strategy is compared with an uncontrolled charging case to perform the economics and emissions analysis. Two locations (Columbus, OH and Los Angeles, CA) are selected such that the analysis includes different scenarios of yearly variation of solar radiation and finance structure. A high fidelity hourly simulation model for energy economic analysis is developed considering different types of vehicles, statistical data for driving distances, parking time, installation cost, tax rebates and incentives. An incremental parking rate for accessing the charging facility is considered for economic analysis for the garage owner and the vehicle owner. The analysis is extended to consider the impact of carbon tax implementation on the driver economics and shows the feasibility of such PV based charging stations. Parametric analysis for different parking rates and installed capacities show (i) the feasibility of a PV based workplace charging facility, (ii) benefits to the vehicle owner and the garage owner, and (iii) the need for
Threshold-Based Random Charging Scheme for Decentralized PEV Charging Operation in a Smart Grid.
Kwon, Ojin; Kim, Pilkee; Yoon, Yong-Jin
2016-12-26
Smart grids have been introduced to replace conventional power distribution systems without real time monitoring for accommodating the future market penetration of plug-in electric vehicles (PEVs). When a large number of PEVs require simultaneous battery charging, charging coordination techniques have become one of the most critical factors to optimize the PEV charging performance and the conventional distribution system. In this case, considerable computational complexity of a central controller and exchange of real time information among PEVs may occur. To alleviate these problems, a novel threshold-based random charging (TBRC) operation for a decentralized charging system is proposed. Using PEV charging thresholds and random access rates, the PEVs themselves can participate in the charging requests. As PEVs with a high battery state do not transmit the charging requests to the central controller, the complexity of the central controller decreases due to the reduction of the charging requests. In addition, both the charging threshold and the random access rate are statistically calculated based on the average of supply power of the PEV charging system that do not require a real time update. By using the proposed TBRC with a tolerable PEV charging degradation, a 51% reduction of the PEV charging requests is achieved.
A Charge Controller Design For Solar Power System
Nandar Oo; Kyaw Soe Lwin; Hla Myo Tun
2015-01-01
This paper presents the solar charge controller circuit for controlling the overcharging and discharging from solar panel. This circuit regulates the charging of the battery in a solar system by monitoring battery voltage and switching the solar or other power source off when the battery reaches a preset voltage. This circuit is low voltages disconnect circuit. A charge controller circuit can increase battery life by preventing over-charging which can cause loss of electrolyte. The flow chart...
Energy Technology Data Exchange (ETDEWEB)
Shepard, K.W.; Kim, J.W.
1995-08-01
Preliminary design work was done for a short, normally-conducting RFQ entrance section for a low-charge-state linac. Early results indicate that a low- frequency (12 MHz) RFQ, operated on a high-voltage platform, and injected with a pre-bunched beam, can provide ATLAS quality beams of ions of charge-to-mass ratio less than 1/132.
Biopolymer-nanocarbon composite electrodes for use as high-energy high-power density electrodes
Karakaya, Mehmet; Roberts, Mark; Arcilla-Velez, Margarita; Zhu, Jingyi; Podila, Ramakrishna; Rao, Apparao
2014-03-01
Supercapacitors (SCs) address our current energy storage and delivery needs by combining the high power, rapid switching, and exceptional cycle life of a capacitor with the high energy density of a battery. Although activated carbon is extensively used as a supercapacitor electrode due to its inexpensive nature, its low specific capacitance (100-120 F/g) fundamentally limits the energy density of SCs. We demonstrate that a nano-carbon based mechanically robust, electrically conducting, free-standing buckypaper electrode modified with an inexpensive biorenewable polymer, viz., lignin increases the electrode's specific capacitance (~ 600-700 F/g) while maintaining rapid discharge rates. In these systems, the carbon nanomaterials provide the high surface area, electrical conductivity and porosity, while the redox polymers provide a mechanism for charge storage through Faradaic charge transfer. The design of redox polymers and their incorporation into nanomaterial electrodes will be discussed with a focus on enabling high power and high energy density electrodes. Research supported by US NSF CMMI Grant 1246800.
Shaaban, Rana; Faruque, Saleh
2018-01-01
Light emitting diodes - LEDs are modernizing the indoor illumination and replacing current incandescent and fluorescent lamps rapidly. LEDs have multiple advantages such as extremely high energy efficient, longer lifespan, and lower heat generation. Due to the ability to switch to different light intensity at a very fast rate, LED has given rise to a unique communication technology (visible light communication - VLC) used for high speed data transmission. By studying various kinds of commonly used VLC channel analysis: diffuse and line of sight channels, we presented a simply improved indoor and intra-vehicle visible light communication transmission model. Employing optical wireless communications within the vehicle, not only enhance user mobility, but also alleviate radio frequency interference, and increase efficiency by lowering the complexity of copper cabling. Moreover, a solution to eliminate ambient noise caused by environmental conditions is examined by using optical differential receiver. The simulation results show the improved received power distribution and signal to noise ratio - SNR.
A Charge Controller Design For Solar Power System
Directory of Open Access Journals (Sweden)
Nandar Oo
2015-08-01
Full Text Available This paper presents the solar charge controller circuit for controlling the overcharging and discharging from solar panel. This circuit regulates the charging of the battery in a solar system by monitoring battery voltage and switching the solar or other power source off when the battery reaches a preset voltage. This circuit is low voltages disconnect circuit. A charge controller circuit can increase battery life by preventing over-charging which can cause loss of electrolyte. The flow chart is also provided.
Gomez, Jamie; Nelson, Ruben; Kalu, Egwu E.; Weatherspoon, Mark H.; Zheng, Jim P.
2011-05-01
Equivalent circuit model (EMC) of a high-power Li-ion battery that accounts for both temperature and state of charge (SOC) effects known to influence battery performance is presented. Electrochemical impedance measurements of a commercial high power Li-ion battery obtained in the temperature range 20 to 50 °C at various SOC values was used to develop a simple EMC which was used in combination with a non-linear least squares fitting procedure that used thirteen parameters for the analysis of the Li-ion cell. The experimental results show that the solution and charge transfer resistances decreased with increase in cell operating temperature and decreasing SOC. On the other hand, the Warburg admittance increased with increasing temperature and decreasing SOC. The developed model correlations that are capable of being used in process control algorithms are presented for the observed impedance behavior with respect to temperature and SOC effects. The predicted model parameters for the impedance elements Rs, Rct and Y013 show low variance of 5% when compared to the experimental data and therefore indicates a good statistical agreement of correlation model to the actual experimental values.
Energy Technology Data Exchange (ETDEWEB)
Lumpkin, A.H.; Feldman, R.B.; Carsten, B.E.; Feldman, D.W.; Sheffield, R.L.; Stein, W.E.; Johnson, W.J.; Thode, L.E.; Bender, S.C.; Busch, G.E.
1990-01-01
We report our initial measurements of bright (high-charge, low-emittance) electron beams generated at the Los Alamos High Brightness Accelerator FEL (HIBAF) Facility. Normalized emittance values of less than 50 {pi} mm-mrad for charges ranging from 0.7 to 8.7 nC were obtained for single micropulses at a y-waist and at an energy of 14.7 MeV. These measurements were part of the commissioning campaign on the HIBAF photoelectric injector. Macropulse measurements have also been performed and are compared with PARMELA simulations. 5 refs., 8 figs., 3 tabs.
Nickel-hydrogen battery state of charge during low rate trickle charging
Lurie, C.; Foroozan, S.; Brewer, J.; Jackson, L.
1996-01-01
The NASA AXAF-I program requires high battery state of charge at launch. Traditional approaches to providing high state of charge, during prelaunch operations, require significant battery cooling. The use of active cooling, in the AXAF-I prelaunch environment, was considered and proved to be difficult to implement and very expensive. Accordingly alternate approaches were considered. An approach utilizing adiabatic charging and low rate trickle charge, was investigated and proved successful.
Nickel-hydrogen battery state of charge during low rate trickle charging
Energy Technology Data Exchange (ETDEWEB)
Lurie, C.; Foroozan, S.; Brewer, J.; Jackson, L. [TRW Space and Electronics Group, Redondo Beach, CA (United States)
1996-02-01
The NASA AXAF-I program requires high battery state of charge at launch. Traditional approaches to providing high state of charge, during prelaunch operations, require significant battery cooling. The use of active cooling, in the AXAF-I prelaunch environment, was considered and proved to be difficult to implement and very expensive. Accordingly alternate approaches were considered. An approach utilizing adiabatic charging and low rate trickle charge, was investigated and proved successful.
Effective Low-Power Wearable Wireless Surface EMG Sensor Design Based on Analog-Compressed Sensing
Directory of Open Access Journals (Sweden)
Mohammadreza Balouchestani
2014-12-01
Full Text Available Surface Electromyography (sEMG is a non-invasive measurement process that does not involve tools and instruments to break the skin or physically enter the body to investigate and evaluate the muscular activities produced by skeletal muscles. The main drawbacks of existing sEMG systems are: (1 they are not able to provide real-time monitoring; (2 they suffer from long processing time and low speed; (3 they are not effective for wireless healthcare systems because they consume huge power. In this work, we present an analog-based Compressed Sensing (CS architecture, which consists of three novel algorithms for design and implementation of wearable wireless sEMG bio-sensor. At the transmitter side, two new algorithms are presented in order to apply the analog-CS theory before Analog to Digital Converter (ADC. At the receiver side, a robust reconstruction algorithm based on a combination of ℓ1-ℓ1-optimization and Block Sparse Bayesian Learning (BSBL framework is presented to reconstruct the original bio-signals from the compressed bio-signals. The proposed architecture allows reducing the sampling rate to 25% of Nyquist Rate (NR. In addition, the proposed architecture reduces the power consumption to 40%, Percentage Residual Difference (PRD to 24%, Root Mean Squared Error (RMSE to 2%, and the computation time from 22 s to 9.01 s, which provide good background for establishing wearable wireless healthcare systems. The proposed architecture achieves robust performance in low Signal-to-Noise Ratio (SNR for the reconstruction process.
Williams, Melissa J; Gruenfeld, Deborah H; Guillory, Lucia E
2017-02-01
Previous theorists have characterized sexually aggressive behavior as an expression of power, yet evidence that power causes sexual aggression is mixed. We hypothesize that power can indeed create opportunities for sexual aggression-but that it is those who chronically experience low power who will choose to exploit such opportunities. Here, low-power men placed in a high-power role showed the most hostility in response to a denied opportunity with an attractive woman (Studies 1 and 2). Chronically low-power men and women given acute power were the most likely to say they would inappropriately pursue an unrequited workplace attraction (Studies 3 and 4). Finally, having power over an attractive woman increased harassment behavior among men with chronic low, but not high, power (Study 5). People who see themselves as chronically denied power appear to have a stronger desire to feel powerful and are more likely to use sexual aggression toward that end. (PsycINFO Database Record (c) 2017 APA, all rights reserved).
A battery-powered high-current power supply for superconductors
Wake, M; Suda, K
2002-01-01
Since superconductors do not require voltages, a high-current power supply could run with low power if the voltage is sufficiently reduced. Even a battery-powered power supply could give as much as 2,000A for a superconductor. To demonstrate this hypothesis, a battery-powered 2,000A power supply was constructed. It uses an IGBT chopper and Schottky diode together with a specially arranged transformer to produce a high current with low voltage. Testing of 2,000A operation was performed for about 1.5 hr using 10 car batteries. Charging time for this operation was 8 hr. Ramping control was smooth and caused no trouble. Although the IGBT frequency ripple of 16.6 kHz was easily removed using a passive filter, spike noise remained in the output voltage. This ripple did not cause any trouble in operating a pancake-type inductive superconducting load. (author)
Battery State-of-Charge and Parameter Estimation Algorithm Based on Kalman Filter
DEFF Research Database (Denmark)
Dragicevic, Tomislav; Sucic, Stjepan; Guerrero, Josep M.
2013-01-01
Electrochemical battery is the most widely used energy storage technology, finding its application in various devices ranging from low power consumer electronics to utility back-up power. All types of batteries show highly non-linear behaviour in terms of dependence of internal parameters...... on operating conditions, momentary replenishment and a number of past charge/discharge cycles. A good indicator for the quality of overall customer service in any battery based application is the availability and reliability of these informations, as they point out important runtime variables...
Directory of Open Access Journals (Sweden)
Erik Blasius
2016-01-01
Full Text Available This paper discusses the utilisation of PV systems for electric vehicles charging for transportation requirements of smart cities. The gap between PV power output and vehicles charging demand is highly variable. Therefore, there is a need for additional support from a public distribution grid or a storage device in order to handle the residual power. Long term measurement data retrieved from a charging station for 15 vehicles equipped with a PV system were used in the research. Low and high irradiation seasons influenced the PV output. The charging demand of electric vehicles varied over the course of a year and was correlated to weather conditions. Therefore, the sizing and performance of a supportive storage device should be evaluated in a statistical manner using long period observations.
Charging system of ECRH high-voltage power supply and its control system
International Nuclear Information System (INIS)
Hu Guofu; Ding Tonghai; Liu Baohua; Jiang Shufang
2003-01-01
High-voltage power supply (HVPS) of Electron Cyclotron Resonance Heating (ECRH) for HT-7 and HT-7U is presently being constructed. The high voltage (100 kV) energy of HVPS is stored in the capacitor banks, and they can power one or two gyrotrons. All the operation of the charging system will be done by the control system, where the field signals are interfaced to programmable logic controller (PLC). The use of PLC not only simplifies the control system, but also enhances the reliability. The software written by using configuration software installed in the master computer allows for remote and multiple operator control, and the status and data information is also remotely available
A single lithium-ion battery protection circuit with high reliability and low power consumption
International Nuclear Information System (INIS)
Jiang Jinguang; Li Sen
2014-01-01
A single lithium-ion battery protection circuit with high reliability and low power consumption is proposed. The protection circuit has high reliability because the voltage and current of the battery are controlled in a safe range. The protection circuit can immediately activate a protective function when the voltage and current of the battery are beyond the safe range. In order to reduce the circuit's power consumption, a sleep state control circuit is developed. Additionally, the output frequency of the ring oscillation can be adjusted continuously and precisely by the charging capacitors and the constant-current source. The proposed protection circuit is fabricated in a 0.5 μm mixed-signal CMOS process. The measured reference voltage is 1.19 V, the overvoltage is 4.2 V and the undervoltage is 2.2 V. The total power is about 9 μW. (semiconductor integrated circuits)
Research on laser detonation pulse circuit with low-power based on super capacitor
Wang, Hao-yu; Hong, Jin; He, Aifeng; Jing, Bo; Cao, Chun-qiang; Ma, Yue; Chu, En-yi; Hu, Ya-dong
2018-03-01
According to the demand of laser initiating device miniaturization and low power consumption of weapon system, research on the low power pulse laser detonation circuit with super capacitor. Established a dynamic model of laser output based on super capacitance storage capacity, discharge voltage and programmable output pulse width. The output performance of the super capacitor under different energy storage capacity and discharge voltage is obtained by simulation. The experimental test system was set up, and the laser diode of low power pulsed laser detonation circuit was tested and the laser output waveform of laser diode in different energy storage capacity and discharge voltage was collected. Experiments show that low power pulse laser detonation based on super capacitor energy storage circuit discharge with high efficiency, good transient performance, for a low power consumption requirement, for laser detonation system and low power consumption and provide reference light miniaturization of engineering practice.
A low cost, microprocessor-based battery charge controller
Energy Technology Data Exchange (ETDEWEB)
Pulfrey, D L; Hacker, J [Pulfrey Solar Inc., Vancouver, BC (Canada)
1990-01-01
This report describes the design, construction, testing, and evaluation of a microprocessor-based battery charge controller that uses charge integration as the method of battery state-of-charge estimation. The controller is intended for use in medium-size (100-1000W) photovoltaic systems that employ 12V lead-acid batteries for charge storage. The controller regulates the charge flow to the battery and operates in three, automatically-determined modes, namely: charge, equalize, and float. The prototype controller is modular in nature and can handle charge/discharge currents of magnitude up to 80A, depending on the number of circuit boards employed. Evaluation tests and field trials have shown the controller to be very accurate and reliable. Based on the cost of the prototype, it appears that an original equipment manufacturer's selling price of $400 for a 40A (500W) unit may be realistic. 18 figs., 2 tabs.
Nanometer-size surface modification produced by single, low energy, highly charged ions
International Nuclear Information System (INIS)
Stockli, M.P.
1994-01-01
Atomically flat surfaces of insulators have been bombarded with low energy, highly charged ions to search for nanometer-size surface modifications. It is expected that the high electron deficiency of highly charged ions will capture and/or remove many of the insulator's localized electrons when impacting on an insulating surface. The resulting local electron deficiency is expected to locally disintegrate the insulator through a open-quotes Coulomb explosionclose quotes forming nanometer-size craters. Xe ions with charge states between 10+ and 45+ and kinetic energies between 0 and 10 keV/q were obtained from the KSU-CRYEBIS, a CRYogenic Electron Beam Ion Source and directed onto various insulating materials. Mica was favored as target material as atomically flat surfaces can be obtained reliably through cleaving. However, the authors observations with an atomic force microscope have shown that mica tends to defoliate locally rather than disintegrate, most likely due to the small binding forces between adjacent layers. So far the authors measurements indicate that each ion produces one blister if the charge state is sufficiently high. The blistering does not seem to depend very much on the kinetic energy of the ions
Communication Characteristics of Faulted Overhead High Voltage Power Lines at Low Radio Frequencies
Directory of Open Access Journals (Sweden)
Nermin Suljanović
2017-11-01
Full Text Available This paper derives a model of high-voltage overhead power line under fault conditions at low radio frequencies. The derived model is essential for design of communication systems to reliably transfer information over high voltage power lines. In addition, the model can also benefit advanced systems for power-line fault detection and classification exploiting the phenomenon of changed conditions on faulted power line, resulting in change of low radio frequency signal propagation. The methodology used in the paper is based on the multiconductor system analysis and propagation of electromagnetic waves over the power lines. The model for the high voltage power line under normal operation is validated using actual measurements obtained on 400 kV power line. The proposed model of faulted power lines extends the validated power-line model under normal operation. Simulation results are provided for typical power line faults and typical fault locations. Results clearly indicate sensitivity of power-line frequency response on different fault types.
A Semi-Empirical SNR Model for Soil Moisture Retrieval Using GNSS SNR Data
Directory of Open Access Journals (Sweden)
Mutian Han
2018-02-01
Full Text Available The Global Navigation Satellite System-Interferometry and Reflectometry (GNSS-IR technique on soil moisture remote sensing was studied. A semi-empirical Signal-to-Noise Ratio (SNR model was proposed as a curve-fitting model for SNR data routinely collected by a GNSS receiver. This model aims at reconstructing the direct and reflected signal from SNR data and at the same time extracting frequency and phase information that is affected by soil moisture as proposed by K. M. Larson et al. This is achieved empirically through approximating the direct and reflected signal by a second-order and fourth-order polynomial, respectively, based on the well-established SNR model. Compared with other models (K. M. Larson et al., T. Yang et al., this model can improve the Quality of Fit (QoF with little prior knowledge needed and can allow soil permittivity to be estimated from the reconstructed signals. In developing this model, we showed how noise affects the receiver SNR estimation and thus the model performance through simulations under the bare soil assumption. Results showed that the reconstructed signals with a grazing angle of 5°–15° were better for soil moisture retrieval. The QoF was improved by around 45%, which resulted in better estimation of the frequency and phase information. However, we found that the improvement on phase estimation could be neglected. Experimental data collected at Lamasquère, France, were also used to validate the proposed model. The results were compared with the simulation and previous works. It was found that the model could ensure good fitting quality even in the case of irregular SNR variation. Additionally, the soil moisture calculated from the reconstructed signals was about 15% closer in relation to the ground truth measurements. A deeper insight into the Larson model and the proposed model was given at this stage, which formed a possible explanation of this fact. Furthermore, frequency and phase information
A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications
International Nuclear Information System (INIS)
Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming
2012-01-01
We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)
Atomic collision experiments utilizing low-velocity, highly-charged ion beams
International Nuclear Information System (INIS)
Johnson, B.M.; Jones, K.W.; Meron, M.
1983-01-01
Intense beams of highly-stripped ions are now routinely produced at low velocities using the Brookhaven dual MP-tandems in a unique four-stage accel/decel mode. This mode of operation combines three stages of acceleration, stripping at high energy, and one stage of deceleration to near-zero velocity. To date, experiments have used 10-100 nA beams of bare and few-electron heavy ions at energies as low as 0.2 MeV/amu, and upgrades of the facility should push the lower limit below 0.1 MeV/amu. Recent experiments, such as measurements of charge transfer and x-ray production for S 6 - 16 + on He and Ar at 6-20 MeV and P(b) measurements for MO x-rays produced in Cl 16 + + Ar collisions at 20, 10 and 5 MeV have demonstrated the usefulness of highly-stripped, low-velocity projectiles. These experiments and a few possibilities for future experiments are discussed
Atomic collision experiments utilizing low-velocity, highly-charged ion beams
International Nuclear Information System (INIS)
Johnson, B.M.; Jones, K.W.; Meron, M.
1982-01-01
Intense beams of highly-stripped ions are now routinely produced at low velocities using the Brookhaven dual MP-tandens in a unique four-stage accel/decel mode. This mode of operation combines three stages of acceleration, stripping at high energy, and one stage of deceleration to near-zero velocity. To date, experiments have used 10-100 nA beams of bare and few-electron heavy ions at energies as low as 0.2 MeV/amu, and upgrades of the facility should push the lower limit below 0.1 MeV/amu. Recent experiments, such as measurements of charge transfer and x-ray production for S/sup 6-16+/ on He and Ar at 6 to 20 MeV and P(b) measurements for MO x-rays produced in Cl 16 + + Ar collisions at 20, 10, and 5 MeV have demonstrated the usefulness of highly-stripped, low-velocity projectiles. These experiments and a few possibilities for future experiments are discussed
Game-theoretic control of PHEV charging with power flow analysis
Directory of Open Access Journals (Sweden)
Yuan Liu
2016-03-01
Full Text Available Due to an ever-increasing market penetration of plug-in hybrid electric vehicles (PHEVs, the charging demand is expected to become a main determinant of the load in future distribution systems. In this paper, we investigate the problem of controlling in-home charging of PHEVs to accomplish peak load shifting while maximizing the revenue of the distribution service provider (DSP and PHEV owners. A leader-follower game model is proposed to characterize the preference and revenue expectation of PHEV owners and DSP, respectively. The follower (PHEV owner decides when to start charging based on the pricing schedule provided by the leader (DSP. The DSP can incentivize the charging of PHEV owners to avoid system peak load. The costs associated with power distribution, line loss, and voltage regulation are incorporated in the game model via power flow analysis. Based on a linear approximation of the power flow equations, the solution of sub-game perfect Nash equilibrium (SPNE is obtained. A case study is performed based on the IEEE 13-bus test feeder and realistic PHEV charging statistics, and the results demonstrate that our proposed PHEV charging control scheme can significantly improve the power quality in distribution systems by reducing the peak load and voltage fluctuations.
High-Power Collective Charging of a Solid-State Quantum Battery
Ferraro, Dario; Campisi, Michele; Andolina, Gian Marcello; Pellegrini, Vittorio; Polini, Marco
2018-03-01
Quantum information theorems state that it is possible to exploit collective quantum resources to greatly enhance the charging power of quantum batteries (QBs) made of many identical elementary units. We here present and solve a model of a QB that can be engineered in solid-state architectures. It consists of N two-level systems coupled to a single photonic mode in a cavity. We contrast this collective model ("Dicke QB"), whereby entanglement is genuinely created by the common photonic mode, to the one in which each two-level system is coupled to its own separate cavity mode ("Rabi QB"). By employing exact diagonalization, we demonstrate the emergence of a quantum advantage in the charging power of Dicke QBs, which scales like √{N } for N ≫1 .
Low-voltage 96 dB snapshot CMOS image sensor with 4.5 nW power dissipation per pixel.
Spivak, Arthur; Teman, Adam; Belenky, Alexander; Yadid-Pecht, Orly; Fish, Alexander
2012-01-01
Modern "smart" CMOS sensors have penetrated into various applications, such as surveillance systems, bio-medical applications, digital cameras, cellular phones and many others. Reducing the power of these sensors continuously challenges designers. In this paper, a low power global shutter CMOS image sensor with Wide Dynamic Range (WDR) ability is presented. This sensor features several power reduction techniques, including a dual voltage supply, a selective power down, transistors with different threshold voltages, a non-rationed logic, and a low voltage static memory. A combination of all these approaches has enabled the design of the low voltage "smart" image sensor, which is capable of reaching a remarkable dynamic range, while consuming very low power. The proposed power-saving solutions have allowed the maintenance of the standard architecture of the sensor, reducing both the time and the cost of the design. In order to maintain the image quality, a relation between the sensor performance and power has been analyzed and a mathematical model, describing the sensor Signal to Noise Ratio (SNR) and Dynamic Range (DR) as a function of the power supplies, is proposed. The described sensor was implemented in a 0.18 um CMOS process and successfully tested in the laboratory. An SNR of 48 dB and DR of 96 dB were achieved with a power dissipation of 4.5 nW per pixel.
Low power interface IC's for electrostatic energy harvesting applications
Kempitiya, Asantha
interest where the storage capacitor can be optimized to produce almost 70% of the ideal power taken as the power harvested with synchronous converters when neglecting the power consumption associated with synchronizing control circuitry. Theoretical predictions are confirmed by measurements on an asynchronous EHC implemented with a macro-scale electrostatic converter prototype. Based on the preceding analysis, the design of a novel ultra low power electrostatic integrated energy harvesting circuit is proposed for efficient harvesting of mechanical energy. The fundamental challenges of designing reliable low power sensing circuits for charge constrained electrostatic energy harvesters with capacity to self power its controller and driver stages are addressed. Experimental results are presented for a controller design implemented in AMI 0.7muM high voltage CMOS process using a macro-scale electrostatic converter prototype. The EHC produces 1.126muW for a power investment of 417nW with combined conduction and controller losses of 450nW which is a 20-30% improvement compared to prior art on electrostatic EHCs operating under charge constrain. Inherently dual plate variable capacitors harvest energy only during half of the mechanical cycle with the other half unutilized for energy conversion. To harvest mechanical energy over the complete mechanical vibration cycle, a low power energy harvesting circuit (EHC) that performs charge constrained synchronous energy conversion on a tri-plate variable capacitor for maximizing energy conversion is proposed. The tri-plate macro electrostatic generator with capacitor variation of 405pF to 1.15nF and 405pF to 1.07nF on two complementary adjacent capacitors is fabricated and used in the characterization of the designed EHC. The integrated circuit fabricated in AMI 0.7muM high voltage CMOS process, produces a total output power of 497nW to a 10muF reservoir capacitor from a 98Hz vibration signal. In summary, the thesis lays out the
Menolotto, Matteo; Rossi, Stefano; Dario, Paolo; Della Torre, Luigi
2015-01-01
Wearable systems for remote monitoring of physiological parameter are ready to evolve towards wearable imaging systems. The Electrical Impedance Tomography (EIT) allows the non-invasive investigation of the internal body structure. The characteristics of this low-resolution and low-cost technique match perfectly with the concept of a wearable imaging device. On the other hand low power consumption, which is a mandatory requirement for wearable systems, is not usually discussed for standard EIT applications. In this work a previously developed low power architecture for a wearable bioimpedance sensor is applied to EIT acquisition and reconstruction, to evaluate the impact on the image of the limited signal to noise ratio (SNR), caused by low power design. Some anatomical models of the chest, with increasing geometric complexity, were developed, in order to evaluate and calibrate, through simulations, the parameters of the reconstruction algorithms provided by Electrical Impedance Diffuse Optical Reconstruction Software (EIDORS) project. The simulation results were compared with experimental measurements taken with our bioimpedance device on a phantom reproducing chest tissues properties. The comparison was both qualitative and quantitative through the application of suitable figures of merit; in this way the impact of the noise of the low power front-end on the image quality was assessed. The comparison between simulation and measurement results demonstrated that, despite the limited SNR, the device is accurate enough to be used for the development of an EIT based imaging wearable system.
Resonator-Based Silicon Electro-Optic Modulator with Low Power Consumption
Xin, Maoqing; Danner, Aaron J.; Eng Png, Ching; Thor Lim, Soon
2009-04-01
This paper demonstrates, via simulation, an electro-optic modulator based on a subwavelength Fabry-Perot resonator cavity with low power consumption of 86 µW/µm. This is, to the best of our knowledge, the lowest power reported for silicon photonic bandgap modulators. The device is modulated at a doped p-i-n junction overlapping the cavity in a silicon waveguide perforated with etched holes, with the doping area optimized for minimum power consumption. The surface area of the entire device is only 2.1 µm2, which compares favorably to other silicon-based modulators. A modulation speed of at least 300 MHz is detected from the electrical simulator after sidewall doping is introduced which is suitable for sensing or fiber to the home (FTTH) technologies, where speed can be traded for low cost and power consumption. The device does not rely on ultra-high Q, and could serve as a sensor, modulator, or passive filter with built-in calibration.
Development of quick charging system for electric vehicle
Energy Technology Data Exchange (ETDEWEB)
Anegawa, Takafumi
2010-09-15
Despite low environmental impact and high energy efficiency, electric vehicles (EV) have not been widely accepted. The lack of charging infrastructure is one reason. Since lithium-ion battery has high energy density and low internal resistance that allows quick charging, the convenience of EV may be greatly improved if charging infrastructure is prepared adequately. TEPCO aims for EV spread to reduce CO2 emissions and to increase demand for electric power, and has developed quick charging system for fleet-use EV to improve the convenience of EV. And based on research results, we will propose desirable characteristics of quick charger for public use.
Low-confinement high-power semiconductor lasers
Buda, M.
1999-01-01
This thesis presents the results of studies related to optimisation of high power semiconductor laser diodes using the low confinement concept. This implies a different approach in designing the transversal layer structure before growth and in processing the wafer after growth, for providing the
Optimum SNR data compression in hardware using an Eigencoil array.
King, Scott B; Varosi, Steve M; Duensing, G Randy
2010-05-01
With the number of receivers available on clinical MRI systems now ranging from 8 to 32 channels, data compression methods are being explored to lessen the demands on the computer for data handling and processing. Although software-based methods of compression after reception lessen computational requirements, a hardware-based method before the receiver also reduces the number of receive channels required. An eight-channel Eigencoil array is constructed by placing a hardware radiofrequency signal combiner inline after preamplification, before the receiver system. The Eigencoil array produces signal-to-noise ratio (SNR) of an optimal reconstruction using a standard sum-of-squares reconstruction, with peripheral SNR gains of 30% over the standard array. The concept of "receiver channel reduction" or MRI data compression is demonstrated, with optimal SNR using only four channels, and with a three-channel Eigencoil, superior sum-of-squares SNR was achieved over the standard eight-channel array. A three-channel Eigencoil portion of a product neurovascular array confirms in vivo SNR performance and demonstrates parallel MRI up to R = 3. This SNR-preserving data compression method advantageously allows users of MRI systems with fewer receiver channels to achieve the SNR of higher-channel MRI systems. (c) 2010 Wiley-Liss, Inc.
IEEE-802.15.4-based low-power body sensor node with RF energy harvester.
Tran, Thang Viet; Chung, Wan-Young
2014-01-01
This paper proposes the design and implementation of a low-voltage and low-power body sensor node based on the IEEE 802.15.4 standard to collect electrocardiography (ECG) and photoplethysmography (PPG) signals. To achieve compact size, low supply voltage, and low power consumption, the proposed platform is integrated into a ZigBee mote, which contains a DC-DC booster, a PPG sensor interface module, and an ECG front-end circuit that has ultra-low current consumption. The input voltage of the proposed node is very low and has a wide range, from 0.65 V to 3.3 V. An RF energy harvester is also designed to charge the battery during the working mode or standby mode of the node. The power consumption of the proposed node reaches 14 mW in working mode to prolong the battery lifetime. The software is supported by the nesC language under the TinyOS environment, which enables the proposed node to be easily configured to function as an individual health monitoring node or a node in a wireless body sensor network (BSN). The proposed node is used to set up a wireless BSN that can simultaneously collect ECG and PPG signals and monitor the results on the personal computer.
Directory of Open Access Journals (Sweden)
Yan Bao
2018-01-01
Full Text Available Fast charging stations enable the high-powered rapid recharging of electric vehicles. However, these stations also face challenges due to power fluctuations, high peak loads, and low load factors, affecting the reliable and economic operation of charging stations and distribution networks. This paper introduces a battery energy storage system (BESS for charging load control, which is a more user-friendly approach and is more robust to perturbations. With the goals of peak-shaving, total electricity cost reduction, and minimization of variation in the state-of-charge (SOC range, a BESS-based bi-level optimization strategy for the charging load regulation of fast charging stations is proposed in this paper. At the first level, a day-ahead optimization strategy generates the optimal planned load curve and the deviation band to be used as a reference for ensuring multiple control objectives through linear programming, and even for avoiding control failure caused by insufficient BESS energy. Based on this day-ahead optimal plan, at a second level, real-time rolling optimization converts the control process to a multistage decision-making problem. The predictive control-based real-time rolling optimization strategy in the proposed model was used to achieve the above control objectives and maintain battery life. Finally, through a horizontal comparison of two control approaches in each case study, and a longitudinal comparison of the control robustness against different degrees of load disturbances in three cases, the results indicated that the proposed control strategy was able to significantly improve the charging load characteristics, even with large disturbances. Meanwhile, the proposed approach ensures the least amount of variation in the range of battery SOC and reduces the total electricity cost, which will be of a considerable benefit to station operators.
Carrier tracking by smoothing filter improves symbol SNR
Pomalaza-Raez, Carlos A.; Hurd, William J.
1986-01-01
The potential benefit of using a smoothing filter to estimate carrier phase over use of phase locked loops (PLL) is determined. Numerical results are presented for the performance of three possible configurations of the deep space network advanced receiver. These are residual carrier PLL, sideband aided residual carrier PLL, and finally sideband aiding with a Kalman smoother. The average symbol signal to noise ratio (SNR) after losses due to carrier phase estimation error is computed for different total power SNRs, symbol rates and symbol SNRs. It is found that smoothing is most beneficial for low symbol SNRs and low symbol rates. Smoothing gains up to 0.4 dB over a sideband aided residual carrier PLL, and the combined benefit of smoothing and sideband aiding relative to a residual carrier loop is often in excess of 1 dB.
Charge Carrier Transport Mechanism Based on Stable Low Voltage Organic Bistable Memory Device.
Ramana, V V; Moodley, M K; Kumar, A B V Kiran; Kannan, V
2015-05-01
A solution processed two terminal organic bistable memory device was fabricated utilizing films of polymethyl methacrylate PMMA/ZnO/PMMA on top of ITO coated glass. Electrical characterization of the device structure showed that the two terminal device exhibited favorable switching characteristics with an ON/OFF ratio greater than 1 x 10(4) when the voltage was swept between - 2 V and +3 V. The device maintained its state after removal of the bias voltage. The device did not show degradation after a 1-h retention test at 120 degrees C. The memory functionality was consistent even after fifty cycles of operation. The charge transport switching mechanism is discussed on the basis of carrier transport mechanism and our analysis of the data shows that the charge carrier trans- port mechanism of the device during the writing process can be explained by thermionic emission (TE) and space-charge-limited-current (SCLC) mechanism models while erasing process could be explained by the FN tunneling mechanism. This demonstration provides a class of memory devices with the potential for low-cost, low-power consumption applications, such as a digital memory cell.
The SNR 300 fast breeder in the ups and downs of its history
International Nuclear Information System (INIS)
Marth, W.
1994-12-01
The Fast Breeder Project was founded in Karlsruhe in 1960. After an initial period of fundamental research, industry assumed responsibility for designing the SNR 300. Construction of the Kalkar Nuclear Power Station was hampered by a variety of political influences, but finally completed in 1985. As a consequence of the North Rhine-Westphalian party-in-government's opting out of nuclear power, no startup permit was issued for the SNR 300. Consequently, the Kalkar Nuclear Power Station project was discontinued for political reasons in March 1991. The report is the English translation of KFK--4466. (orig./HP) [de
Experimental investigations on scaled models for the SNR-2 decay heat removal by natural convection
International Nuclear Information System (INIS)
Hoffmann, H.; Weinberg, D.; Tschoeke, H.; Frey, H.H.; Pertmer, G.
1986-01-01
Scaled water models are used to prove the mode of function of the decay heat removal by natural convection for the SNR-2. The 2D and 3D models were designed to reach the characteristic numbers (Richardson, Peclet) of the reactor. In the experiments on 2D models the position of the immersed cooler (IC) and the power were varied. Temperature fields and velocities were measured. The IC installed as a separate component in the hot plenum resulted in a very complex flow behavior and low temperatures. Integrating the IC in the IHX showed a very simple circulating flow and high temperatures within the hot plenum. With increasing power only slightly rising temperature differences within the core and IC were detected. Recalculations using the COMMIX 1B code gave qualitatively satisfying results. (author)
A High-Level Functional Architecture for GNSS-Based Road Charging Systems
DEFF Research Database (Denmark)
Zabic, Martina
2011-01-01
, a short introduction is provided followed by a presentation of the system engineering methodology to illustrate how and why system architectures can be beneficial for GNSS-based road charging systems. Hereafter, a basic set of system functions is determined based on functional system requirements, which...... charging systems, it is important to highlight the overall system architecture which is the framework that defines the basic functions and important concepts of the system. This paper presents a functional architecture for GNSS-based road charging systems based on the concepts of system engineering. First...... defines the necessary tasks that these systems must accomplish. Finally, this paper defines the system functionalities; and provides a generic high-level functional architecture for GNSS-based road charging systems....
Yellamsetty, Anusha; Bidelman, Gavin M
2018-04-01
Parsing simultaneous speech requires listeners use pitch-guided segregation which can be affected by the signal-to-noise ratio (SNR) in the auditory scene. The interaction of these two cues may occur at multiple levels within the cortex. The aims of the current study were to assess the correspondence between oscillatory brain rhythms and determine how listeners exploit pitch and SNR cues to successfully segregate concurrent speech. We recorded electrical brain activity while participants heard double-vowel stimuli whose fundamental frequencies (F0s) differed by zero or four semitones (STs) presented in either clean or noise-degraded (+5 dB SNR) conditions. We found that behavioral identification was more accurate for vowel mixtures with larger pitch separations but F0 benefit interacted with noise. Time-frequency analysis decomposed the EEG into different spectrotemporal frequency bands. Low-frequency (θ, β) responses were elevated when speech did not contain pitch cues (0ST > 4ST) or was noisy, suggesting a correlate of increased listening effort and/or memory demands. Contrastively, γ power increments were observed for changes in both pitch (0ST > 4ST) and SNR (clean > noise), suggesting high-frequency bands carry information related to acoustic features and the quality of speech representations. Brain-behavior associations corroborated these effects; modulations in low-frequency rhythms predicted the speed of listeners' perceptual decisions with higher bands predicting identification accuracy. Results are consistent with the notion that neural oscillations reflect both automatic (pre-perceptual) and controlled (post-perceptual) mechanisms of speech processing that are largely divisible into high- and low-frequency bands of human brain rhythms. Copyright © 2018 Elsevier B.V. All rights reserved.
Electrostatic Power Generation from Negatively Charged, Simulated Lunar Regolith
Choi, Sang H.; King, Glen C.; Kim, Hyun-Jung; Park, Yeonjoon
2010-01-01
Research was conducted to develop an electrostatic power generator for future lunar missions that facilitate the utilization of lunar resources. The lunar surface is known to be negatively charged from the constant bombardment of electrons and protons from the solar wind. The resulting negative electrostatic charge on the dust particles, in the lunar vacuum, causes them to repel each other minimizing the potential. The result is a layer of suspended dust about one meter above the lunar surface. This phenomenon was observed by both Clementine and Surveyor spacecrafts. During the Apollo 17 lunar landing, the charged dust was a major hindrance, as it was attracted to the astronauts' spacesuits, equipment, and the lunar buggies. The dust accumulated on the spacesuits caused reduced visibility for the astronauts, and was unavoidably transported inside the spacecraft where it caused breathing irritation [1]. In the lunar vacuum, the maximum charge on the particles can be extremely high. An article in the journal "Nature", titled "Moon too static for astronauts?" (Feb 2, 2007) estimates that the lunar surface is charged with up to several thousand volts [2]. The electrostatic power generator was devised to alleviate the hazardous effects of negatively charged lunar soil by neutralizing the charged particles through capacitive coupling and thereby simultaneously harnessing power through electric charging [3]. The amount of power generated or collected is dependent on the areal coverage of the device and hovering speed over the lunar soil surface. A thin-film array of capacitors can be continuously charged and sequentially discharged using a time-differentiated trigger discharge process to produce a pulse train of discharge for DC mode output. By controlling the pulse interval, the DC mode power can be modulated for powering devices and equipment. In conjunction with a power storage system, the electrostatic power generator can be a power source for a lunar rover or other
International Nuclear Information System (INIS)
Bradley, D.I.; Guenault, A.M.; Keith, V.; Miller, I.E.; Pickett, G.R.; Bradshaw, T.W.; Locke-Scobie, B.G.
1982-01-01
The design philosophy, design, construction and performance of a dilution refrigerator specifically intended for nuclear cooling experiments in the submillikelvin regime is described. Attention has been paid from the outset to minimizing sources of heat leaks, and to achieving a low base temperature and relatively high cooling power below 10 mK. The refrigerator uses sintered silver heat exchangers similar to those developed at Grenoble. The machine has a base temperature of 3 mK or lower and can precool a copper nuclear specimen in 6.8 T to 8 mK in 70 h. The heat leak to the innermost nuclear stage is < 30 pW after only a few days' running. (author)
TOFPET 2: A high-performance circuit for PET time-of-flight
Energy Technology Data Exchange (ETDEWEB)
Di Francesco, Agostino, E-mail: agodifra@lip.pt [LIP, Lisbon (Portugal); Bugalho, Ricardo [LIP, Lisbon (Portugal); PETsys Electronics, Oeiras (Portugal); Oliveira, Luis [CTS-UNINOVA, DEE FCT-UNL, Caparica (Portugal); Rivetti, Angelo [INFN - sez. Torino (Italy); Rolo, Manuel [LIP, Lisbon (Portugal); INFN - sez. Torino (Italy); Silva, Jose C.; Varela, Joao [LIP, Lisbon (Portugal); PETsys Electronics, Oeiras (Portugal)
2016-07-11
We present a readout and digitization ASIC featuring low-noise and low-power for time-of flight (TOF) applications using SiPMs. The circuit is designed in standard CMOS 110 nm technology, has 64 independent channels and is optimized for time-of-flight measurement in Positron Emission Tomography (TOF-PET). The input amplifier is a low impedance current conveyor based on a regulated common-gate topology. Each channel has quad-buffered analogue interpolation TDCs (time binning 20 ps) and charge integration ADCs with linear response at full scale (1500 pC). The signal amplitude can also be derived from the measurement of time-over-threshold (ToT). Simulation results show that for a single photo-electron signal with charge 200 (550) fC generated by a SiPM with (320 pF) capacitance the circuit has 24 (30) dB SNR, 75 (39) ps r.m.s. resolution, and 4 (8) mW power consumption. The event rate is 600 kHz per channel, with up to 2 MHz dark counts rejection.
Multipath detection with the combination of SNR measurements - Example from urban environment
Špánik, Peter; Hefty, Ján
2017-12-01
Multipath is one of the most severe station-dependent error sources in both static and kinematic positioning. Relatively new and simple detection technique using the Signal-to-Noise (SNR) measurements on three frequencies will be presented based on idea of Strode and Groves. Exploitation of SNR measurements is benefi cial especially for their unambiguous character. Method is based on the fact that SNR values are closely linked with estimation of pseudo-ranges and phase measurements during signal correlation processing. Due to this connection, combination of SNR values can be used to detect anomalous behavior in received signal, however some kind of calibration in low multipath environment has to be done previously. In case of multipath, phase measurements on different frequencies will not be affected in the same manner. Specular multipath, e.g. from building wall introduces additional path delay which is interpreted differently on each of the used carrier, due to different wavelengths. Experimental results of multipath detection in urban environment will be presented. Originally proposed method is designed to work with three different frequencies in each epoch, thus only utilization of GPS Block II-F and Galileo satellites is possible. Simplification of detection statistics to use only two frequencies is made and results using GPS and GLONASS systems are presented along with results obtained using original formula.
High Dynamic Range adaptive ΔΣ-based Focal Plane Array architecture
Yao, Shun
2012-10-16
In this paper, an Adaptive Delta-Sigma based architecture for High Dynamic Range (HDR) Focal Plane Arrays is presented. The noise shaping effect of the Delta-Sigma modulation in the low end, and the distortion noise induced in the high end of Photo-diode current were analyzed in detail. The proposed architecture can extend the DR for about 20N log2 dB at the high end of Photo-diode current with an N bit Up-Down counter. At the low end, it can compensate for the larger readout noise by employing Extended Counting. The Adaptive Delta-Sigma architecture employing a 4-bit Up-Down counter achieved about 160dB in the DR, with a Peak SNR (PSNR) of 80dB at the high end. Compared to the other HDR architectures, the Adaptive Delta-Sigma based architecture provides the widest DR with the best SNR performance in the extended range.
A novel on-chip high to low voltage power conversion circuit
International Nuclear Information System (INIS)
Wang Hui; Wang Songlin; Mou Zaixin; Guo Baolong; Lai Xinquan; Ye Qiang; Li Xianrui
2009-01-01
A novel power supply transform technique for high voltage IC based on the TSMC 0.6 μm BCD process is achieved. An adjustable bandgap voltage reference is presented which is different from the traditional power supply transform technique. It can be used as an internal power supply for high voltage IC by using the push-pull output stage to enhance its load capability. High-order temperature compensated circuit is designed to ensure the precision of the reference. Only 0.01 mm 2 area is occupied using this novel power supply technique. Compared with traditional technique, 50% of the area is saved, 40% quiescent power loss is decreased, and the temperature coefficient of the reference is only 4.48 ppm/deg. C. Compared with the traditional LDO (low dropout) regulator, this power conversion architecture does not need external output capacitance and decreases the chip-pin and external components, so the PCB area and design cost are also decreased. The testing results show that this circuit works well.
A novel on-chip high to low voltage power conversion circuit
Energy Technology Data Exchange (ETDEWEB)
Wang Hui; Wang Songlin; Mou Zaixin; Guo Baolong [Institute of Mechano-electronic Engineering, Xidian University, Xi' an 71007 (China); Lai Xinquan; Ye Qiang; Li Xianrui, E-mail: whui94@126.co [Institute of Electronic CAD, Xidian University, Xi' an 710071 (China)
2009-03-15
A novel power supply transform technique for high voltage IC based on the TSMC 0.6 mum BCD process is achieved. An adjustable bandgap voltage reference is presented which is different from the traditional power supply transform technique. It can be used as an internal power supply for high voltage IC by using the push-pull output stage to enhance its load capability. High-order temperature compensated circuit is designed to ensure the precision of the reference. Only 0.01 mm{sup 2} area is occupied using this novel power supply technique. Compared with traditional technique, 50% of the area is saved, 40% quiescent power loss is decreased, and the temperature coefficient of the reference is only 4.48 ppm/deg. C. Compared with the traditional LDO (low dropout) regulator, this power conversion architecture does not need external output capacitance and decreases the chip-pin and external components, so the PCB area and design cost are also decreased. The testing results show that this circuit works well.
Low-Voltage 96 dB Snapshot CMOS Image Sensor with 4.5 nW Power Dissipation per Pixel
Directory of Open Access Journals (Sweden)
Orly Yadid-Pecht
2012-07-01
Full Text Available Modern “smart” CMOS sensors have penetrated into various applications, such as surveillance systems, bio-medical applications, digital cameras, cellular phones and many others. Reducing the power of these sensors continuously challenges designers. In this paper, a low power global shutter CMOS image sensor with Wide Dynamic Range (WDR ability is presented. This sensor features several power reduction techniques, including a dual voltage supply, a selective power down, transistors with different threshold voltages, a non-rationed logic, and a low voltage static memory. A combination of all these approaches has enabled the design of the low voltage “smart” image sensor, which is capable of reaching a remarkable dynamic range, while consuming very low power. The proposed power-saving solutions have allowed the maintenance of the standard architecture of the sensor, reducing both the time and the cost of the design. In order to maintain the image quality, a relation between the sensor performance and power has been analyzed and a mathematical model, describing the sensor Signal to Noise Ratio (SNR and Dynamic Range (DR as a function of the power supplies, is proposed. The described sensor was implemented in a 0.18 um CMOS process and successfully tested in the laboratory. An SNR of 48 dB and DR of 96 dB were achieved with a power dissipation of 4.5 nW per pixel.
Low Power Very High Frequency Switch-Mode Power Supply with 50 V Input and 5 V Output
DEFF Research Database (Denmark)
Madsen, Mickey Pierre; Knott, Arnold; Andersen, Michael A. E.
2014-01-01
This paper presents the design of a resonant converter with a switching frequency in the very high frequencyrange (30-300 MHz), a large step down ratio (10 times) and low output power (1 W). Several different invertersand rectifiers are analyzed and compared. The class E inverter and rectifier...... are selected based on complexity andefficiency estimates. Three different power stages are implemented; one with a large input inductor, one with a switch with small capacitances and one with a switch with low on resistance. The power stages are designed with the same specifications and efficiencies from 60...
Silicon-Based Lithium-Ion Capacitor for High Energy and High Power Application
Wu, James J.; Demattia, Brianne; Loyselle, Patricia; Reid, Concha; Kohout, Lisa
2017-01-01
Si-based Li-ion capacitor has been developed and demonstrated. The results show it is feasible to improve both power density and energy density in this configuration. The applied current density impacts the power and energy density: low current favors energy density while high current favors power density. Active carbon has a better rate capability than Si. Next StepsFuture Directions. Si electrode needs to be further studied and improved. Further optimization of SiAC ratio and evaluation of its impact on energy density and power density.
Influence Analysis of Shell Material and Charge on Shrapnel Lethal Power
Directory of Open Access Journals (Sweden)
Wang Lin
2015-01-01
Full Text Available To compare the shrapnel lethal power with different shell material and charge, LS-DYNA was used to numerically simulate four kinds of shrapnel lethal power. The shell material was 58SiMn, 50SiMnVB or 40Cr, whereas the charge was RL-F. And the shell material was 58SiMn, whereas the charge was TNT. The shell rupture process and lethal power test were analyzed. The results show that, the lethal power of RL-F charge increase by 25%, 45%, 14% compared with the TNT charge, whereas the shell material was 58SiMn, 50SiMnVB, 40Cr. And then the guarantee range and lethal power can be improved by using the high explosive and changing shell material, whereas the projectile shape coefficient is invariable.
Shi, Chenguang; Wang, Fei; Salous, Sana; Zhou, Jianjiang
2017-11-25
In this paper, we investigate a low probability of intercept (LPI)-based optimal power allocation strategy for a joint bistatic radar and communication system, which is composed of a dedicated transmitter, a radar receiver, and a communication receiver. The joint system is capable of fulfilling the requirements of both radar and communications simultaneously. First, assuming that the signal-to-noise ratio (SNR) corresponding to the target surveillance path is much weaker than that corresponding to the line of sight path at radar receiver, the analytically closed-form expression for the probability of false alarm is calculated, whereas the closed-form expression for the probability of detection is not analytically tractable and is approximated due to the fact that the received signals are not zero-mean Gaussian under target presence hypothesis. Then, an LPI-based optimal power allocation strategy is presented to minimize the total transmission power for information signal and radar waveform, which is constrained by a specified information rate for the communication receiver and the desired probabilities of detection and false alarm for the radar receiver. The well-known bisection search method is employed to solve the resulting constrained optimization problem. Finally, numerical simulations are provided to reveal the effects of several system parameters on the power allocation results. It is also demonstrated that the LPI performance of the joint bistatic radar and communication system can be markedly improved by utilizing the proposed scheme.
Gonzalo, I. B.; Maria, M.; Engelsholm, R. D.; Feuchter, T.; Leick, L.; Moselund, P. M.; Podoleanu, A.; Bang, O.
2018-02-01
Supercontinuum (SC) sources are of great interest for many applications due to their ultra-broad optical bandwidth, good beam quality and high power spectral density [1]. In particular, the high average power over large bandwidths makes SC light sources excellent candidates for ultra-high resolution optical coherence tomography (UHR-OCT) [2-5]. However, conventional SC sources suffer from high pulse-to-pulse intensity fluctuations as a result of the noise-sensitive nonlinear effects involved in the SC generation process [6-9]. This intensity noise from the SC source can limit the performance of OCT, resulting in a reduced signal-to-noise ratio (SNR) [10-12]. Much work has been done to reduce the noise of the SC sources for instance with fiber tapers [7,8] or increasing the repetition rate of the pump laser for averaging in the spectrometer [10,12]. An alternative approach is to use all-normal dispersion (ANDi) fibers [13,14] to generate SC light from well-known coherent nonlinear processes [15-17]. In fact, reduction of SC noise using ANDi fibers compared to anomalous dispersion SC pumped by sub-picosecond pulses has been recently demonstrated [18], but a cladding mode was used to stabilize the ANDi SC. In this work, we characterize the noise performance of a femtosecond pumped ANDi based SC and a commercial SC source in an UHR-OCT system at 1300 nm. We show that the ANDi based SC presents exceptional noise properties compared to a commercial source. An improvement of 5 dB in SNR is measured in the UHR-OCT system, and the noise behavior resembles that of a superluminiscent diode. This preliminary study is a step forward towards development of an ultra-low noise SC source at 1300 nm for ultra-high resolution OCT.
Directory of Open Access Journals (Sweden)
Mortaza Aghbashlo
2016-12-01
Full Text Available In this study, a novel low power, high frequency piezoelectric-based ultrasonic reactor was developed and evaluated for intensifying the transesterification process. The reactor was equipped with an automatic temperature control system, a heating element, a precise temperature sensor, and a piezoelectric-based ultrasonic module. The conversion efficiency and specific energy consumption of the reactor were examined under different operational conditions, i.e., reactor temperature (40‒60 °C, ultrasonication time (6‒10 min, and alcohol/oil molar ratio (4:1‒8:1. Transesterification of waste cooking oil (WCO was performed in the presence of a base-catalyst (potassium hydroxide using methanol. According to the obtained results, alcohol/oil molar ratio of 6:1, ultrasonication time of 10 min, and reactor temperature of 60 °C were found as the best operational conditions. Under these conditions, the reactor converted WCO to biodiesel with a conversion efficiency of 97.12%, meeting the ASTM standard satisfactorily, while the lowest specific energy consumption of 378 kJ/kg was also recorded. It should be noted that the highest conversion efficiency of 99.3 %, achieved at reactor temperature of 60 °C, ultrasonication time of 10 min, and alcohol/oil molar ratio of 8:1, was not favorable as the associated specific energy consumption was higher at 395 kJ/kg. Overall, the low power, high frequency piezoelectric-based ultrasonic module could be regarded as an efficient and reliable technology for intensifying the transesterification process in terms of energy consumption, conversion efficiency, and processing time, in comparison with high power, low frequency ultrasonic system reported previously. Finally, this technology could also be considered for designing, developing, and retrofitting chemical reactors being employed for non-biofuel applications as well.
Energy Technology Data Exchange (ETDEWEB)
None
2012-01-01
REACT Project: The University of Houston will develop a low-cost, high-current superconducting wire that could be used in high-power wind generators. Superconducting wire currently transports 600 times more electric current than a similarly sized copper wire, but is significantly more expensive. The University of Houston’s innovation is based on engineering nanoscale defects in the superconducting film. This could quadruple the current relative to today’s superconducting wires, supporting the same amount of current using 25% of the material. This would make wind generators lighter, more powerful and more efficient. The design could result in a several-fold reduction in wire costs and enable their commercial viability of high-power wind generators for use in offshore applications.
Decision Optimization for Power Grid Operating Conditions with High- and Low-Voltage Parallel Loops
Directory of Open Access Journals (Sweden)
Dong Yang
2017-05-01
Full Text Available With the development of higher-voltage power grids, the high- and low-voltage parallel loops are emerging, which lead to energy losses and even threaten the security and stability of power systems. The multi-infeed high-voltage direct current (HVDC configurations widely appearing in AC/DC interconnected power systems make this situation even worse. Aimed at energy saving and system security, a decision optimization method for power grid operating conditions with high- and low-voltage parallel loops is proposed in this paper. Firstly, considering hub substation distribution and power grid structure, parallel loop opening schemes are generated with GN (Girvan-Newman algorithms. Then, candidate opening schemes are preliminarily selected from all these generated schemes based on a filtering index. Finally, with the influence on power system security, stability and operation economy in consideration, an evaluation model for candidate opening schemes is founded based on analytic hierarchy process (AHP. And a fuzzy evaluation algorithm is used to find the optimal scheme. Simulation results of a New England 39-bus system and an actual power system validate the effectiveness and superiority of this proposed method.
The Linac4 DTL Prototype: Low and High Power Measurements
De Michele, G; Marques-Balula, J; Ramberger, S
2012-01-01
The prototype of the Linac4 Drift Tube Linac (DTL) has undergone low power measurements in order to verify the RF coupling and to adjust the post-coupler lengths based on bead-pull and spectrum measurements. Following the installation at the test stand, the cavity has been subjected to high power operation at Linac4 and SPL duty cycles. Saturation effects and multipacting have been observed and linked to X-ray emission. Voltage holding is reported in the presence of magnetic fields from permanent magnet quadrupoles (PMQ) installed in the first drift tubes.
Low charge state heavy ion production with sub-nanosecond laser.
Kanesue, T; Kumaki, M; Ikeda, S; Okamura, M
2016-02-01
We have investigated laser ablation plasma of various species using nanosecond and sub-nanosecond lasers for both high and low charge state ion productions. We found that with sub-nanosecond laser, the generated plasma has a long tail which has low charge state ions determined by an electrostatic ion analyzer even under the laser irradiation condition for highly charged ion production. This can be caused by insufficient laser absorption in plasma plume. This property might be suitable for low charge state ion production. We used a nanosecond laser and a sub-nanosecond laser for low charge state ion production to investigate the difference of generated plasma using the Zirconium target.
Low charge state heavy ion production with sub-nanosecond laser
Energy Technology Data Exchange (ETDEWEB)
Kanesue, T., E-mail: tkanesue@bnl.gov; Okamura, M. [Collider-Accelerator Department, Brookhaven National Laboratory, Upton, New York 11973 (United States); Kumaki, M. [Research Institute for Science and Engineering, Waseda University, Tokyo 169-8555 (Japan); Nishina Center for Accelerator-Based Science, RIKEN, Saitama 351-0198 (Japan); Ikeda, S. [Nishina Center for Accelerator-Based Science, RIKEN, Saitama 351-0198 (Japan); Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, Kanagawa 226-8503 (Japan)
2016-02-15
We have investigated laser ablation plasma of various species using nanosecond and sub-nanosecond lasers for both high and low charge state ion productions. We found that with sub-nanosecond laser, the generated plasma has a long tail which has low charge state ions determined by an electrostatic ion analyzer even under the laser irradiation condition for highly charged ion production. This can be caused by insufficient laser absorption in plasma plume. This property might be suitable for low charge state ion production. We used a nanosecond laser and a sub-nanosecond laser for low charge state ion production to investigate the difference of generated plasma using the Zirconium target.
Directory of Open Access Journals (Sweden)
Geert Deconinck
2015-12-01
Full Text Available The charging of electric vehicles (EVs impacts the distribution grid, and its cost depends on the price of electricity when charging. An aggregator that is responsible for a large fleet of EVs can use a market-based control algorithm to coordinate the charging of these vehicles, in order to minimize the costs. In such an optimization, the operational parameters of the distribution grid, to which the EVs are connected, are not considered. This can lead to violations of the technical constraints of the grid (e.g., under-voltage, phase unbalances; for example, because many vehicles start charging simultaneously when the price is low. An optimization that simultaneously takes the economic and technical aspects into account is complex, because it has to combine time-driven control at the market level with event-driven control at the operational level. Different case studies investigate under which circumstances the market-based control, which coordinates EV charging, conflicts with the operational constraints of the distribution grid. Especially in weak grids, phase unbalance and voltage issues arise with a high share of EVs. A low-level voltage droop controller at the charging point of the EV can be used to avoid many grid constraint violations, by reducing the charge power if the local voltage is too low. While this action implies a deviation from the cost-optimal operating point, it is shown that this has a very limited impact on the business case of an aggregator, and is able to comply with the technical distribution grid constraints, even in weak distribution grids with many EVs.
Li, Dongdong; Ye, Chao; Chen, Xinzhi; Wang, Suqing; Wang, Haihui
2018-04-01
The sodium ion hybrid capacitor (SHC) has been attracting much attention. However, the SHC's power density is significantly confined to a low level due to the sluggish ion diffusion in the anode. Herein, we propose to use an electrode with a high double layer capacitance as the anode in the SHC instead of insertion anodes. To this aim, nitrogen doped hollow carbon nanowires (N-HCNWs) with a high specific surface area are prepared, and the high capacitive contribution during the sodium ion storage process is confirmed by a series of electrochemical measurements. A new SHC consisting of a N-HCNW anode and a commercial active carbon (AC) cathode is fabricated for the first time. Due to the hybrid charge storage mechanism combining ion insertion and capacitive process, the as-fabricated SHC strikes a balance between the energy density and power density, a energy density of 108 Wh kg-1 and a power density of 9 kW kg-1 can be achieved, which overwhelms the electrochemical performances of most reported AC-based SHCs.
High reliability EPI-base radiation hardened power transistor
International Nuclear Information System (INIS)
Clark, L.E.; Saltich, J.L.
1978-01-01
A high-voltage power transistor is described which is able to withstand fluences as high as 3 x 10 14 neutrons per square centimeter and still be able to operate satisfactorily. The collector may be made essentially half as thick and twice as heavily doped as normally and its base is made in two regions which together are essentially four times as thick as the normal power transistor base region. The base region has a heavily doped upper region and a lower region intermediate the upper heavily doped region and the collector. The doping in the intermediate region is as close to intrinsic as possible, in any event less than about 3 x 10 15 impurities per cubic centimeter. The second base region has small width in comparison to the first base region, the ratio of the first to the second being at least about 5 to 1. The base region having the upper heavily doped region and the intermediate or lower low doped region contributes to the higher breakdown voltage which the transistor is able to withstand. The high doping of the collector region essentially lowers that portion of the breakdown voltage achieved by the collector region. Accordingly, it is necessary to transfer certain of this breakdown capability to the base region and this is achieved by using the upper region of heavily doped and an intermediate or lower region of low doping
Relations between high and low power groups: the importance of legitimacy.
Hornsey, Matthew J; Spears, Russell; Cremers, Iris; Hogg, Michael A
2003-02-01
Using a social identity perspective, two experiments examined the effects of power and the legitimacy of power differentials on intergroup bias. In Experiment 1, 125 math-science students were led to believe that they had high or low representation in a university decision-making body relative to social-science students and that this power position was either legitimate or illegitimate. Power did not have an independent effect on bias; rather, members of both high and low power groups showed more bias when the power hierarchy was illegitimate than when it was legitimate. This effect was replicated in Experiment 2 (N = 105). In addition, Experiment 2 showed that groups located within an unfair power hierarchy expected the superordinate power body to be more discriminatory than did those who had legitimately high or low power. The results are discussed in terms of their implications for group relations. Copyright 2003 Society for Personality and Social Psychology, Inc.
Charge exchange as a recombination mechanism in high-temperature plasmas
International Nuclear Information System (INIS)
Hulse, R.A.; Post, D.E.; Mikkelsen, D.R.
1980-03-01
Charge exchange with neutral hydrogen is examined as a recombination mechanism for multi-charged impurity ions present in high-temperature fusion plasmas. At sufficiently low electron densities, fluxes of atomic hydrogen produced by either the injection of neutral heating beams or the background of thermal neutrals can yield an important or even dominant recombination process for such ions. Equilibrium results are given for selected impurity elements showing the altered ionization balance and radiative cooling rate produced by the presence of various neutral populations. A notable result is that the stripping of impurities to relatively non-radiative ionization states with increasing electron temperature can be postponed or entirely prevented by the application of intense neutral beam heating power. A time dependent calculation modelling the behavior of iron in recent PLT tokamak high power neutral beam heating experiments is also presented
International Nuclear Information System (INIS)
De Haas Van Dorsser, A.H.; Mausbeck, H.
1976-01-01
The DEBENELUX prototype fast reactor power plant SNR 300 at Kalkar has a loop-type heat transfer system similar to that of the prototype LMFBR plants in the USA and Japan. There exist three 257 MW/sub th/ primary sodium loops, each with a hot leg centrifugal pump and three 85.6 MW/sub th/ intermediate heat exchangers in parallel. From there the heat is transferred to the steam generators via three secondary sodium loops with one cold leg sodium circulating pump in each. At a nominal reactor outlet temperature of 819 0 K and a turbine inlet power of 771 MW/sub th/ super heated steam of 166 bar and 733 0 K is produced, giving rise to a plant rating of 327 MW/sub e/ gross. The primary and secondary loops are described in detail
State of Charge Estimation Based on Microscopic Driving Parameters for Electric Vehicle's Battery
Directory of Open Access Journals (Sweden)
Enjian Yao
2013-01-01
Full Text Available Recently, battery-powered electric vehicle (EV has received wide attention due to less pollution during use, low noise, and high energy efficiency and is highly expected to improve urban air quality and then mitigate energy and environmental pressure. However, the widespread use of EV is still hindered by limited battery capacity and relatively short cruising range. This paper aims to propose a state of charge (SOC estimation method for EV’s battery necessary for route planning and dynamic route guidance, which can help EV drivers to search for the optimal energy-efficient routes and to reduce the risk of running out of electricity before arriving at the destination or charging station. Firstly, by analyzing the variation characteristics of power consumption rate with initial SOC and microscopic driving parameters (instantaneous speed and acceleration, a set of energy consumption rate models are established according to different operation modes. Then, the SOC estimation model is proposed based on the presented EV power consumption model. Finally, by comparing the estimated SOC with the measured SOC, the proposed SOC estimation method is proved to be highly accurate and effective, which can be well used in EV route planning and navigation systems.
Implementation of FPGA-Based Charge Control for a Self-Sufficient Solar Tracking Power Supply System
Directory of Open Access Journals (Sweden)
Jui-Ho Chen
2016-02-01
Full Text Available This study used a field-programmable gate array (FPGA with a Xilinx Spartan-3 FPGA to implement Reflex charge control in a dual-axis solar tracking system with maximum power point tracking (MPPT. The chaos embedded particle swarm optimization method was used to search for the optimum gain constants of the PI controller and the Reflex charging frequency. This scheme not only increases the output power of solar panels but also has a significant effect on switching loss and oscillation of solar charging. The experiment results showed that the proposed method can also significantly improve temperature rise, and that charging efficiency is also better than it is in a traditional charge mode. The results also showed that charging power was enough for solar tracking and the requirements of the charging system. The most significant contribution of this paper is that the scheme can be applied to any active solar tracking and charging system.
Highly Sensitive Bulk Silicon Chemical Sensors with Sub-5 nm Thin Charge Inversion Layers.
Fahad, Hossain M; Gupta, Niharika; Han, Rui; Desai, Sujay B; Javey, Ali
2018-03-27
There is an increasing demand for mass-producible, low-power gas sensors in a wide variety of industrial and consumer applications. Here, we report chemical-sensitive field-effect-transistors (CS-FETs) based on bulk silicon wafers, wherein an electrostatically confined sub-5 nm thin charge inversion layer is modulated by chemical exposure to achieve a high-sensitivity gas-sensing platform. Using hydrogen sensing as a "litmus" test, we demonstrate large sensor responses (>1000%) to 0.5% H 2 gas, with fast response (<60 s) and recovery times (<120 s) at room temperature and low power (<50 μW). On the basis of these performance metrics as well as standardized benchmarking, we show that bulk silicon CS-FETs offer similar or better sensing performance compared to emerging nanostructures semiconductors while providing a highly scalable and manufacturable platform.
Parylene-based electret power generators
International Nuclear Information System (INIS)
Lo, Hsi-wen; Tai, Yu-Chong
2008-01-01
An electret power generator is developed using a new electret made of a charged parylene HT® thin-film polymer. Here, parylene HT® is a room-temperature chemical-vapor-deposited thin-film polymer that is MEMS and CMOS compatible. With corona charge implantation, the surface charge density of parylene HT® is measured as high as 3.69 mC m −2 . Moreover, it is found that, with annealing at 400 °C for 1 h before charge implantation, both the long-term stability and the high-temperature reliability of the electret are improved. For the generator, a new design of the stator/rotor is also developed. The new micro electret generator does not require any sophisticated gap-controlling structure such as tethers. With the conformal coating capability of parylene HT®, it is also feasible to have the electret on the rotors, which is made of either a piece of metal or an insulator. The maximum power output, 17.98 µW, is obtained at 50 Hz with an external load of 80 MΩ. For low frequencies, the generator can harvest 7.7 µW at 10 Hz and 8.23 µW at 20 Hz
Design of a low power 10 bit 300 ksps multi-channel SAR ADC for wireless sensor network applications
International Nuclear Information System (INIS)
Hong Hui; Li Shiliang; Zhou Tao
2015-01-01
This paper presents a low power 10 bit 300 ksps successive approximation register analog-to-digital converter (SAR ADC) which is applied in wireless sensor network (WSN) applications. A single ended energy-saving split capacitor DAC array and a latch comparator with a rail to rail input stage are utilized to implement the ADC, which can reduce power dissipation while expanding the full scale input range and improve the signal-to-noise ratio (SNR). For power optimization the supply voltage of the SAR ADC is designed to be as low as 2 V. Four analog input channels are designed which make the ADC more suitable for WSN applications. The prototype circuit is fabricated using 3.3 V, 0.35 μm 2P4M CMOS technology and occupies an active chip area of 1.23 mm 2 . The test results show that the power dissipation is only 200 μW at a 2 V power supply and a sampling rate of 166 ksps. The calculated SNR is 58.25 dB, the ENOB is 9.38 bit and the FOM is 4.95 pJ/conversion-step. (paper)
Multipath detection with the combination of SNR measurements – Example from urban environment
Directory of Open Access Journals (Sweden)
Špánik Peter
2017-12-01
Full Text Available Multipath is one of the most severe station-dependent error sources in both static and kinematic positioning. Relatively new and simple detection technique using the Signal-to-Noise (SNR measurements on three frequencies will be presented based on idea of Strode and Groves. Exploitation of SNR measurements is benefi cial especially for their unambiguous character. Method is based on the fact that SNR values are closely linked with estimation of pseudo-ranges and phase measurements during signal correlation processing. Due to this connection, combination of SNR values can be used to detect anomalous behavior in received signal, however some kind of calibration in low multipath environment has to be done previously. In case of multipath, phase measurements on different frequencies will not be affected in the same manner. Specular multipath, e.g. from building wall introduces additional path delay which is interpreted differently on each of the used carrier, due to different wavelengths. Experimental results of multipath detection in urban environment will be presented. Originally proposed method is designed to work with three different frequencies in each epoch, thus only utilization of GPS Block II-F and Galileo satellites is possible. Simplification of detection statistics to use only two frequencies is made and results using GPS and GLONASS systems are presented along with results obtained using original formula.
DEFF Research Database (Denmark)
Ni, L.-X; Sun, K.; Zhang, L.
2011-01-01
The thermoelectric generation (TEG) system has its special charactristics of high stablility, low voltage and high current output, which is different from PV modules. The power conditioning system and control schemes used in PV applications cannot be directly applied to TEG applications. A power...... conditioning system for TEG based on interleaved Boost converter with maximum power point tracking (MPPT) control is investigated in this paper. Since an internal resistance exists inside TEG modules, an improved perturbation and observation (P&O) MPPT control scheme with power limit is proposed to extract...... maximum power from TEG by matching the load with internal resistance. Since the battery is usually employed as the load for TEG systems, the interleaved Boost converter operates in two different modes for battery charging: before the battery is fully charged, the system outputs the maximum power (MPPT...
Power MOSFET-diode-based limiter for high-frequency ultrasound systems.
Choi, Hojong; Kim, Min Gon; Cummins, Thomas M; Hwang, Jae Youn; Shung, K Kirk
2014-10-01
The purpose of the limiter circuits used in the ultrasound imaging systems is to pass low-voltage echo signals generated by ultrasonic transducers while preventing high-voltage short pulses transmitted by pulsers from damaging front-end circuits. Resistor-diode-based limiters (a 50 Ω resistor with a single cross-coupled diode pair) have been widely used in pulse-echo measurement and imaging system applications due to their low cost and simple architecture. However, resistor-diode-based limiters may not be suited for high-frequency ultrasound transducer applications since they produce large signal conduction losses at higher frequencies. Therefore, we propose a new limiter architecture utilizing power MOSFETs, which we call a power MOSFET-diode-based limiter. The performance of a power MOSFET-diode-based limiter was evaluated with respect to insertion loss (IL), total harmonic distortion (THD), and response time (RT). We compared these results with those of three other conventional limiter designs and showed that the power MOSFET-diode-based limiter offers the lowest IL (-1.33 dB) and fastest RT (0.10 µs) with the lowest suppressed output voltage (3.47 Vp-p) among all the limiters at 70 MHz. A pulse-echo test was performed to determine how the new limiter affected the sensitivity and bandwidth of the transducer. We found that the sensitivity and bandwidth of the transducer were 130% and 129% greater, respectively, when combined with the new power MOSFET-diode-based limiter versus the resistor-diode-based limiter. Therefore, these results demonstrate that the power MOSFET-diode-based limiter is capable of producing lower signal attenuation than the three conventional limiter designs at higher frequency operation. © The Author(s) 2014.
A high-efficiency, low-noise power solution for a dual-channel GNSS RF receiver
International Nuclear Information System (INIS)
Shi Jian; Mo Taishan; Gan Yebing; Ma Chengyan; Ye Tianchun; Le Jianlian
2012-01-01
A high-efficiency low-noise power solution for a dual-channel GNSS RF receiver is presented. The power solution involves a DC—DC buck converter and a followed low-dropout regulator (LDO). The pulse-width-modulation (PWM) control method is adopted for better noise performance. An improved low-power high-frequency PWM control circuit is proposed, which halves the average quiescent current of the buck converter to 80 μA by periodically shutting down the OTA. The size of the output stage has also been optimized to achieve high efficiency under a light load condition. In addition, a novel soft-start circuit based on a current limiter has been implemented to avoid inrush current. Fabricated with commercial 180-nm CMOS technology, the DC—DC converter achieves a peak efficiency of 93.1% under a 2 MHz working frequency. The whole receiver consumes only 20.2 mA from a 3.3 V power supply and has a noise figure of 2.5 dB. (semiconductor integrated circuits)
New-generation low-power radiation survey instruments
International Nuclear Information System (INIS)
Waechter, D.A.; Bjarke, G.O.; Wolf, M.A.; Trujillo, F.; Umbarger, C.J.
1983-01-01
A number of new, ultra-low-powered radiation instruments have recently been developed at Los Alamos. Among these are two instruments which use a novel power source to eliminate costly batteries. The newly developed gamma detecting radiac, nicknamed the Firefly, and the alpha particle detecting instrument, called the Simple Cordless Alpha Monitor, both use recent advances in miniaturization and power-saving electronics to yield devices which are small, rugged, and very power-frugal. The two instruments consume so little power that the need for batteries to run them is eliminated. They are, instead, powered by a charged capacitor which will operate the instruments for an hour or more. Both line power and mechanical sources are used to charge the storage capacitors which power the instruments
Concepts on high temperature design analysis for SNR 300
International Nuclear Information System (INIS)
Bieniussa, K.; Zolti, E.
1976-01-01
The paper briefly describes the evolution, the present situation and the next activities on the design of high temperature components of the DEBENELUX prototype fast breeder reactor SNR-300 with particular regard to the design criteria. Elastic structural analyses are performed for the basic design of the components and are supplied by the manufacturer. In agreement with the Safety Experts simplified and/or detailed inelastic analyses of the critical areas are supplied by the prime contractor of the plant. The elastic computations are evaluated on the basis of a set of design rules derived from ASME Code Case Interpretation 1331-4 but with more conservative limits, and the inelastic ones on the basis of the ASME Code Case Interpretation 1592
Multiengine Speech Processing Using SNR Estimator in Variable Noisy Environments
Directory of Open Access Journals (Sweden)
Ahmad R. Abu-El-Quran
2012-01-01
Full Text Available We introduce a multiengine speech processing system that can detect the location and the type of audio signal in variable noisy environments. This system detects the location of the audio source using a microphone array; the system examines the audio first, determines if it is speech/nonspeech, then estimates the value of the signal to noise (SNR using a Discrete-Valued SNR Estimator. Using this SNR value, instead of trying to adapt the speech signal to the speech processing system, we adapt the speech processing system to the surrounding environment of the captured speech signal. In this paper, we introduced the Discrete-Valued SNR Estimator and a multiengine classifier, using Multiengine Selection or Multiengine Weighted Fusion. Also we use the SI as example of the speech processing. The Discrete-Valued SNR Estimator achieves an accuracy of 98.4% in characterizing the environment's SNR. Compared to a conventional single engine SI system, the improvement in accuracy was as high as 9.0% and 10.0% for the Multiengine Selection and Multiengine Weighted Fusion, respectively.
High-Speed, Low-Power ADC for Digital Beam Forming (DBF) Systems, Phase I
National Aeronautics and Space Administration — Ridgetop Group will design a high-speed, low-power silicon germanium (SiGe)-based, analog-to-digital converter (ADC) to be a key element for digital beam forming...
WSN-Based Space Charge Density Measurement System.
Deng, Dawei; Yuan, Haiwen; Lv, Jianxun; Ju, Yong
2017-01-01
It is generally acknowledged that high voltage direct current (HVDC) transmission line endures the drawback of large area, because of which the utilization of cable for space charge density monitoring system is of inconvenience. Compared with the traditional communication network, wireless sensor network (WSN) shows advantages in small volume, high flexibility and strong self-organization, thereby presenting great potential in solving the problem. Additionally, WSN is more suitable for the construction of distributed space charge density monitoring system as it has longer distance and higher mobility. A distributed wireless system is designed for collecting and monitoring the space charge density under HVDC transmission lines, which has been widely applied in both Chinese state grid HVDC test base and power transmission projects. Experimental results of the measuring system demonstrated its adaptability in the complex electromagnetic environment under the transmission lines and the ability in realizing accurate, flexible, and stable demands for the measurement of space charge density.
High-Speed, Low-Power ADC for Digital Beam Forming (DBF) Systems, Phase II
National Aeronautics and Space Administration — In Phase 1, Ridgetop Group designed a high-speed, yet low-power silicon germanium (SiGe)-based, analog-to-digital converter (ADC) to be a key element for digital...
Directory of Open Access Journals (Sweden)
Shichun Yang
2018-05-01
Full Text Available Wireless charging system for electric vehicles is a hot research issue in the world today. Since the existing research on wireless charging is mostly forward-looking aimed at low-power appliances like household appliances, while electric vehicles need a high-power, high-efficiency, and strong coupling wireless charging system. In this paper, we have specifically designed a 6.6 KW wireless charging system for electric vehicles and have proposed a control strategy suitable for electric vehicles according to its power charging characteristics and existing common wired charging protocol. Firstly, the influence of the equivalent load and frequency bifurcation on a wireless charging system is analyzed in this paper. Secondly, an adaptive load control strategy matching the characteristics of the battery, and the charging pile is put forward to meet the constant current and constant voltage charging requirements to improve the system efficiency. In addition, the frequency adjustment control strategy is designed to realize the real-time dynamic optimization of the entire system. It utilizes the improved methods of rapid judgment, variable step length matching and frequency splitting recognition, which are not adopted in early related researches. Finally, the results of 6.6 kW test show that the control strategy works perfectly since system response time can be reduced to less than 1 s, and the overall efficiency of the wireless charging system and the grid power supply module can reach up to 91%.
Wu, Shichao; Qiao, Yu; Yang, Sixie; Ishida, Masayoshi; He, Ping; Zhou, Haoshen
2017-06-01
Reducing the high charge potential is a crucial concern in advancing the performance of lithium-oxygen batteries. Here, for water-containing lithium-oxygen batteries with lithium hydroxide products, we find that a hydrogen peroxide aqueous solution added in the electrolyte can effectively promote the decomposition of lithium hydroxide compounds at the ultralow charge potential on a catalyst-free Ketjen Black-based cathode. Furthermore, for non-aqueous lithium-oxygen batteries with lithium peroxide products, we introduce a urea hydrogen peroxide, chelating hydrogen peroxide without any water in the organic, as an electrolyte additive in lithium-oxygen batteries with a lithium metal anode and succeed in the realization of the low charge potential of ~3.26 V, which is among the best levels reported. In addition, the undesired water generally accompanying hydrogen peroxide solutions is circumvented to protect the lithium metal anode and ensure good battery cycling stability. Our results should provide illuminating insights into approaches to enhancing lithium-oxygen batteries.
Piezoelectric energy harvesting for powering low power electronics
Energy Technology Data Exchange (ETDEWEB)
Leinonen, M.; Palosaari, J.; Hannu, J.; Juuti, J.; Jantunen, H. (Univ. of Oulu, Dept. of Electrical and Information Engineering (Finland)). email: jajuu@ee.oulu.fi
2009-07-01
Although wireless data transmission techniques are commonly used in electronic devices, they still suffer from wires for the power supply or from batteries which require charging, replacement and other maintenance. The vision for the portable electronics and industrial measurement systems of the future is that they are intelligent and independent on their energy supply. The major obstacle in this path is the energy source which enables all other functions and 'smartness' of the systems as the computing power is also restricted by the available energy. The development of long-life energy harvesters would reduce the need for batteries and wires thus enabling cost-effective and environment friendlier solutions for various applications such as autonomous wireless sensor networks, powering of portable electronics and other maintenance-free systems. One of the most promising techniques is mechanical energy harvesting e.g. by piezoelectric components where deformations produced by different means is directly converted to electrical charge via direct piezoelectric effect. Subsequently the electrical energy can be regulated or stored for further use. The total mechanical energy in vibration of machines can be very large and usually only a fraction of it can be transformed to electrical energy. Recently, piezoelectric vibration based energy harvesters have been developed widely for different energy consumption and application areas. As an example for low energy device an piezoelectric energy harvester based on impulse type excitations has been developed for active RFID identification. Moreover, piezoharvester with externally leveraged mechanism for force amplification was reported to be able to generate mean power of 0.4 mW from backpack movement. Significantly higher power levels are expected from larger scale testing in Israel, where piezoelectric material is embedded under active walking street, road, airport or railroad. The energy is harvested from human or
IVA2 verification: Expansion phase experiment in SNR geometry
International Nuclear Information System (INIS)
Kolev, N.I.
1987-09-01
Using the IVA2/005 computer code the SNR model explosion experiment SGI-09-1 was numerically simulated. The experiment consists of high pressure gas injection into a low pressure liquid pool with a free surface in a cylindrical geometry with internals. Bubble formation and pressure history as a function of time was predicted and compared with the experimental observation. A good agreement between theory and experiment was obtained. Numerical diffusion and its influence on the results are discussed. (orig.) [de
Low Power FPGA Based Solar Charge Sensor Design Using Frequency Scaling
DEFF Research Database (Denmark)
Tomar, Puneet; Gupta, Sheigali; Kaur, Amanpreet
2016-01-01
Resources of energy are degrading day by day the concept of energy saving is very important. Solar chargers are very most widely used devices which saves our energy resources. Use of Solar charges is now extremely increased. But the performance and effective output of these chargers depend upon h...
Operation mode switchable charge-trap memory based on few-layer MoS2
Hou, Xiang; Yan, Xiao; Liu, Chunsen; Ding, Shijin; Zhang, David Wei; Zhou, Peng
2018-03-01
Ultrathin layered two-dimensional (2D) semiconductors like MoS2 and WSe2 have received a lot of attention because of their excellent electrical properties and potential applications in electronic devices. We demonstrate a charge-trap memory with two different tunable operation modes based on a few-layer MoS2 channel and an Al2O3/HfO2/Al2O3 charge storage stack. Our device shows excellent memory properties under the traditional three-terminal operation mode. More importantly, unlike conventional charge-trap devices, this device can also realize the memory performance with just two terminals (drain and source) because of the unique atomic crystal electrical characteristics. Under the two-terminal operation mode, the erase/program current ratio can reach up to 104 with a stable retention property. Our study indicates that the conventional charge-trap memory cell can also realize the memory performance without the gate terminal based on novel two dimensional materials, which is meaningful for low power consumption and high integration density applications.
DEFF Research Database (Denmark)
Jannesar, Mohammad Rasol; Sedighi, Alireza; Savaghebi, Mehdi
2018-01-01
when photovoltaic penetration is increased in low voltage distribution network. Local battery energy storage system can mitigate these disadvantages and as a result, improve the system operation. For this purpose, battery energy storage system is charged when production of photovoltaic is more than...... consumers’ demands and discharged when consumers’ demands are increased. Since the price of battery energy storage system is high, economic, environmental, and technical objectives should be considered together for its placement and sizing. In this paper, optimal placement, sizing, and daily (24 h) charge......Proper installation of rooftop photovoltaic generation in distribution networks can improve voltage profile, reduce energy losses, and enhance the reliability. But, on the other hand, some problems regarding harmonic distortion, voltage magnitude, reverse power flow, and energy losses can arise...
MOSFET-like CNFET based logic gate library for low-power application: a comparative study
International Nuclear Information System (INIS)
Gowri Sankar, P. A.; Udhayakumar, K.
2014-01-01
The next generation of logic gate devices are expected to depend upon radically new technologies mainly due to the increasing difficulties and limitations of existing CMOS technology. MOSFET like CNFETs should ideally be the best devices to work with for high-performance VLSI. This paper presents results of a comprehensive comparative study of MOSFET-like carbon nanotube field effect transistors (CNFETs) technology based logic gate library for high-speed, low-power operation than conventional bulk CMOS libraries. It focuses on comparing four promising logic families namely: complementary-CMOS (C-CMOS), transmission gate (TG), complementary pass logic (CPL) and Domino logic (DL) styles are presented. Based on these logic styles, the proposed library of static and dynamic NAND-NOR logic gates, XOR, multiplexer and full adder functions are implemented efficiently and carefully analyzed with a test bench to measure propagation delay and power dissipation as a function of supply voltage. This analysis provides the right choice of logic style for low-power, high-speed applications. Proposed logic gates libraries are simulated using Synopsys HSPICE based on the standard 32 nm CNFET model. The simulation results demonstrate that, it is best to use C-CMOS logic style gates that are implemented in CNFET technology which are superior in performance compared to other logic styles, because of their low average power-delay-product (PDP). The analysis also demonstrates how the optimum supply voltage varies with logic styles in ultra-low power systems. The robustness of the proposed logic gate library is also compared with conventional and state-art of CMOS logic gate libraries. (semiconductor integrated circuits)
Zubir, Mohd Nashrul Mohd; Badarudin, A; Kazi, S N; Misran, Misni; Amiri, Ahmad; Sadri, Rad; Khalid, Solangi
2015-09-15
The present work highlighted on the implementation of a unique concept for stabilizing colloids at their incipiently low charge potential. A highly charged nanoparticle was introduced within a coagulated prone colloidal system, serving as stabilizer to resist otherwise rapid flocculation and sedimentation process. A low size asymmetry of nanoparticle/colloid serves as the new topic of investigation in addition to the well-established large size ratio nanoparticle/microparticle study. Highly charged Al2O3 nanoparticles were used within the present research context to stabilize TiO2 and Fe3O4 based colloids via the formation of composite structures. It was believed, based on the experimental evidence, that Al2O3 nanoparticle interact with the weakly charged TiO2 and Fe3O4 colloids within the binary system via absorption and/or haloing modes to increase the overall charge potential of the respective colloids, thus preventing further surface contact via van der Waal's attraction. Series of experimental results strongly suggest the presence of weakly charged colloids in the studied bimodal system where, in the absence of highly charged nanoparticle, experience rapid instability. Absorbance measurement indicated that the colloidal stability drops in accordance to the highly charged nanoparticle sedimentation rate, suggesting the dominant influence of nanoparticles to attain a well-dispersed binary system. Further, it was found that the level of colloidal stability was enhanced with increasing nanoparticle fraction within the mixture. Rheological observation revealed that each hybrid complexes demonstrated behavior reminiscence to water with negligible increase in viscosity which serves as highly favorable condition particularly in thermal transport applications. Copyright © 2015 Elsevier Inc. All rights reserved.
Design for High Performance, Low Power, and Reliable 3D Integrated Circuits
Lim, Sung Kyu
2013-01-01
This book describes the design of through-silicon-via (TSV) based three-dimensional integrated circuits. It includes details of numerous “manufacturing-ready” GDSII-level layouts of TSV-based 3D ICs, developed with tools covered in the book. Readers will benefit from the sign-off level analysis of timing, power, signal integrity, and thermo-mechanical reliability for 3D IC designs. Coverage also includes various design-for-manufacturability (DFM), design-for-reliability (DFR), and design-for-testability (DFT) techniques that are considered critical to the 3D IC design process. Describes design issues and solutions for high performance and low power 3D ICs, such as the pros/cons of regular and irregular placement of TSVs, Steiner routing, buffer insertion, low power 3D clock routing, power delivery network design and clock design for pre-bond testability. Discusses topics in design-for-electrical-reliability for 3D ICs, such as TSV-to-TSV coupling, current crowding at the wire-to-TSV junction and the e...
Optimizing efficiency on conventional transformer based low power AC/DC standby power supplies
DEFF Research Database (Denmark)
Nielsen, Nils
2004-01-01
This article describes the research results for simple and cheap methods to reduce the idle- and load-losses in very low power conventional transformer based power supplies intended for standby usage. In this case "very low power" means 50 Hz/230 V-AC to 5 V-DC@1 W. The efficiency is measured...... on two common power supply topologies designed for this power level. The two described topologies uses either a series (or linear) or a buck regulation approach. Common to the test power supplies is they either are using a standard cheap off-the-shelf transformer, or one, which are loss optimized by very...
The charge storage characteristics of ZrO2 nanocrystallite-based charge trap nonvolatile memory
International Nuclear Information System (INIS)
Tang Zhen-Jie; Li Rong; Yin Jiang
2013-01-01
ZrO 2 nanocrystallite-based charge trap flash memory capacitors incorporating a (ZrO 2 ) 0.6 (SiO 2 ) 0.4 pseudobinary high-k oxide film as the charge trapping layer were prepared and investigated. The precipitation reaction in the charge trapping layer, forming ZrO 2 nanocrystallites during rapid thermal annealing, was investigated by transmission electron microscopy and X-ray diffraction. It was observed that a ZrO 2 nanocrystallite-based memory capacitor after post-annealing at 850 °C for 60 s exhibits a maximum memory window of about 6.8 V, good endurance and a low charge loss of ∼25% over a period of 10 years (determined by extrapolating the charge loss curve measured experimentally), even at 85 °C. Such 850 °C-annealed memory capacitors appear to be candidates for future nonvolatile flash memory device applications
Baeg, Kang-Jun; Kim, Juhwan; Khim, Dongyoon; Caironi, Mario; Kim, Dong-Yu; You, In-Kyu; Quinn, Jordan R; Facchetti, Antonio; Noh, Yong-Young
2011-08-01
Ambipolar π-conjugated polymers may provide inexpensive large-area manufacturing of complementary integrated circuits (CICs) without requiring micro-patterning of the individual p- and n-channel semiconductors. However, current-generation ambipolar semiconductor-based CICs suffer from higher static power consumption, low operation frequencies, and degraded noise margins compared to complementary logics based on unipolar p- and n-channel organic field-effect transistors (OFETs). Here, we demonstrate a simple methodology to control charge injection and transport in ambipolar OFETs via engineering of the electrical contacts. Solution-processed caesium (Cs) salts, as electron-injection and hole-blocking layers at the interface between semiconductors and charge injection electrodes, significantly decrease the gold (Au) work function (∼4.1 eV) compared to that of a pristine Au electrode (∼4.7 eV). By controlling the electrode surface chemistry, excellent p-channel (hole mobility ∼0.1-0.6 cm(2)/(Vs)) and n-channel (electron mobility ∼0.1-0.3 cm(2)/(Vs)) OFET characteristics with the same semiconductor are demonstrated. Most importantly, in these OFETs the counterpart charge carrier currents are highly suppressed for depletion mode operation (I(off) 0.1-0.2 mA). Thus, high-performance, truly complementary inverters (high gain >50 and high noise margin >75% of ideal value) and ring oscillators (oscillation frequency ∼12 kHz) based on a solution-processed ambipolar polymer are demonstrated.
DEFF Research Database (Denmark)
Meneau, Aurélie Y. B.; Olivier, Yoann; Backlund, Tomas
2016-01-01
In solution-processable small molecule semiconductors, the extent of charge carrier wavefunction localization induced by dynamic disorder can be probed spectroscopically as a function of temperature using charge modulation spectroscopy (CMS). Here, it is shown based on combined fi eld-effect tran......In solution-processable small molecule semiconductors, the extent of charge carrier wavefunction localization induced by dynamic disorder can be probed spectroscopically as a function of temperature using charge modulation spectroscopy (CMS). Here, it is shown based on combined fi eld......-effect transistor and CMS measurements as a function of temperature that in certain molecular semiconductors, such as solution-processible pentacene, charge carriers become trapped at low temperatures in environments in which the charges become highly localized on individual molecules, while in some other molecules...
Low cost concepts to reduce the voltage ripple of the DC power supply
International Nuclear Information System (INIS)
Cheng, Y.; Liu, K.B.
1993-01-01
If the gain of current feedback is low, the short term stability of magnet power supply will be affected by a soft power line. Typically, the step-charge and the imbalance of the three phase power line cause the most serious voltage ripple. Usually, the voltage feedback with a coupling transformer is considered to reduce the voltage ripple. However, for the high current power supply, the space and cooling problem of the coupling transformer become inconvenient. In this paper, the authors suggest to use the toroidal core with the compensation winding, working like a DCCT, as the coupling transformer. Then, a high speed detector of the AC line level is developed. It restricts the voltage ripple passing to the coupling transformer. These methods have the advantage of small size, low power consumption and low cost
Grid tied PV/battery system architecture and power management for fast electric vehicle charging
Badawy, Mohamed O.
The prospective spread of Electric vehicles (EV) and plug-in hybrid electric vehicles (PHEV) arises the need for fast charging rates. Higher charging rates requirements lead to high power demands, which cant be always supported by the grid. Thus, the use of on-site sources alongside the electrical grid for EVs charging is a rising area of interest. In this dissertation, a photovoltaic (PV) source is used to support the high power EVs charging. However, the PV output power has an intermittent nature that is dependable on the weather conditions. Thus, battery storage are combined with the PV in a grid tied system, providing a steady source for on-site EVs use in a renewable energy based fast charging station. Verily, renewable energy based fast charging stations should be cost effective, efficient, and reliable to increase the penetration of EVs in the automotive market. Thus, this Dissertation proposes a novel power flow management topology that aims on decreasing the running cost along with innovative hardware solutions and control structures for the developed architecture. The developed power flow management topology operates the hybrid system at the minimum operating cost while extending the battery lifetime. An optimization problem is formulated and two stages of optimization, i.e online and offline stages, are adopted to optimize the batteries state of charge (SOC) scheduling and continuously compensate for the forecasting errors. The proposed power flow management topology is validated and tested with two metering systems, i.e unified and dual metering systems. The results suggested that minimal power flow is anticipated from the battery storage to the grid in the dual metering system. Thus, the power electronic interfacing system is designed accordingly. Interconnecting bi-directional DC/DC converters are analyzed, and a cascaded buck boost (CBB) converter is chosen and tested under 80 kW power flow rates. The need to perform power factor correction (PFC) on
An EV Charging Scheduling Mechanism Based on Price Negotiation
Directory of Open Access Journals (Sweden)
Baocheng Wang
2018-05-01
Full Text Available Scheduling EV user’s charging behavior based on charging price and applying renewable energy resources are the effective methods to release the load pressure of power grids brought about by the large-scale popularity of electric vehicles (EVs. This paper presents a novel approach for EV charging scheduling based on price negotiation. Firstly, the EV charging system framework based on price negotiation and renewable energy resources is discussed. Secondly, the price negotiation model is presented, including the initial price models and the conditions of transactions. Finally, an EV charging scheduling mechanism based on price negotiation (CSM-PN, including the price adjustment strategies of both the operator and EV users is proposed to seek a final transaction during multi-round price negotiation. Simulation results show that this novel approach can effectively improve the charging station operator’s income, reduce the EV users’ costs, and balance the load of the power grid while improving the efficiency of the EV charging system.
A high-performance micro electret power generator based on microball bearings
International Nuclear Information System (INIS)
Yang, Zhaohui; Wang, Jing; Zhang, Jinwen
2011-01-01
In this paper, a high-performance micro electret power generator fabricated by simple bulk micromachining technology is presented. It has microballs as movable bearings for harvesting changing low-frequency vibration energy from the environment. The silicon V-grooves where the microballs slide have very smooth (1 1 1) planes, and so the device is sensitive to very slight vibration and almost has no resonant frequency. A plasma-enhanced chemical vapour deposition SiO 2 /Si 3 N 4 double layer was used as the electret. The device was fabricated by simple micromachining technology suitable for mass production except for microball assembly. The influence of various frequencies and accelerations on the performance was studied in detail. The measurement results of this electret micro power generator show that the optimal load is proportional to the frequency, and inversely proportional to the acceleration. The peak-to-peak output charge and output power were 72 nC and 5.9 µW respectively at 20 Hz and 0.7 g with the optimal resistive load 626 kΩ. The work frequencies range from 100 Hz to a lower frequency (1 Hz). 112 nW can still be obtained in the minimum acceleration of 0.05 g at 10 Hz with the optimal resistive load, indicating that this device has high sensitivity. The possible application of our device in scavenging energy from low-frequency irregular movements, such as human motion, was proved by a primary experiment
A prototype silicon detector system for space cosmic-ray charge measurement
Zhang, Fei; Fan, Rui-Rui; Peng, Wen-Xi; Dong, Yi-Fa; Gong, Ke; Liang, Xiao-Hua; Liu, Ya-Qing; Wang, Huan-Yu
2014-06-01
A readout electronics system used for space cosmic-ray charge measurement for multi-channel silicon detectors is introduced in this paper, including performance measurements. A 64-channel charge sensitive ASIC (VA140) from the IDEAS company is used. With its features of low power consumption, low noise, large dynamic range, and high integration, it can be used in future particle detecting experiments based on silicon detectors.
High power passive μDMFC with low catalyst loading for small power generation
International Nuclear Information System (INIS)
Ahmad, M.M.; Kamarudin, S.K.; Daud, W.R.W.; Yaakub, Z.
2010-01-01
The main constraint for commercialization of micro direct methanol fuel cell (μDMFC) for small power generation is the performance of the fuel cell. In this study, a high power μDMFC with a power output of 56 mW and an active area of 4 cm 2 was successfully developed. The cell required low catalyst loading of 5 mg cm -2 and 0.5 mg cm -2 at the anode and cathode, respectively. Optimal design parameters for methanol concentration and catalyst loading were examined. Finally, long-term performance testing was performed and OCV curves are reported. The results obtained for this gives the highest power density at low catalyst loading as compare to other researchers in this area.
High to ultra-high power electrical energy storage.
Sherrill, Stefanie A; Banerjee, Parag; Rubloff, Gary W; Lee, Sang Bok
2011-12-14
High power electrical energy storage systems are becoming critical devices for advanced energy storage technology. This is true in part due to their high rate capabilities and moderate energy densities which allow them to capture power efficiently from evanescent, renewable energy sources. High power systems include both electrochemical capacitors and electrostatic capacitors. These devices have fast charging and discharging rates, supplying energy within seconds or less. Recent research has focused on increasing power and energy density of the devices using advanced materials and novel architectural design. An increase in understanding of structure-property relationships in nanomaterials and interfaces and the ability to control nanostructures precisely has led to an immense improvement in the performance characteristics of these devices. In this review, we discuss the recent advances for both electrochemical and electrostatic capacitors as high power electrical energy storage systems, and propose directions and challenges for the future. We asses the opportunities in nanostructure-based high power electrical energy storage devices and include electrochemical and electrostatic capacitors for their potential to open the door to a new regime of power energy.
A Power-Efficient Wireless Capacitor Charging System Through an Inductive Link.
Lee, Hyung-Min; Ghovanloo, Maysam
2013-10-01
A power-efficient wireless capacitor charging system for inductively powered applications has been presented. A bank of capacitors can be directly charged from an ac source by generating a current through a series charge injection capacitor and a capacitor charger circuit. The fixed charging current reduces energy loss in switches, while maximizing the charging efficiency. An adaptive capacitor tuner compensates for the resonant capacitance variations during charging to keep the amplitude of the ac input voltage at its peak. We have fabricated the capacitor charging system prototype in a 0.35- μ m 4-metal 2-poly standard CMOS process in 2.1 mm 2 of chip area. It can charge four pairs of capacitors sequentially. While receiving 2.7-V peak ac input through a 2-MHz inductive link, the capacitor charging system can charge each pair of 1 μ F capacitors up to ±2 V in 420 μ s, achieving a high measured charging efficiency of 82%.
Low-field MRI can be more sensitive than high-field MRI
Coffey, Aaron M.; Truong, Milton L.; Chekmenev, Eduard Y.
2013-12-01
MRI signal-to-noise ratio (SNR) is the key factor for image quality. Conventionally, SNR is proportional to nuclear spin polarization, which scales linearly with magnetic field strength. Yet ever-stronger magnets present numerous technical and financial limitations. Low-field MRI can mitigate these constraints with equivalent SNR from non-equilibrium ‘hyperpolarization' schemes, which increase polarization by orders of magnitude independently of the magnetic field. Here, theory and experimental validation demonstrate that combination of field independent polarization (e.g. hyperpolarization) with frequency optimized MRI detection coils (i.e. multi-turn coils using the maximum allowed conductor length) results in low-field MRI sensitivity approaching and even rivaling that of high-field MRI. Four read-out frequencies were tested using samples with identical numbers of 1H and 13C spins. Experimental SNRs at 0.0475 T were ∼40% of those obtained at 4.7 T. Conservatively, theoretical SNRs at 0.0475 T 1.13-fold higher than those at 4.7 T were possible despite an ∼100-fold lower detection frequency, indicating feasibility of high-sensitivity MRI without technically challenging, expensive high-field magnets. The data at 4.7 T and 0.0475 T was obtained from different spectrometers with different RF probes. The SNR comparison between the two field strengths accounted for many differences in parameters such as system noise figures and variations in the probe detection coils including Q factors and coil diameters.
DEFF Research Database (Denmark)
Fan, Lin; Knott, Arnold; Jørgensen, Ivan Harald Holger
2018-01-01
Previous research on switched-capacitor DC-DC converters has focused on low-voltage and/or high-power ranges where the efficiencies are dominated by conduction loss. Switched-capacitor DC-DC converters at high-voltage (> 100 V) low-power (high efficiency and high power density...... are anticipated to emerge. This paper presents a switched-capacitor converter with an input voltage up to 380 V (compatible with rectified European mains) and a maximum output power of 10 W. GaN switches and SiC diodes are analytically compared and actively combined to properly address the challenges at high......-voltage low-current levels, where switching loss becomes significant. Further trade-off between conduction loss and switching loss is experimentally optimized with switching frequencies. Three variant designs of the proposed converter are implemented, and the trade-off between the efficiency and the power...
Design of an adaptive CubeSat transmitter for achieving optimum signal-to-noise ratio (SNR)
Jaswar, F. D.; Rahman, T. A.; Hindia, M. N.; Ahmad, Y. A.
2017-12-01
CubeSat technology has opened the opportunity to conduct space-related researches at a relatively low cost. Typical approach to maintain an affordable cubeSat mission is to use a simple communication system, which is based on UHF link with fixed-transmit power and data rate. However, CubeSat in the Low Earth Orbit (LEO) does not have relative motion with the earth rotation, resulting in variable propagation path length that affects the transmission signal. A transmitter with adaptive capability to select multiple sets of data rate and radio frequency (RF) transmit power is proposed to improve and optimise the link. This paper presents the adaptive UHF transmitter design as a solution to overcome the variability of the propagation path. The transmitter output power is adjustable from 0.5W to 2W according to the mode of operations and satellite power limitations. The transmitter is designed to have four selectable modes to achieve the optimum signal-to-noise ratio (SNR) and efficient power consumption based on the link budget analysis and satellite requirement. Three prototypes are developed and tested for space-environment conditions such as the radiation test. The Total Ionizing Dose measurements are conducted in the radiation test done at Malaysia Nuclear Agency Laboratory. The results from this test have proven that the adaptive transmitter can perform its operation with estimated more than seven months in orbit. This radiation test using gamma source with 1.5krad exposure is the first one conducted for a satellite program in Malaysia.
Almasi, Sepideh; Ben-Zvi, Ayal; Lacoste, Baptiste; Gu, Chenghua; Miller, Eric L; Xu, Xiaoyin
2017-03-01
To simultaneously overcome the challenges imposed by the nature of optical imaging characterized by a range of artifacts including space-varying signal to noise ratio (SNR), scattered light, and non-uniform illumination, we developed a novel method that segments the 3-D vasculature directly from original fluorescence microscopy images eliminating the need for employing pre- and post-processing steps such as noise removal and segmentation refinement as used with the majority of segmentation techniques. Our method comprises two initialization and constrained recovery and enhancement stages. The initialization approach is fully automated using features derived from bi-scale statistical measures and produces seed points robust to non-uniform illumination, low SNR, and local structural variations. This algorithm achieves the goal of segmentation via design of an iterative approach that extracts the structure through voting of feature vectors formed by distance, local intensity gradient, and median measures. Qualitative and quantitative analysis of the experimental results obtained from synthetic and real data prove the effcacy of this method in comparison to the state-of-the-art enhancing-segmenting methods. The algorithmic simplicity, freedom from having a priori probabilistic information about the noise, and structural definition gives this algorithm a wide potential range of applications where i.e. structural complexity significantly complicates the segmentation problem.
Space charge dosimeters for extremely low power measurements of radiation in shipping containers
Britton, Jr; Charles, L [Alcoa, TN; Buckner, Mark A [Oak Ridge, TN; Hanson, Gregory R [Clinton, TN; Bryan, William L [Knoxville, TN
2011-04-26
Methods and apparatus are described for space charge dosimeters for extremely low power measurements of radiation in shipping containers. A method includes in situ polling a suite of passive integrating ionizing radiation sensors including reading-out dosimetric data from a first passive integrating ionizing radiation sensor and a second passive integrating ionizing radiation sensor, where the first passive integrating ionizing radiation sensor and the second passive integrating ionizing radiation sensor remain situated where the dosimetric data was integrated while reading-out. Another method includes arranging a plurality of ionizing radiation sensors in a spatially dispersed array; determining a relative position of each of the plurality of ionizing radiation sensors to define a volume of interest; collecting ionizing radiation data from at least a subset of the plurality of ionizing radiation sensors; and triggering an alarm condition when a dose level of an ionizing radiation source is calculated to exceed a threshold.
Low-power FLC-based retromodulator communications system
Swenson, Charles M.; Steed, Clark A.; de La Rue, Imelda A.; Fugate, Robert Q.
1997-05-01
On September 15, 1996, researchers from Utah State University/Space Dynamics Lab in conjunction with Phillips Lab/Starfire Optical Range and Kjome Research successfully flew and tested a retromodulator laser communication package on a high altitude balloon. This paper addresses the layout and hardware used for the communication link, as well as presenting some preliminary data collected during the 6 hour flight of the balloon. The package was a proof of concept demonstration system for a low-power laser communications systems for small, low Earth orbiting satellites. The ferroelectric liquid crystal based retromodulator design of Utah State provided test patterns for modulation rates up to 20 kilo bits per second. Data was successfully downlinked using a 1200 bps RS232 format and a simplistic receiver. The Starfire Optical Range 1.5-meter telescope located on Kirtland AFB, tracked the balloon, which reached a float altitude of 31 km and collected the modulated light reflected from the payload.
The upper limits of the SNR in radiography and CT with polyenergetic x-rays
International Nuclear Information System (INIS)
Shikhaliev, Polad M
2010-01-01
The aim of the study is to determine the upper limits of the signal-to-noise ratio (SNR) in radiography and computed tomography (CT) with polyenergetic x-ray sources. In x-ray imaging, monoenergetic x-rays provide a higher SNR compared to polyenergetic x-rays. However, the SNR in polyenergetic x-ray imaging can be increased when a photon-counting detector is used and x-rays are optimally weighted according to their energies. For a particular contrast/background combination and at a fixed x-ray entrance skin exposure, the SNR in energy-weighting x-ray imaging depends on tube voltage and can be maximized by selecting the optimal tube voltage. The SNR in energy-weighted x-ray images acquired at this optimal tube voltage is the highest SNR that can be achieved with polyenergetic x-ray sources. The optimal tube voltages and the highest SNR were calculated and compared to the SNR of monoenergetic x-ray imaging. Monoenergetic, energy-weighting polyenergetic and energy-integrating polyenergetic x-ray imagings were simulated at a fixed entrance skin exposure of 20 mR. The tube voltages varied in the range of 30-140 kVp with 10 kV steps. Contrast elements of CaCO 3 , iodine, adipose and tumor with thicknesses of 280 mg cm -2 , 15 mg cm -2 , 1 g cm -2 and 1 g cm -2 , respectively, inserted in a soft tissue background with 10 cm and 20 cm thicknesses, were used. The energy weighting also improves the contrast-to-noise ratio (CNR) in CT when monoenergetic CT projections are optimally weighted prior to CT reconstruction (projection-based weighting). Alternatively, monoenergetic CT images are reconstructed, optimally weighted and composed to yield a final CT image (image-based weighting). Both projection-based and image-based weighting methods improve the CNR in CT. An analytical approach was used to determine which of these two weighting methods provides the upper limit of the CNR in CT. The energy-weighting method was generalized and expanded as a weighting method applicable in
High-Voltage, Low-Power BNC Feedthrough Terminator
Bearden, Douglas
2012-01-01
This innovation is a high-voltage, lowpower BNC (Bayonet Neill-Concelman) feedthrough that enables the user to terminate an instrumentation cable properly while connected to a high voltage, without the use of a voltage divider. This feedthrough is low power, which will not load the source, and will properly terminate the instrumentation cable to the instrumentation, even if the cable impedance is not constant. The Space Shuttle Program had a requirement to measure voltage transients on the orbiter bus through the Ground Lightning Measurement System (GLMS). This measurement has a bandwidth requirement of 1 MHz. The GLMS voltage measurement is connected to the orbiter through a DC panel. The DC panel is connected to the bus through a nonuniform cable that is approximately 75 ft (approximately equal to 23 m) long. A 15-ft (approximately equal to 5-m), 50-ohm triaxial cable is connected between the DC panel and the digitizer. Based on calculations and simulations, cable resonances and reflections due to mismatched impedances of the cable connecting the orbiter bus and the digitizer causes the output not to reflect accurately what is on the bus. A voltage divider at the DC panel, and terminating the 50-ohm cable properly, would eliminate this issue. Due to implementation issues, an alternative design was needed to terminate the cable properly without the use of a voltage divider. Analysis shows how the cable resonances and reflections due to the mismatched impedances of the cable connecting the orbiter bus and the digitizer causes the output not to reflect accurately what is on the bus. After simulating a dampening circuit located at the digitizer, simulations were performed to show how the cable resonances were dampened and the accuracy was improved significantly. Test cables built to verify simulations were accurate. Since the dampening circuit is low power, it can be packaged in a BNC feedthrough.
Design of a charge sensitive preamplifier on high resistivity silicon
International Nuclear Information System (INIS)
Radeka, V.; Rehak, P.; Rescia, S.; Gatti, E.; Longoni, A.; Sampietro, M.; Holl, P.; Strueder, L.; Kemmer, J.
1987-01-01
A low noise, fast charge sensitive preamplifier was designed on high resistivity, detector grade silicon. It is built at the surface of a fully depleted region of n-type silicon. This allows the preamplifier to be placed very close to a detector anode. The preamplifier uses the classical input cascode configuration with a capacitor and a high value resistor in the feedback loop. The output stage of the preamplifier can drive a load up to 20pF. The power dissipation of the preamplifier is 13mW. The amplifying elements are ''Single Sided Gate JFETs'' developed especially for this application. Preamplifiers connected to a low capacitance anode of a drift type detector should achieve a rise time of 20ns and have an equivalent noise charge (ENC), after a suitable shaping, of less than 50 electrons. This performance translates to a position resolution better than 3μm for silicon drift detectors. 6 refs., 9 figs
Uskul, Ayse K; Paulmann, Silke; Weick, Mario
2016-02-01
Listeners have to pay close attention to a speaker's tone of voice (prosody) during daily conversations. This is particularly important when trying to infer the emotional state of the speaker. Although a growing body of research has explored how emotions are processed from speech in general, little is known about how psychosocial factors such as social power can shape the perception of vocal emotional attributes. Thus, the present studies explored how social power affects emotional prosody recognition. In a correlational study (Study 1) and an experimental study (Study 2), we show that high power is associated with lower accuracy in emotional prosody recognition than low power. These results, for the first time, suggest that individuals experiencing high or low power perceive emotional tone of voice differently. (c) 2016 APA, all rights reserved).
Highly-stabilized power supply for synchrotron accelerators. High speed, low ripple power supply
Energy Technology Data Exchange (ETDEWEB)
Sato, Kenji [Osaka Univ., Ibaraki (Japan). Research Center for Nuclear Physics; Kumada, Masayuki; Fukami, Kenji; Koseki, Shoichiro; Kubo, Hiroshi; Kanazawa, Toru
1997-02-01
In synchrotron accelerators, in order to utilize high energy beam effectively, those are operated by repeating acceleration and taking-out at short period. In order to accelerate by maintaining beam track stable, the tracking performance with the error less than 10{sup -3} in the follow-up of current is required for the power supply. Further, in order to maintain the intensity and uniformity of beam when it is taken out, very low ripple is required for output current. The power supply having such characteristics has been developed, and applied to the HIMAC and the SPring-8. As the examples of the application of synchrotrons, the accelerators for medical treatment and the generation of synchrotron radiation are described. As to the power supply for the deflection magnets and quadrupole magnets of synchrotron accelerators, the specifications of the main power supply, the method of reducing ripple, the method of improving tracking, and active filter control are reported. As to the test results, the measurement of current ripple and tracking error is shown. The lowering of ripple was enabled by common mode filter and the symmetrical connection of electromagnets, and high speed response was realized by the compensation for delay with active filter. (K.I.)
High power, repetitive stacked Blumlein pulse generators
Energy Technology Data Exchange (ETDEWEB)
Davanloo, F; Borovina, D L; Korioth, J L; Krause, R K; Collins, C B [Univ. of Texas at Dallas, Richardson, TX (United States). Center for Quantum Electronics; Agee, F J [US Air Force Phillips Lab., Kirtland AFB, NM (United States); Kingsley, L E [US Army CECOM, Ft. Monmouth, NJ (United States)
1997-12-31
The repetitive stacked Blumlein pulse power generators developed at the University of Texas at Dallas consist of several triaxial Blumleins stacked in series at one end. The lines are charged in parallel and synchronously commuted with a single switch at the other end. In this way, relatively low charging voltages are multiplied to give a high discharge voltage across an arbitrary load. Extensive characterization of these novel pulsers have been performed over the past few years. Results indicate that they are capable of producing high power waveforms with rise times and repetition rates in the range of 0.5-50 ns and 1-300 Hz, respectively, using a conventional thyratron, spark gap, or photoconductive switch. The progress in the development and use of stacked Blumlein pulse generators is reviewed. The technology and the characteristics of these novel pulsers driving flash x-ray diodes are discussed. (author). 4 figs., 5 refs.
International Nuclear Information System (INIS)
Li, Ying; Davis, Chris; Lukszo, Zofia; Weijnen, Margot
2016-01-01
Highlights: • We investigate the energy, economic and environmental implications of deploying EVs for China’s power system by 2030. • EVs outperform gasoline-powered vehicles in terms of average fueling costs. • Controlled EV charging given the expected 2030 capacity portfolio results in more CO_2 emissions than uncontrolled charging. • Controlled charging has absolute advantages in mitigating the peak load and facilitating RES generation. • Controlled (dis)charging will not reduce CO_2 for China without generation decarbonization and CO_2-influenced dispatch. - Abstract: This work investigates different scenarios for electric vehicle (EV) deployment in China and explores the implications thereof with regard to energy portfolio, economics and the environment. Specifically, we investigate how to better deliver the value of EVs by improving designs in the power system and charging strategies, given expected developments by 2030 in both the power system and EV penetration levels. The impact of EV charging is quantified by applying an integrated transportation-power system model on a set of scenarios which represent uncertainties in charging strategies. We find that deploying EVs essentially shifts the use of gasoline to coal-fired power generation in China, thus leading to more coal consumption and CO_2 emissions of the power system. Economically, EVs outperform gasoline-powered vehicles in terms of average fueling costs. However, the impact of EVs in terms of CO_2 emissions at the national level largely depends on the charging strategy. Specifically, controlled charging results in more CO_2 emissions associated with EVs than uncontrolled charging, as it tends to feed EVs with electricity produced by cheap yet low-efficiency coal power plants located in regions where coal prices are low. Still, compared with uncontrolled charging, controlled charging shows absolute advantages in: (1) mitigating the peak load arising from EV charging; (2) facilitating RES
Secure diversity-multiplexing tradeoff of zero-forcing transmit scheme at finite-SNR
Rezki, Zouheir
2012-04-01
In this paper, we address the finite Signal-to-Noise Ratio (SNR) Diversity-Multiplexing Tradeoff (DMT) of the Multiple Input Multiple Output (MIMO) wiretap channel, where a Zero-Forcing (ZF) transmit scheme, that intends to send the secret information in the orthogonal space of the eavesdropper channel, is used. First, we introduce the secrecy multiplexing gain at finite-SNR that generalizes the definition at high-SNR. Then, we provide upper and lower bounds on the outage probability under secrecy constraint, from which secrecy diversity gain estimates of ZF are derived. Through asymptotic analysis, we show that the upper bound underestimates the secrecy diversity gain, whereas the lower bound is tight at high-SNR, and thus its related diversity gain estimate is equal to the actual asymptotic secrecy diversity gain of the MIMO wiretap channel. © 2012 IEEE.
Risk oriented analysis of the SNR-300
International Nuclear Information System (INIS)
Koeberlein, K.
1982-01-01
The Fact Finding Committee on 'Future Nuclear Power Policy' established by the 8th German Federal Parliament in its report of June 1980 among other items published the recommendation to commission a 'risk oriented analysis' of the SNR-300 in order to enable a pragmatic comparison to be made of the safety of the German prototype fast breeder reactor and a modern light water reactor (a Biblis B PWR). The Federal Minister for Research and Technology in August 1981 officially commissioned the Gesellschaft fuer Reaktorsicherheit (GRS) to conduct the study. Following a recommendation by the Fact Finding Committee, additional studies were performed also by a group of opponents of the breeder reactor. On the instigation of the group of opponents the delivery date of the study was altered several times and finally set at April 30, 1982. GRS submitted its report by this deadline. However, a joint report by the two groups could not be compiled, as had been requested by the client, because the contributions of the opponents were not made available until mid-May 1982 and then only as an 'interim report'. In summary, the GRS study indicates that the frequency and severity of major accidents is lower for the SNR-300 at the Kalkar site than for a PWR as covered in the German Nuclear Power Plant Risk Study. (orig.) [de
A low jitter supply regulated charge pump PLL with self-calibration
International Nuclear Information System (INIS)
Chen Min; Li Zhichao; Xiao Jingbo; Chen Jie; Liu Yuntao
2016-01-01
This paper describes a ring oscillator based low jitter charge pump PLL with supply regulation and digital calibration. In order to combat power supply noise, a low drop output voltage regulator is implemented. The VCO gain is tunable by using the 4 bit control self-calibration technique. So that the optimal VCO gain is automatically selected and the process/temperature variation is compensated. Fabricated in the 0.13 μm CMOS process, the PLL achieves a frequency range of 100–400 MHz and occupies a 190 × 200 μm 2 area. The measured RMS jitter is 5.36 ps at a 400 MHz operating frequency. (paper)
Poly(3,4-ethylenedioxythiophene) nanotubes as electrode materials for a high-powered supercapacitor
International Nuclear Information System (INIS)
Liu Ran; Cho, Seung Il; Lee, Sang Bok
2008-01-01
We report the fast charging/discharging capability of poly(3,4-ethylenedioxythiophene) (PEDOT) nanotubes during the redox process and their potential application to a high-powered supercapacitor. PEDOT nanotubes were electrochemically synthesized in a porous alumina membrane, and their structures were characterized using electron microscopes. Cyclic voltammetry was used to characterize the specific capacitance of the PEDOT nanotubes at various scan rates. A type I supercapacitor (two symmetric electrodes) based on PEDOT nanotube electrodes was fabricated, and its energy density and power density were evaluated by galvanostatic charge/discharge cycles at various current densities. We show that the PEDOT-nanotube-based supercapacitor can achieve a high power density of 25 kW kg -1 while maintaining 80% energy density (5.6 W h kg -1 ). This high power capability is attributed to the fast charge/discharge of nanotubular structures: hollow nanotubes allow counter-ions to readily penetrate into the polymer and access their internal surfaces, while the thin wall provides a short diffusion distance to facilitate the ion transport. Impedance spectroscopy shows that nanotubes have much lower diffusional resistance to charging ions than solid nanowires shielded by an alumina template, providing supporting information for the high charging/discharging efficiency of nanotubular structures
Promise of a low power mobile CPU based embedded system in artificial leg control.
Hernandez, Robert; Zhang, Fan; Zhang, Xiaorong; Huang, He; Yang, Qing
2012-01-01
This paper presents the design and implementation of a low power embedded system using mobile processor technology (Intel Atom™ Z530 Processor) specifically tailored for a neural-machine interface (NMI) for artificial limbs. This embedded system effectively performs our previously developed NMI algorithm based on neuromuscular-mechanical fusion and phase-dependent pattern classification. The analysis shows that NMI embedded system can meet real-time constraints with high accuracies for recognizing the user's locomotion mode. Our implementation utilizes the mobile processor efficiently to allow a power consumption of 2.2 watts and low CPU utilization (less than 4.3%) while executing the complex NMI algorithm. Our experiments have shown that the highly optimized C program implementation on the embedded system has superb advantages over existing PC implementations on MATLAB. The study results suggest that mobile-CPU-based embedded system is promising for implementing advanced control for powered lower limb prostheses.
International Nuclear Information System (INIS)
Zhang Jian; Huang Yiyun; Liu Baohua; Guo Wenjun; Shen Xiaoling; Wei Wei
2011-01-01
A high voltage power supply system has been developed for the diagnostic neutral beam on the HT-7 experimental Tokamak, and the over-voltage phenomenon of storage capacitor was founded in the experiment. In order to analyse and resolve this problem, the structure and principle of high voltage power supply is described and the primary high voltage charging power supply system is introduced in detail. The phenomenon of over-voltage on the capacitors is also studied with circuit model, and the conclusion is obtained that the leakage inductance is the mA in reason which causes the over-voltage on the capacitors. (authors)
A High Efficiency Li-Ion Battery LDO-Based Charger for Portable Application
Directory of Open Access Journals (Sweden)
Youssef Ziadi
2015-01-01
Full Text Available This paper presents a high efficiency Li-ion battery LDO-based charger IC which adopted a three-mode control: trickle constant current, fast constant current, and constant voltage modes. The criteria of the proposed Li-ion battery charger, including high accuracy, high efficiency, and low size area, are of high importance. The simulation results provide the trickle current of 116 mA, maximum charging current of 448 mA, and charging voltage of 4.21 V at the power supply of 4.8–5 V, using 0.18 μm CMOS technology.
Secure diversity-multiplexing tradeoff of zero-forcing transmit scheme at finite-SNR
Rezki, Zouheir; Alouini, Mohamed-Slim
2012-01-01
information in the orthogonal space of the eavesdropper channel, is used. First, we introduce the secrecy multiplexing gain at finite-SNR that generalizes the definition at high-SNR. Then, we provide upper and lower bounds on the outage probability under
Nuclear based diagnostics in high-power laser applications
Energy Technology Data Exchange (ETDEWEB)
Guenther, Marc; Sonnabend, Kerstin; Harres, Knut; Otten, Anke; Roth, Markus [TU Darmstadt, Institut fuer Kernphysik, Darmstadt (Germany); Vogt, Karsten; Bagnoud, Vincent [GSI Helmholtzzentrum fuer Schwerionenforschung, Darmstadt (Germany)
2010-07-01
High-power lasers allow focused intensities of >10{sup 18} W/cm{sup 2}. During the laser-solid interaction, an intense relativistic electron current is injected from the plasma into the target. One challenge is to characterize the electron dynamic close to the interaction region. Moreover, next generation high-power laser proton acceleration leads to high proton fluxes, which require novel, nuclear diagnostic techniques. We present an activation-based nuclear pyrometry for the investigation of electrons generated in relativistic laser-solid interactions. We use novel activation targets consisting of several isotopes with different photo-neutron disintegration thresholds. The electrons are decelerated inside the target via bremsstrahlung processes. The high-energy bremsstrahlung induces photo-nuclear reactions. In this energy range no disturbing low energy effects are important. Via the pyrometry the Reconstruction of the absolute yield, spectral and spatial distribution of the electrons is possible. For the characterization of proton beams we present a nuclear activation imaging spectroscopy (NAIS). The diagnostic is based on proton-neutron disintegration reactions of copper stacked in consecutive layers. An autoradiography of copper layers leads to spectrally and spatially reconstruction of the beam profile.
Using a Voltage Domain Programmable Technique for Low-Power Management Cell-Based Design
Directory of Open Access Journals (Sweden)
Ching-Hwa Cheng
2011-09-01
Full Text Available The Multi-voltage technique is an effective way to reduce power consumption. In the proposed cell-based voltage domain programmable (VDP technique, the high and low voltages applied to logic gates are programmable. The flexible voltage domain reassignment allows the chip performance and power consumption to be dynamically adjusted. In the proposed technique, the power switches possess the feature of flexible programming after chip manufacturing. This VDP method does not use an external voltage regulator to regulate the supply voltage level from outside of the chip but can be easily integrated within the design. This novel technique is proven by use of a video decoder test chip, which shows 55% and 61% power reductions compared to conventional single-Vdd and low-voltage designs, respectively. This power-aware performance adjusting mechanism shows great power reduction with a good power-performance management mechanism.
Patel, Ankur; Nagesh, K V; Kolge, Tanmay; Chakravarthy, D P
2011-04-01
LCL resonant converter based repetitive capacitor charging power supply (CCPS) is designed and developed in the division. The LCL converter acts as a constant current source when switching frequency is equal to the resonant frequency. When both resonant inductors' values of LCL converter are same, it results in inherent zero current switching (ZCS) in switches. In this paper, ac analysis with fundamental frequency approximation of LCL resonant tank circuit, frequency dependent of current gain converter followed by design, development, simulation, and practical result is described. Effect of change in switching frequency and resonant frequency and change in resonant inductors ratio on CCPS will be discussed. An efficient CCPS of average output power of 1.2 kJ/s, output voltage 3 kV, and 300 Hz repetition rate is developed in the division. The performance of this CCPS has been evaluated in the laboratory by charging several values of load capacitance at various repetition rates. These results indicate that this design is very feasible for use in capacitor-charging applications. © 2011 American Institute of Physics
Development of modular scalable pulsed power systems for high power magnetized plasma experiments
Bean, I. A.; Weber, T. E.; Adams, C. S.; Henderson, B. R.; Klim, A. J.
2017-10-01
New pulsed power switches and trigger drivers are being developed in order to explore higher energy regimes in the Magnetic Shock Experiment (MSX) at Los Alamos National Laboratory. To achieve the required plasma velocities, high-power (approx. 100 kV, 100s of kA), high charge transfer (approx. 1 C), low-jitter (few ns) gas switches are needed. A study has been conducted on the effects of various electrode geometries and materials, dielectric media, and triggering strategies; resulting in the design of a low-inductance annular field-distortion switch, optimized for use with dry air at 90 psig, and triggered by a low-jitter, rapid rise-time solid-state Linear Transformer Driver. The switch geometry and electrical characteristics are designed to be compatible with Syllac style capacitors, and are intended to be deployed in modular configurations. The scalable nature of this approach will enable the rapid design and implementation of a wide variety of high-power magnetized plasma experiments. This work is supported by the U.S. Department of Energy, National Nuclear Security Administration. Approved for unlimited release, LA-UR-17-2578.
New generation low power radiation survey instruments
International Nuclear Information System (INIS)
Waechter, D.A.; Bjarke, G.O.; Trujillo, F.; Umbarger, C.J.; Wolf, M.A.
1984-01-01
A number of new, ultra-low-powered radiation instruments have recently been developed at Los Alamos. Among these are two instruments which use a novel power source to eliminate costly batteries. The newly developed gamma detecting radiac, nicknamed the Firefly, and the alpha particle detecting instrument, called the Simple Cordless Alpha Monitor, both use recent advances in miniaturization and powersaving electronics to yield devices which are small, rugged, and very power-frugal. The two instruments consume so little power that the need for batteries to run them is eliminated. They are, instead, powered by a charged capacitor which will operate the instruments for an hour or more. Use of a capacitor as a power source eliminates many problems commonly associated with battery-operated instruments, such as having to open the case to change batteries, battery storage life, availability of batteries in the field, and some savings in weight. Both line power and mechanical sources are used to charge the storage capacitors which power the instruments
Designing charge-sensitive preamplifiers based on low-noise analog integrated circuits
International Nuclear Information System (INIS)
Agakhanyan, T.M.
1998-01-01
The methodology for designing charge-sensitive preamplifiers on the low-noise analog integral circuits, including all the stages: the mathematical synthesis with optimization of the intermediate function; the scheme-technical synthesis with parametric optimization of the scheme and analysis of draft projects with the parameter verification is presented. The designing is conducted on the basis of requirements for signal parameters and noise indices of the preamplifier. The system of automated designing of the charge-sensitive preamplifiers on the low-noise analog integral circuits is developed [ru
Comparison study on CNR and SNR of thoracic spine lateral radiography
Energy Technology Data Exchange (ETDEWEB)
Kim, Ki Won [Dept. of Radiology, Samsung Medical Center, Seoul (Korea, Republic of); Min, Jung Whan; Lyu, Kwang Yeul [Dept. of Radiology, Shingu University, Sungnam (Korea, Republic of); Kim, Jung Min [Dept. of Radiological Science, College of Health Science, Korea University, Seoul (Korea, Republic of); Jeong, Hei Woun [Dept. of Radiological Science, Beakseok Culture University, Cheonan (Korea, Republic of); Lee, Joo Ah [Dept. of Oncology, Catholic University of Korea Incheon St.Mary,s Hospital, Incheon (Korea, Republic of); Jung, Jae Hong [Dept. of Oncology, Soonchunhyang University Bucheon Hospital, Bucheon (Korea, Republic of); Sung, Dong Chan [Dept. of Radiology, Dong Guk University Medical Center, Seoul (Korea, Republic of); Park, Soon Cheol [Dept. of Radiology, Kang Dong Kyung Hee University Medical Center, Seoul (Korea, Republic of)
2013-12-15
This study was proven for the T-spine breathing technique in lateral projection, using computer radiography (CR), charge coupled device (CCD), indirect digital radiography (IDR) and direct digital radiography (DDR). All images were evaluated and compared with CNR and SNR measured with the mean pixels and the standard deviation as setting ROI of spinous process, pedicle, vertebral body, intervertebral foramen and intervertebral disk using Image J. In experiment results of 4 type detectors, T-spine breathing technique was indicated as excellent in ROI of spinous process, pedicle, vertebral body, intervertebral foramen and intervertebral disk. As T-spine breathing technique indicated excellent images compared to the existing T-spine lateral radiography, this method would be useful for elderly patients who have difficulty in deep exhalation. This study was indicated the application possibility of T-spine breathing technique by presenting contrast to noise ratio (CNR) and signal to noise ratio (SNR) with quantitative value in 4 type detectors.
Short locking time and low jitter phase-locked loop based on slope charge pump control
International Nuclear Information System (INIS)
Guo Zhongjie; Liu Youbao; Wu Longsheng; Wang Xihu; Tang Wei
2010-01-01
A novel structure of a phase-locked loop (PLL) characterized by a short locking time and low jitter is presented, which is realized by generating a linear slope charge pump current dependent on monitoring the output of the phase frequency detector (PFD) to implement adaptive bandwidth control. This improved PLL is created by utilizing a fast start-up circuit and a slope current control on a conventional charge pump PLL. First, the fast start-up circuit is enabled to achieve fast pre-charging to the loop filter. Then, when the output pulse of the PFD is larger than a minimum value, the charge pump current is increased linearly by the slope current control to ensure a shorter locking time and a lower jitter. Additionally, temperature variation is attenuated with the temperature compensation in the charge pump current design. The proposed PLL has been fabricated in a kind of DSP chip based on a 0.35 μm CMOS process. Comparing the characteristics with the classical PLL, the proposed PLL shows that it can reduce the locking time by 60% with a low peak-to-peak jitter of 0.3% at a wide operation temperature range. (semiconductor integrated circuits)
Cousineau, Sarah M
2005-01-01
Space charge effects are a major contributor to beam halo and emittance growth leading to beam loss in high intensity, low energy accelerators. As future accelerators strive towards unprecedented levels of beam intensity and beam loss control, a more comprehensive understanding of space charge effects is required. A wealth of simulation tools have been developed for modeling beams in linacs and rings, and with the growing availability of high-speed computing systems, computationally expensive problems that were inconceivable a decade ago are now being handled with relative ease. This has opened the field for realistic simulations of space charge effects, including detailed benchmarks with experimental data. A great deal of effort is being focused in this direction, and several recent benchmark studies have produced remarkably successful results. This paper reviews the achievements in space charge benchmarking in the last few years, and discusses the challenges that remain.
Low Power Systolic Array Based Digital Filter for DSP Applications
Directory of Open Access Journals (Sweden)
S. Karthick
2015-01-01
Full Text Available Main concepts in DSP include filtering, averaging, modulating, and correlating the signals in digital form to estimate characteristic parameter of a signal into a desirable form. This paper presents a brief concept of low power datapath impact for Digital Signal Processing (DSP based biomedical application. Systolic array based digital filter used in signal processing of electrocardiogram analysis is presented with datapath architectural innovations in low power consumption perspective. Implementation was done with ASIC design methodology using TSMC 65 nm technological library node. The proposed systolic array filter has reduced leakage power up to 8.5% than the existing filter architectures.
Pecunia, Vincenzo; Nikolka, Mark; Sou, Antony; Nasrallah, Iyad; Amin, Atefeh Y; McCulloch, Iain; Sirringhaus, Henning
2017-06-01
Solution-processed semiconductors such as conjugated polymers have great potential in large-area electronics. While extremely appealing due to their low-temperature and high-throughput deposition methods, their integration in high-performance circuits has been difficult. An important remaining challenge is the achievement of low-voltage circuit operation. The present study focuses on state-of-the-art polymer thin-film transistors based on poly(indacenodithiophene-benzothiadiazole) and shows that the general paradigm for low-voltage operation via an enhanced gate-to-channel capacitive coupling is unable to deliver high-performance device behavior. The order-of-magnitude longitudinal-field reduction demanded by low-voltage operation plays a fundamental role, enabling bulk trapping and leading to compromised contact properties. A trap-reduction technique based on small molecule additives, however, is capable of overcoming this effect, allowing low-voltage high-mobility operation. This approach is readily applicable to low-voltage circuit integration, as this work exemplifies by demonstrating high-performance analog differential amplifiers operating at a battery-compatible power supply voltage of 5 V with power dissipation of 11 µW, and attaining a voltage gain above 60 dB at a power supply voltage below 8 V. These findings constitute an important milestone in realizing low-voltage polymer transistors for solution-based analog electronics that meets performance and power-dissipation requirements for a range of battery-powered smart-sensing applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Multichannel FPGA based MVT system for high precision time (20 ps RMS) and charge measurement
Pałka, M.; Strzempek, P.; Korcyl, G.; Bednarski, T.; Niedźwiecki, Sz.; Białas, P.; Czerwiński, E.; Dulski, K.; Gajos, A.; Głowacz, B.; Gorgol, M.; Jasińska, B.; Kamińska, D.; Kajetanowicz, M.; Kowalski, P.; Kozik, T.; Krzemień, W.; Kubicz, E.; Mohhamed, M.; Raczyński, L.; Rudy, Z.; Rundel, O.; Salabura, P.; Sharma, N. G.; Silarski, M.; Smyrski, J.; Strzelecki, A.; Wieczorek, A.; Wiślicki, W.; Zieliński, M.; Zgardzińska, B.; Moskal, P.
2017-08-01
In this article it is presented an FPGA based Multi-Voltage Threshold (MVT) system which allows of sampling fast signals (1-2 ns rising and falling edge) in both voltage and time domain. It is possible to achieve a precision of time measurement of 20 ps RMS and reconstruct charge of signals, using a simple approach, with deviation from real value smaller than 10%. Utilization of the differential inputs of an FPGA chip as comparators together with an implementation of a TDC inside an FPGA allowed us to achieve a compact multi-channel system characterized by low power consumption and low production costs. This paper describes realization and functioning of the system comprising 192-channel TDC board and a four mezzanine cards which split incoming signals and discriminate them. The boards have been used to validate a newly developed Time-of-Flight Positron Emission Tomography system based on plastic scintillators. The achieved full system time resolution of σ(TOF) ≈ 68 ps is by factor of two better with respect to the current TOF-PET systems.
FPGA Based Low Power ROM Design Using Capacitance Scaling
DEFF Research Database (Denmark)
Bansal, Meenakshi; Bansal, Neha; Saini, Rishita
2015-01-01
An ideal capacitor will not dissipate any power, but a real capacitor wil l have some power dissipation. In this work, we are going to design capacitance scaling based low power ROM design. In order to test the compatibility of this ROM design with latest i7 Processor, we are operating this ROM w...... in I/O Power, saving of 0.2% occur in Leakage Power, there will be a saving of 11.54% occur in Total Power. This design is implemented on Virtex-5 FPGA using Xilinx ISE and Verilog....
Application of Low Voltage High Resistance Grounding in Nuclear Power Plants
Directory of Open Access Journals (Sweden)
Choong-Koo Chang
2016-02-01
Full Text Available Most nuclear power plants now utilize solid grounded low voltage systems. For safety and reliability reasons, the low voltage (LV high resistance grounding (HRG system is also increasingly used in the pulp and paper, petroleum and chemical, and semiconductor industries. Fault detection is easiest and fastest with a solidly grounded system. However, a solidly grounded system has many limitations such as severe fault damage, poor reliability on essential circuits, and electrical noise caused by the high magnitude of ground fault currents. This paper will briefly address the strengths and weaknesses of LV grounding systems. An example of a low voltage HRG system in the LV system of a nuclear power plant will be presented. The HRG system is highly recommended for LV systems of nuclear power plants if sufficient considerations are provided to prevent nuisance tripping of ground fault relays and to avoid the deterioration of system reliability.
High-Resolution Uitra Low Power, Intergrated Aftershock and Microzonation System
Passmore, P.; Zimakov, L. G.
2012-12-01
Rapid Aftershock Mobilization plays an essential role in the understanding of both focal mechanism and rupture propagation caused by strong earthquakes. A quick assessment of the data provides a unique opportunity to study the dynamics of the entire earthquake process in-situ. Aftershock study also provides practical information for local authorities regarding the post earthquake activity, which is very important in order to conduct the necessary actions for public safety in the area affected by the strong earthquake. Refraction Technology, Inc. has developed a self-contained, fully integrated Aftershock System, model 160-03, providing the customer simple and quick deployment during aftershock emergency mobilization and microzonation studies. The 160-03 has no external cables or peripheral equipment for command/control and operation in the field. The 160-03 contains three major components integrated in one case: a) 24-bit resolution state-of-the art low power ADC with CPU and Lid interconnect boards; b) power source; and c) three component 2 Hz sensors (two horizontals and one vertical), and built-in ±4g accelerometer. Optionally, the 1 Hz sensors can be built-in the 160-03 system at the customer's request. The self-contained rechargeable battery pack provides power autonomy up to 7 days during data acquisition at 200 sps on continuous three weak motion and triggered three strong motion recording channels. For longer power autonomy, the 160-03 Aftershock System battery pack can be charged from an external source (solar power system). The data in the field is recorded to a built-in swappable USB flash drive. The 160-03 configuration is fixed based on a configuration file stored on the system, so no external command/control interface is required for parameter setup in the field. For visual control of the system performance in the field, the 160-03 has a built-in LED display which indicates the systems recording status as well as a hot swappable USB drive and battery
Design of high voltage power supply of miniature X-ray tube based on resonant Royer
International Nuclear Information System (INIS)
Liu Xiyao; Zeng Guoqiang; Tan Chengjun; Luo Qun; Gong Chunhui; Huang Rui
2013-01-01
Background: In recent years, X rays are widely used in various fields. With the rapid development of national economy, the demand of high quality, high reliability, and high stability miniature X-ray tube has grown rapidly. As an important core component of miniature X-ray tube, high voltage power supply has attracted wide attention. Purpose: To match miniature, the high voltage power supply should be small, lightweight, good quality, etc. Based on the basic performance requirements of existing micro-X-ray tube high voltage power supply, this paper designs an output from 0 to -30 kV adjustable miniature X-ray tube voltage DC power supply. Compared to half-bridge and full-bridge switching-mode power supply, its driving circuit is simple. With working on the linear condition, it has no switching noise. Methods: The main circuit makes use of DC power supply to provide the energy. The resonant Royer circuit supplies sine wave which drives to the high frequency transformer's primary winding with resultant sine-like high voltage appearing across the secondary winding. Then, the voltage doubling rectifying circuit would achieve further boost. In the regulator circuit, a feedback control resonant transistor base current is adopted. In order to insulate air, a silicone rubber is used for high pressure part packaging, and the output voltage is measured by the dividing voltage below -5 kV. Results: The stability of circuit is better than 0.2%/6 h and the percent of the output ripple voltage is less than 0.3%. Keeping the output voltage constant, the output current can reach 57 μA by changing the size of load resistor. This high voltage power supply based on resonant Royer can meet the requirement of miniature X-ray tube. Conclusions: The circuit can satisfy low noise, low ripple, low power and high voltage regulator power supply design. However, its efficiency is not high enough because of the linear condition. In the next design, to further reduce power consumption, we
Quantacell: powerful charging of quantum batteries
International Nuclear Information System (INIS)
Binder, Felix C; Vinjanampathy, Sai; Modi, Kavan; Goold, John
2015-01-01
We study the problem of charging a quantum battery in finite time. We demonstrate an analytical optimal protocol for the case of a single qubit. Extending this analysis to an array of N qubits, we demonstrate that an N-fold advantage in power per qubit can be achieved when global operations are permitted. The exemplary analytic argument for this quantum advantage in the charging power is backed up by numerical analysis using optimal control techniques. It is demonstrated that the quantum advantage for power holds when, with cyclic operation in mind, initial and final states are required to be separable. (paper)
Multifrequency radio observations of a SNR in the LMC: The case of SNR J0527-6549 (DEM l204
Directory of Open Access Journals (Sweden)
Bozzetto L.M.
2010-01-01
Full Text Available We present a detailed study and results of new Australia Telescope Compact Array (ATCA observations of supernova remnant SNR J0527-6549. This Large Magellanic Cloud (LMC object follows a typical supernova remnant (SNR horseshoe morphology with a diameter of D=(66×58±1 pc which is among the largest SNRs in the LMC. Its relatively large size indicates older age while a steeper than expected radio spectral index of α=-0.92±0.11 is more typical of younger and energetic SNRs. Also, we report detections of regions with a high order of polarization at a peak value of ~54%±17% at 6 cm.
Rietsch, Stefan H G; Pfaffenrot, Viktor; Bitz, Andreas K; Orzada, Stephan; Brunheim, Sascha; Lazik-Palm, Andrea; Theysohn, Jens M; Ladd, Mark E; Quick, Harald H; Kraff, Oliver
2017-12-01
In this work, we present an 8-channel transceiver (Tx/Rx) 7-channel receive (Rx) radiofrequency (RF) coil setup for 7 T ultrahigh-field MR imaging of the shoulder. A C-shaped 8-channel Tx/Rx coil was combined with an anatomically close-fitting 7-channel Rx-only coil. The safety and performance parameters of this coil setup were evaluated on the bench and in phantom experiments. The 7 T MR imaging performance of the shoulder RF coil setup was evaluated in in vivo measurements using a 3D DESS, a 2D PD-weighted TSE sequence, and safety supervision based on virtual observation points. Distinct SNR gain and acceleration capabilities provided by the additional 7-channel Rx-only coil were demonstrated in phantom and in vivo measurements. The power efficiency indicated good performance of each channel and a maximum B 1 + of 19 μT if the hardware RF power limits of the MR system were exploited. MR imaging of the shoulder was demonstrated with clinically excellent image quality and submillimeter spatial resolution. The presented 8-channel transceiver 7-channel receive RF coil setup was successfully applied for in vivo 7 T MRI of the shoulder providing a clear SNR gain vs the transceiver array without the additional receive array. Homogeneous images across the shoulder region were obtained using 8-channel subject-specific phase-only RF shimming. © 2017 American Association of Physicists in Medicine.
A new VME-based high voltage power supply for large photomultiplier systems
International Nuclear Information System (INIS)
Neumaier, S.; Hubbeling, T.; Kolb, B.W.; Purschke, M.L.; Ippolitov, M.; Blume, C.; Bohne, E.M.; Bucher, D.; Claussen, A.; Peitzmann, T.; Schepers, G.; Schlagheck, H.
1995-01-01
We describe a new high voltage power supply, developed for the leadglass calorimeter of the WA98 experiment at CERN. The high voltage is produced for each of the 10,080 photomultiplier tubes of the detector individually, by the same number of active bases with on-board Greinacher voltage multipliers. The full VME-based HV controller system, which addresses each base via bus cables once per second, is miniaturized and fits into a single VME crate. The main advantages of this approach are the low heat dissipation, the considerably reduced amount of cabling and cost, as well as the high stability and low noise of the system. (orig.)
MOCA: A Low-Power, Low-Cost Motion Capture System Based on Integrated Accelerometers
Directory of Open Access Journals (Sweden)
Elisabetta Farella
2007-01-01
Full Text Available Human-computer interaction (HCI and virtual reality applications pose the challenge of enabling real-time interfaces for natural interaction. Gesture recognition based on body-mounted accelerometers has been proposed as a viable solution to translate patterns of movements that are associated with user commands, thus substituting point-and-click methods or other cumbersome input devices. On the other hand, cost and power constraints make the implementation of a natural and efficient interface suitable for consumer applications a critical task. Even though several gesture recognition solutions exist, their use in HCI context has been poorly characterized. For this reason, in this paper, we consider a low-cost/low-power wearable motion tracking system based on integrated accelerometers called motion capture with accelerometers (MOCA that we evaluated for navigation in virtual spaces. Recognition is based on a geometric algorithm that enables efficient and robust detection of rotational movements. Our objective is to demonstrate that such a low-cost and a low-power implementation is suitable for HCI applications. To this purpose, we characterized the system from both a quantitative point of view and a qualitative point of view. First, we performed static and dynamic assessment of movement recognition accuracy. Second, we evaluated the effectiveness of user experience using a 3D game application as a test bed.
Wang, Tian; Cui, Xiaoxin; Ni, Yewen; Liao, Kai; Liao, Nan; Yu, Dunshan; Cui, Xiaole
2017-04-01
With shrinking transistor feature size, the fin-type field-effect transistor (FinFET) has become the most promising option in low-power circuit design due to its superior capability to suppress leakage. To support the VLSI digital system flow based on logic synthesis, we have designed an optimized high-performance low-power FinFET standard cell library based on employing the mixed FBB/RBB technique in the existing stacked structure of each cell. This paper presents the reliability evaluation of the optimized cells under process and operating environment variations based on Monte Carlo analysis. The variations are modelled with Gaussian distribution of the device parameters and 10000 sweeps are conducted in the simulation to obtain the statistical properties of the worst-case delay and input-dependent leakage for each cell. For comparison, a set of non-optimal cells that adopt the same topology without employing the mixed biasing technique is also generated. Experimental results show that the optimized cells achieve standard deviation reduction of 39.1% and 30.7% at most in worst-case delay and input-dependent leakage respectively while the normalized deviation shrinking in worst-case delay and input-dependent leakage can be up to 98.37% and 24.13%, respectively, which demonstrates that our optimized cells are less sensitive to variability and exhibit more reliability. Project supported by the National Natural Science Foundation of China (No. 61306040), the State Key Development Program for Basic Research of China (No. 2015CB057201), the Beijing Natural Science Foundation (No. 4152020), and Natural Science Foundation of Guangdong Province, China (No. 2015A030313147).
Electric vehicle system for charging and supplying electrical power
Su, Gui Jia
2010-06-08
A power system that provides power between an energy storage device, an external charging-source/load, an onboard electrical power generator, and a vehicle drive shaft. The power system has at least one energy storage device electrically connected across a dc bus, at least one filter capacitor leg having at least one filter capacitor electrically connected across the dc bus, at least one power inverter/converter electrically connected across the dc bus, and at least one multiphase motor/generator having stator windings electrically connected at one end to form a neutral point and electrically connected on the other end to one of the power inverter/converters. A charging-sourcing selection socket is electrically connected to the neutral points and the external charging-source/load. At least one electronics controller is electrically connected to the charging-sourcing selection socket and at least one power inverter/converter. The switch legs in each of the inverter/converters selected by the charging-source/load socket collectively function as a single switch leg. The motor/generators function as an inductor.
International Nuclear Information System (INIS)
Royl, P.; Pfrang, W.; Struwe, D.
1991-01-01
The fuel relocations from the CABRI-1 experiments with irradiated fuel that had been evaluated from the hodoscope measurements were used together with fuel reactivity worth distributions from the SNR-300 to estimate the reactivity effect which these motions would have if they occurred in SNR-300 at the same relative distance to the peak power as in CABRI. The procedure for the reactivity evaluation is outlined including the assumptions made for fuel mass conservation. The results show that the initial fuel motion yields always negative reactivities. They also document the mechanism for a temporary reactivity increase by in-pin fuel flow in some transient overpower tests. This mechanism, however, never dominates, because material accumulates always sufficiently above the peak power point. Thus, the late autocatalytic amplifications of voiding induced power excursions by compactive in-pin fuel flow, that had been simulated in bounding loss of flow analyses for SNR-300, have no basis at all when considering the results from the CABRI-1 experiments
Low power adder based auditory filter architecture.
Rahiman, P F Khaleelur; Jayanthi, V S
2014-01-01
Cochlea devices are powered up with the help of batteries and they should possess long working life to avoid replacing of devices at regular interval of years. Hence the devices with low power consumptions are required. In cochlea devices there are numerous filters, each responsible for frequency variant signals, which helps in identifying speech signals of different audible range. In this paper, multiplierless lookup table (LUT) based auditory filter is implemented. Power aware adder architectures are utilized to add the output samples of the LUT, available at every clock cycle. The design is developed and modeled using Verilog HDL, simulated using Mentor Graphics Model-Sim Simulator, and synthesized using Synopsys Design Compiler tool. The design was mapped to TSMC 65 nm technological node. The standard ASIC design methodology has been adapted to carry out the power analysis. The proposed FIR filter architecture has reduced the leakage power by 15% and increased its performance by 2.76%.
Low Power Adder Based Auditory Filter Architecture
Directory of Open Access Journals (Sweden)
P. F. Khaleelur Rahiman
2014-01-01
Full Text Available Cochlea devices are powered up with the help of batteries and they should possess long working life to avoid replacing of devices at regular interval of years. Hence the devices with low power consumptions are required. In cochlea devices there are numerous filters, each responsible for frequency variant signals, which helps in identifying speech signals of different audible range. In this paper, multiplierless lookup table (LUT based auditory filter is implemented. Power aware adder architectures are utilized to add the output samples of the LUT, available at every clock cycle. The design is developed and modeled using Verilog HDL, simulated using Mentor Graphics Model-Sim Simulator, and synthesized using Synopsys Design Compiler tool. The design was mapped to TSMC 65 nm technological node. The standard ASIC design methodology has been adapted to carry out the power analysis. The proposed FIR filter architecture has reduced the leakage power by 15% and increased its performance by 2.76%.
The AC Impedance Characteristic of High Power Li4Ti5O12-based Battery Cells
DEFF Research Database (Denmark)
Stroe, Ana-Irina; Stroe, Daniel Loan; Swierczynski, Maciej Jozef
2015-01-01
This paper studies the impedance characteristics of a fresh 13 Ah high-power lithium titanate oxide (LTO) battery cell and analyses its dependence on the temperature and state-of-charge. The impedance of the battery cell was measured by means of the electrochemical impedance spectroscopy (EIS......) technique for the entire state-of-charge (SOC) interval and considering five temperatures between 5oC and 45oC. By analyzing the measured impedance spectra of the LTO-based battery cell, it was found out that the cell’s impedance is extremely dependent on the operating conditions. By further processing...
Low Power High Dynamic Range A/D Conversion Channel
DEFF Research Database (Denmark)
Marker-Villumsen, Niels; Rombach, Pirmin
in the conversion channel in order to avoid distortion for large input signals. In combination with a low resolution A/D converter (ADC) and a digital gain block, the adaptive A/D conversion channel achieves an extended dynamic range beyond that of the ADC. This in turn reduces the current consumption......This work concerns the analysis of an adaptive analog-to-digital (A/D) conversion channel for use with a micro electromechanical system (MEMS) microphone for audio applications. The adaptive A/D conversion channel uses an automatic gain control (AGC) for adjusting the analog preamplifier gain...... of the conversion channel in comparison to a static A/D conversion channel; this at the cost of a reduced peak signal-to-noise ratio (SNR). The adaptive A/D conversion channel compensates for the change in analog gain by a digital gain, thus achieving a constant channel gain in the full dynamic range. However...
International Nuclear Information System (INIS)
Fathabadi, Hassan
2017-01-01
Highlights: • The only wind powered EV charging station reported in the literature. • The charging station maximally converts wind energy into electric energy. • Novel fast and highly accurate MPPT technique implemented in the EV charging station. • The charging station is grid-connected type with vehicle-to-grid (V2G) technology. • The charging station balances load demand in the grid connected to it. - Abstract: In this study, a novel grid-connected wind powered electric vehicle (EV) charging station with vehicle-to-grid (V2G) technology is designed and constructed. The wind powered EV charging station consists of a wind energy conversion system (WECS), a unidirectional DC/DC converter connected to the WECS, a maximum power point tracking (MPPT) controller, 15 bidirectional DC/DC converters dedicated to 15 charging stations provided for charging EVs, and a three-phase bidirectional DC/AC inverter connected to the grid. The contribution of this work is that the grid-connected wind powered EV charging station presented in this work is the only constructed EV charging station reported in the literature that uses wind energy as a renewable resource to produce electric energy for charging EVs, and moreover, it maximally converts wind energy into electric energy because it uses a novel fast and highly accurate MPPT technique proposed in this study. Other works are only simulated models without any new MPPT consideration. It is demonstrated that the constructed wind powered EV charging station is a perfect charging station that not only produces electric energy to charge EVs but also balances load demand in the grid connected to it.
A radiation-tolerant, low-power non-volatile memory based on silicon nanocrystal quantum dots
Bell, L. D.; Boer, E.; Ostraat, M.; Brongersma, M. L.; Flagan, R. C.; Atwater, H. A.; De Blauwe, J.; Green, M. L.
2001-01-01
Nanocrystal nonvolatile floating-gate memories are a good candidate for space applications - initial results suggest they are fast, more reliable and consume less power than conventional floating gate memories. In the nanocrystal based NVM device, charge is not stored on a continuous polysilicon layer (so-called floating gate), but instead on a layer of discrete nanocrystals. Charge injection and storage in dense arrays of silicon nanocrystals in SiO_2 is a critical aspect of the performance ...
DEFF Research Database (Denmark)
Amoiridis, Anastasios; Anurag, Anup; Ghimire, Pramod
2015-01-01
. This experimental work evaluates the validity and accuracy of two Vce based methods applied on high power IGBT modules during power cycling tests. The first method estimates the chip temperature when low sense current is applied and the second method when normal load current is present. Finally, a correction factor......Temperature estimation is of great importance for performance and reliability of IGBT power modules in converter operation as well as in active power cycling tests. It is common to be estimated through Thermo-Sensitive Electrical Parameters such as the forward voltage drop (Vce) of the chip...
International Nuclear Information System (INIS)
Costen, R.; Humes, D.H.; Walker, G.H.; Williams, M.D.; Deyoung, R.J.
1989-01-01
The objective was to compare a nuclear reactor-driven Sterling engine lunar base power source to a laser-to-electric converter with orbiting laser power station, each providing 1 MW of electricity to the lunar base. The comparison was made on the basis of total mass required in low-Earth-orbit for each system. This total mass includes transportation mass required to place systems in low-lunar orbit or on the lunar surface. The nuclear reactor with Sterling engines is considered the reference mission for lunar base power and is described first. The details of the laser-to-electric converter and mass are discussed. The next two solar-driven high-power laser concepts, the diode array laser or the iodine laser system, are discussed with associated masses in low-lunar-orbit. Finally, the payoff for laser-power beaming is summarized
Highly charged ion trapping and cooling
International Nuclear Information System (INIS)
Beck, B. R.; Church, D. A.; Gruber, L.; Holder, J. P.; Schneider, D.; Steiger, J.
1998-01-01
In the past few years a cryogenic Penning trap (RETRAP) has been operational at the Electron Beam Ion Trap (EBIT) facility at Lawrence Livermore National Laboratory. The combination of RETRAP and EBIT provides a unique possibility of producing and re-trapping highly charged ions and cooling them to very low temperatures. Due to the high Coulomb potentials in such an ensemble of cold highly charged ions the Coulomb coupling parameter (the ratio of Coulomb potential to the thermal energy) can easily reach values of 172 and more. To study such systems is not only of interest in astrophysics to simulate White Dwarf star interiors but opens up new possibilities in a variety of areas (e.g. laser spectroscopy), cold highly charged ion beams
DEFF Research Database (Denmark)
Mihet-Popa, Lucian; Camacho, Oscar Mauricio Forero; Nørgård, Per Bromand
2013-01-01
This paper presents a battery test platform including two Li-ion battery designed for hybrid and EV applications, and charging/discharging tests under different operating conditions carried out for developing an accurate dynamic electro-thermal model of a high power Li-ion battery pack system....... The aim of the tests has been to study the impact of the battery degradation and to find out the dynamic characteristics of the cells including nonlinear open circuit voltage, series resistance and parallel transient circuit at different charge/discharge currents and cell temperature. An equivalent...... circuit model, based on the runtime battery model and the Thevenin circuit model, with parameters obtained from the tests and depending on SOC, current and temperature has been implemented in MATLAB/Simulink and Power Factory. A good alignment between simulations and measurements has been found....
Zafar, Ammar
2012-06-01
In this report, energy-efficient transmission and power allocation for fixed-gain amplify-and-forward relay networks with partial channel state information (CSI) are studied. In the energy-efficiency problem, the total power consumed is minimized while keeping the signal-to-noise-ratio (SNR) above a certain threshold. In the dual problem of power allocation, the end-to-end SNR is maximized under individual and global power constraints. Closed-form expressions for the optimal source and relay powers and the Lagrangian multiplier are obtained. Numerical results show that the optimal power allocation with partial CSI provides comparable performance as optimal power allocation with full CSI at low SNR.
Zafar, Ammar
2012-09-16
In this letter, energy-efficient transmission and power allocation for fixed-gain amplify-and-forward relay networks with partial channel state information (CSI) are studied. In the energy-efficiency problem, the total power consumed is minimized while keeping the signal-to-noise-ratio (SNR) above a certain threshold. In the dual problem of power allocation, the end-to-end SNR is maximized under individual and global power constraints. Closed-form expressions for the optimal source and relay powers and the Lagrangian multiplier are obtained. Numerical results show that the optimal power allocation with partial CSI provides comparable performance as optimal power allocation with full CSI at low SNR. © 2012 IEEE.
High average power solid state laser power conditioning system
International Nuclear Information System (INIS)
Steinkraus, R.F.
1987-01-01
The power conditioning system for the High Average Power Laser program at Lawrence Livermore National Laboratory (LLNL) is described. The system has been operational for two years. It is high voltage, high power, fault protected, and solid state. The power conditioning system drives flashlamps that pump solid state lasers. Flashlamps are driven by silicon control rectifier (SCR) switched, resonant charged, (LC) discharge pulse forming networks (PFNs). The system uses fiber optics for control and diagnostics. Energy and thermal diagnostics are monitored by computers
High power RF oscillator with Marx generators
International Nuclear Information System (INIS)
Murase, Hiroshi; Hayashi, Izumi
1980-01-01
A method to maintain RF oscillation by using many Marx generators was proposed and studied experimentally. Many charging circuits were connected to an oscillator circuit, and successive pulsed charging was made. This successive charging amplified and maintained the RF oscillation. The use of vacuum gaps and high power silicon diodes improved the characteristics of RF current cut-off of the circuit. The efficiency of the pulsed charging from Marx generators to a condenser was theoretically investigated. The theoretical result showed the maximum efficiency of 0.98. The practical efficiency obtained by using a proposed circuit with a high power oscillator was in the range 0.50 to 0.56. The obtained effective output power of the RF pulses was 11 MW. The maximum holding time of the RF pulses was about 21 microsecond. (Kato, T.)
Safety and risk assessments for the SNR 300
International Nuclear Information System (INIS)
Maschek, W.; Froehlich, R.; Jacobs, H.; Schikorr, M.; Heusener, G.; Caldarola, L.; Royl, P.; Struwe, D.
1983-01-01
Under the official inquiry ''Future Nuclear Energy Policies'', KfK have carried out a number of studies concerning the hazards arising from core-destructive accidents at the SNR-300 reactor. Among these, there was the so-called ''Upper Limit Study'' providing a critical evaluation of literature on all research done on core-destructive accidents (Bethe-Tait excursion) with a high mechanic energy release potential; the other one was the so-called ''SAI Study'' (a cooperation of KfK, Science Applications, Inc. (SAI) and Interatom (IA)) which investigated the hazards of an uncontrolled coolant flow rate disturbance occurring in the SNR-300 reactor. KfK also took part in the analysis of hazards comparing the SNR-300 reactor with an LWR-type reactor like the one at Biblis B, ordered by the Federal Ministry of Research and Technology (BMFT). These studies have already been dealt with KfK-Nachrichten, 14th annual set issue 4/1982. The article on hand summarizes and evaluates once more the most important results. (orig./RW) [de
A CLT on the SNR of Diagonally Loaded MVDR Filters
Rubio, Francisco; Mestre, Xavier; Hachem, Walid
2012-08-01
This paper studies the fluctuations of the signal-to-noise ratio (SNR) of minimum variance distorsionless response (MVDR) filters implementing diagonal loading in the estimation of the covariance matrix. Previous results in the signal processing literature are generalized and extended by considering both spatially as well as temporarily correlated samples. Specifically, a central limit theorem (CLT) is established for the fluctuations of the SNR of the diagonally loaded MVDR filter, under both supervised and unsupervised training settings in adaptive filtering applications. Our second-order analysis is based on the Nash-Poincar\\'e inequality and the integration by parts formula for Gaussian functionals, as well as classical tools from statistical asymptotic theory. Numerical evaluations validating the accuracy of the CLT confirm the asymptotic Gaussianity of the fluctuations of the SNR of the MVDR filter.
Liu, Lin; He, Yihua; Li, Zhian; Gu, Xiaoyan; Zhang, Ye; Zhang, Lianzhong
2014-07-01
The use of low-frequency high-definition power Doppler in assessing and defining pulmonary venous connections was investigated. Study A included 260 fetuses at gestational ages ranging from 18 to 36 weeks. Pulmonary veins were assessed by performing two-dimensional B-mode imaging, color Doppler flow imaging (CDFI), and low-frequency high-definition power Doppler. A score of 1 was assigned if one pulmonary vein was visualized, 2 if two pulmonary veins were visualized, 3 if three pulmonary veins were visualized, and 4 if four pulmonary veins were visualized. The detection rate between Exam-1 and Exam-2 (intra-observer variability) and between Exam-1 and Exam-3 (inter-observer variability) was compared. In study B, five cases with abnormal pulmonary venous connection were diagnosed and compared to their anatomical examination. In study A, there was a significant difference between CDFI and low-frequency high-definition power Doppler for the four pulmonary veins observed (P low-frequency high-definition power Doppler was higher than that when employing two-dimensional B-mode imaging or CDFI. There was no significant difference between the intra- and inter-observer variabilities using low-frequency high-definition power Doppler display of pulmonary veins (P > 0.05). The coefficient correlation between Exam-1 and Exam-2 was 0.844, and the coefficient correlation between Exam-1 and Exam-3 was 0.821. In study B, one case of total anomalous pulmonary venous return and four cases of partial anomalous pulmonary venous return were diagnosed by low-frequency high-definition power Doppler and confirmed by autopsy. The assessment of pulmonary venous connections by low-frequency high-definition power Doppler is advantageous. Pulmonary venous anatomy can and should be monitored during fetal heart examination.
Properties of Laser-Produced Highly Charged Heavy Ions for Direct Injection Scheme
Sakakibara, Kazuhiko; Hayashizaki, Noriyosu; Ito, Taku; Kashiwagi, Hirotsugu; Okamura, Masahiro
2005-01-01
To accelerate highly charged intense ion beam, we have developed the Direct Plasma Injection Scheme (DPIS) with laser ion source. In this scheme an ion beam from a laser ion source is injected directly to a RFQ linac without a low energy beam transport (LEBT) and the beam loss in the LEBT can be avoided. We achieved high current acceleration of carbon ions (60mA) by DPIS with the high current optimized RFQ. As the next setp we will use heavier elements like Ag, Pb, Al and Cu as target in LIS (using CO2, Nd-YAG or other laser) for DPIS and will examine properties of laser-produced plasma (the relationship of between charge state and laser power density, the current dependence of the distance from the target, etc).
DEFF Research Database (Denmark)
SUN, BO; Dragicevic, Tomislav; Savaghebi, Mehdi
2015-01-01
Electrical vehicles (EVs) are presenting increasingly potential to replace the conventional fossil fuel based vehicles due to environmental friendly characteristic. Accordingly, Charging Stations (CS), as an intermediate between grid and large numbers of EVs, are supposed to have more critical...... influence on future smart transportation network. This paper explores an off-board charging station upgraded with flywheel energy storage system that could provide a reactive power support to the grid utility. A supervisory control scheme based on distributed bus signaling is proposed to coordinate...... the operation of each component in the system. As a result, the charging station could supply the reactive power support to the utility grid without compromising the charging algorithm and preserve the battery’s lifetime. Finally, the real-time simulation results based on dSPACE1006 verifies the proposed...
International Nuclear Information System (INIS)
Pilate, S.; de Wouters, R.; Wehmann, U.; Helm, F.; Scholtyssek, W.
1978-01-01
Evaluations of criticality measurements performed in various SNEAK and Zero Power Plutonium Reactor (ZPPR) cores are compared. The best available methods of calculations (including transport theory) are used. The ZPPR results support well the trend indicated by the SNEAK evaluations for clean cores and for cores with followers; for cores with absorbers partially inserted, the agreement is only rough. Evaluations of control rod worth measurements are therefore also compared, using the routine method of calculation for SNR-300 (diffusion theory). The control rod worths are largely underestimated in SNEAK (C/E = 0.89), but only slightly underestimated in the ZPPR (C/E = 0.97). The difference in the nature of core fuel (uranium in SNEAK, plutonium in the ZPPR) could be at the origin of this discrepancy
Directory of Open Access Journals (Sweden)
Pierangelo Terreni
2010-01-01
Full Text Available The paper addresses the algorithmic and architectural design of digital input power audio amplifiers. A modelling platform, based on a meet-in-the-middle approach between top-down and bottom-up design strategies, allows a fast but still accurate exploration of the mixed-signal design space. Different amplifier architectures are configured and compared to find optimal trade-offs among different cost-functions: low distortion, high efficiency, low circuit complexity and low sensitivity to parameter changes. A novel amplifier architecture is derived; its prototype implements digital processing IP macrocells (oversampler, interpolating filter, PWM cross-point deriver, noise shaper, multilevel PWM modulator, dead time compensator on a single low-complexity FPGA while off-chip components are used only for the power output stage (LC filter and power MOS bridge; no heatsink is required. The resulting digital input amplifier features a power efficiency higher than 90% and a total harmonic distortion down to 0.13% at power levels of tens of Watts. Discussions towards the full-silicon integration of the mixed-signal amplifier in embedded devices, using BCD technology and targeting power levels of few Watts, are also reported.
Electron impact ionization of highly charged lithiumlike ions
International Nuclear Information System (INIS)
Wong, K.L.
1992-10-01
Electron impact ionization cross sections can provide valuable information about the charge-state and power balance of highly charged ions in laboratory and astrophysical plasmas. In the present work, a novel technique based on x-ray measurements has been used to infer the ionization cross section of highly charged lithiumlike ions on the Livermore electron beam ion trap. In particular, a correspondence is established between an observed x ray and an ionization event. The measurements are made at one energy corresponding to approximately 2.3 times the threshold energy for ionization of lithiumlike ions. The technique is applied to the transition metals between Z=22 (titanium, Ti 19+ ) and Z=26 (iron, Fe 23+ ) and to Z=56 (barium, Ba 53+ ). The results for the transition metals, which have an estimated 17-33% uncertainty, are in good overall agreement with a relativistic distorted-wave calculation. However, less good agreement is found for barium, which has a larger uncertainty. Methods for properly accounting for the polarization in the x-ray intensities and for inferring the charge-state abundances from x-ray observations, which were developed for the ionization measurements, as well as an x-ray model that assists in the proper interpretation of the data are also presented
NTERACTION BETWEEN SURFACE CHARGE PHENOMENA AND MULTI-SPECIES DIFFUSION IN CEMENT BASED MATERIALS
DEFF Research Database (Denmark)
Johannesson, Björn
2008-01-01
Measurements strongly indicate that the ‘inner’ surface of the microscopic structure of cement based materials has a fixed negative charge. This charge contributes to the formation of so-called electrical double layers. In the case of cement based materials the ionic species located in such layers...... are typically potassium -, sodium - and calcium ions. Due to the high specific surface area of hydrated cement, a large amount of ions can be located in theses double layers even if the surface charge is relatively low. The attraction force, caused by the fixed surface charge on ions located close to surfaces......, is one possible explanation for the observed low global diffusion rates in the pore system of positively charged ions compared to the negatively charged ones. Here it is of interest to simulate the multi ionic diffusion behavior when assigning positively charged ions a comparably lower diffusion constant...
Suzaku observation of TeV SNR RX J1713.7-3946
International Nuclear Information System (INIS)
Takahashi, Tadayuki; Tanaka, Takaaki; Uchiyama, Yasunobu
2007-01-01
We report on results from Suzaku broadband X-ray observations of the Galactic supernova remnant (SNR) RX J1713.7-3946 with an energy coverage of 0.4-40 keV. With a sensitive hard X-ray measurement from the HXD PIN on board Suzaku, we determine the hard X-ray spectrum in the 12-40 keV range to be described by a power law with photon index Γ=3.2±0.2, significantly steeper than the soft X-ray index of Γ=2.4±0.05 measured previously with ASCA and other missions. We find that a simple power law fails to describe the full spectral range of 0.4-40 keV and instead a cutoff power law with hard index Γ=1.96±0.05 and high energy cutoff ε c =9±1 keV provides an excellent fit over the full bandpass. (author)
The study towards high intensity high charge state laser ion sources.
Zhao, H Y; Jin, Q Y; Sha, S; Zhang, J J; Li, Z M; Liu, W; Sun, L T; Zhang, X Z; Zhao, H W
2014-02-01
As one of the candidate ion sources for a planned project, the High Intensity heavy-ion Accelerator Facility, a laser ion source has been being intensively studied at the Institute of Modern Physics in the past two years. The charge state distributions of ions produced by irradiating a pulsed 3 J/8 ns Nd:YAG laser on solid targets of a wide range of elements (C, Al, Ti, Ni, Ag, Ta, and Pb) were measured with an electrostatic ion analyzer spectrometer, which indicates that highly charged ions could be generated from low-to-medium mass elements with the present laser system, while the charge state distributions for high mass elements were relatively low. The shot-to-shot stability of ion pulses was monitored with a Faraday cup for carbon target. The fluctuations within ±2.5% for the peak current and total charge and ±6% for pulse duration were demonstrated with the present setup of the laser ion source, the suppression of which is still possible.
International Nuclear Information System (INIS)
Farmann, Alexander; Waag, Wladislaw; Sauer, Dirk Uwe
2016-01-01
This study shows results of extensive experimental measurements performed on high power lithium titanate based batteries. Characterization tests are performed over a wide temperature range (−20 °C – +40 °C) by employing electrochemical impedance spectroscopy and modified hybrid pulse power characterization tests. Furthermore, the behavior of battery impedance parameters over the battery lifetime with regard to temperature, State-of-Charge and their influence on available battery power in an example of electric vehicles is discussed. Based on extracted parameters, a reduced order equivalent circuit model considering the nonlinearity of the charge transfer resistance is parametrized. The obtained results indicate that ohmic resistance increases with decreasing State-of-Charge while the shape of the curve remains almost constant over the battery lifetime. The total impedance determined at 1 mHz shows almost no dependence on State-of-Charge and remains constant over the whole State-of-Charge range. The necessity of considering the impact of the current dependence of the direct current resistance at least at low temperatures (i.e., below 0 °C) is confirmed. Moreover, by investigating the Butler-Volmer equation the behavior of exchange current density and symmetry factor is analyzed for various temperatures and State-of-Charges over the battery lifetime. - Highlights: • Impedance characteristic over the battery lifetime is investigated. • Batteries at different aging states using lithium titanate anodes are investigated. • The influence of temperature on impedance characteristic is investigated. • Butler-Volmer behavior is comprehensively investigated under various conditions.
International Nuclear Information System (INIS)
Howlader, Abdul Motin; Izumi, Yuya; Uehara, Akie; Urasaki, Naomitsu; Senjyu, Tomonobu; Yona, Atsushi; Saber, Ahmed Yousuf
2012-01-01
Wind energy is a fluctuating resource which can diverge quickly and causes the frequency deviation. To overcome this problem, the current paper deals with a frequency control scheme for a small power system by a coordinated control strategy of a wind turbine generator (WTG) and a battery energy storage system (BESS). The small power system composes of a wind turbine, a battery storage and a diesel generator. A minimal order observer is utilized as a disturbance observer to estimate the load of the power system. The load deviations are considered in a frequency domain. The low frequency component is reduced by the pitch angle control system of the WTG, while the high frequency component is reduced by the charge/discharge of the BESS, respectively. The output power command of the BESS is determined according to the state of charge, the high frequency component of the frequency deviation and the load variation. The proposed method is compared with the conventional method in different cases. By using the proposed method, the capacity of the battery is decreased by the charge/discharge of the BESS in long term. To enhance the control performance, the generalized predictive control (GPC) method is introduced to the pitch angle control system of the WTG. Effectiveness of the proposed method is verified by the numerical simulations. -- Highlights: ► A coordinated control method for a WTG and a BESS in the small power system. ► To achieve this objective, a minimal order observer is utilized. ► The output power command of the WTG is based on the wind speed and the estimated frequency deviations. ► The output power the WTG is controlled by the GPC based robust pitch angle control system. ► The output power command of the BESS is determined by the state of charge and the estimated frequency deviations.
A low-power high-flow shape memory alloy wire gas microvalve
International Nuclear Information System (INIS)
Gradin, Henrik; Braun, Stefan; Stemme, Göran; Van der Wijngaart, Wouter; Clausi, Donato; Peirs, Jan; Reynaerts, Dominiek
2012-01-01
In this paper the use of shape memory alloy (SMA) wire actuators for high gas flow control is investigated. A theoretical model for effective gas flow control is presented and gate microvalve prototypes are fabricated. The SMA wire actuator demonstrates the robust flow control of more than 1600 sccm at a pressure drop of 200 kPa. The valve can be successfully switched at over 10 Hz and at an actuation power of 90 mW. Compared to the current state-of-the-art high-flow microvalves, the proposed solution benefits from a low-voltage actuator with low overall power consumption. This paper demonstrate that SMA wire actuators are well suited for high-pressurehigh-flow applications. (paper)
A low power biomedical signal processor ASIC based on hardware software codesign.
Nie, Z D; Wang, L; Chen, W G; Zhang, T; Zhang, Y T
2009-01-01
A low power biomedical digital signal processor ASIC based on hardware and software codesign methodology was presented in this paper. The codesign methodology was used to achieve higher system performance and design flexibility. The hardware implementation included a low power 32bit RISC CPU ARM7TDMI, a low power AHB-compatible bus, and a scalable digital co-processor that was optimized for low power Fast Fourier Transform (FFT) calculations. The co-processor could be scaled for 8-point, 16-point and 32-point FFTs, taking approximate 50, 100 and 150 clock circles, respectively. The complete design was intensively simulated using ARM DSM model and was emulated by ARM Versatile platform, before conducted to silicon. The multi-million-gate ASIC was fabricated using SMIC 0.18 microm mixed-signal CMOS 1P6M technology. The die area measures 5,000 microm x 2,350 microm. The power consumption was approximately 3.6 mW at 1.8 V power supply and 1 MHz clock rate. The power consumption for FFT calculations was less than 1.5 % comparing with the conventional embedded software-based solution.
A facility for low energy charged particle induced reaction studies
International Nuclear Information System (INIS)
Vilaithong, T.; Singkarat, S.; Yu, L.D.; Intarasiri, S.; Tippawan, U.
2000-01-01
In Chiang Mai, a highly stable low energy ion accelerator (0 - 350 kV) facility is being established. A subnano-second pulsing system will be incorporated into the beam transport line. The detecting system will consist of a time-of-flight charged particle spectrometer and a high resolution gamma-ray system. The new facility will be used in the studies of low energy heavy ion backscattering and charged particle induced cross section measurement in the interests of material characterization and nucleosynthesis. (author)
MAGY: An innovative high voltage-low current power supply for gyrotron
International Nuclear Information System (INIS)
Siravo, Ugo; Alex, Juergen; Bader, Michael; Carpita, Mauro; Fasel, Damien; Gavin, Serge; Perez, Albert
2011-01-01
From the electrical point of view, the body and the anode of high power gyrotrons behave as capacitive loads. A highly dynamic power supply is, therefore, hard to achieve. The MAGY concept (Modulator for the Anode of a triode type GYrotron) embodies an innovative solution to manage the capacitive current ensuring a very low ripple on the output voltage. It consists of a series of independent, bi-directional and regulated DC sources. Compared to existing topologies, this solution requires a smaller number of power modules. It avoids internal high frequency modulation and simultaneously offers high resolution of the output voltage and a wide range of operating scenarios.
Tuning charge balance in PHOLEDs with ambipolar host materials to achieve high efficiency
International Nuclear Information System (INIS)
Padmaperuma, Asanga B.; Koech, Phillip K.; Cosimbescu, Lelia; Polikarpov, Evgueni; Swensen, James S.; Chopra, Neetu; So, Franky; Sapochak, Linda S.; Gaspar, Daniel J.
2009-01-01
The efficiency and stability of blue organic light emitting devices (OLEDs) continue to be a primary roadblock to developing organic solid state white lighting. For OLEDs to meet the high power conversion efficiency goal, they will require both close to 100% internal quantum efficiency and low operating voltage in a white light emitting device. It is generally accepted that such high quantum efficiency, can only be achieved with the use of organometallic phosphor doped OLEDs. Blue OLEDs are particularly important for solid state lighting. The simplest (and therefore likely the lowest cost) method of generating white light is to down convert part of the emission from a blue light source with a system of external phosphors. A second method of generating white light requires the superposition of the light from red, green and blue OLEDs in the correct ratio. Either of these two methods (and indeed any method of generating white light with a high color rendering index) critically depends on a high efficiency blue light component. A simple OLED generally consists of a hole-injecting anode, a preferentially hole transporting organic layer (HTL), an emissive layer that contains the recombination zone and ideally transports both holes and electrons, a preferentially electron-transporting layer (ETL) and an electron-injecting cathode. Color in state-of-the-art OLEDs is generated by an organometallic phosphor incorporated by co-sublimation into the emissive layer (EML). New materials functioning as hosts, emitters, charge transporting, and charge blocking layers have been developed along with device architectures leading to electrophosphorescent based OLEDs with high quantum efficiencies near the theoretical limit. However, the layers added to the device architecture to enable high quantum efficiencies lead to higher operating voltages and correspondingly lower power efficiencies. Achievement of target luminance power efficiencies will require new strategies for lowering
Mahmud, Mohammad Hazzaz
There is a developing enthusiasm for electric vehicle (EV) innovations as a result of their lessened fuel utilization and greenhouse emission especially through wireless power transfer (WPT) due to the convenience and continuous charging. Numerous research initiatives target on wireless power transfer (WPT) system in the attempt to improve the transportation for last few decades. But several problems like less efficiency, high frequency, long distance energy transfer etc. were always been occupied by the wireless power transfer system. Two ideas have been developed in this research to resolve the two main problems of WPT for electric vehicles which are low efficiency due to large distance between the two coils and slow charging time. As the first phase of study, a proper model, including the coils and cores were required. The selected model was a finite element (FE) modeling. Another part of this study was to create a modified cement that will act as a semi-conductive material for covering the transmitting antenna area. A high frequency wide band gap switch will be used for transferring high amount of power in a very short time. More over this research also proves that, if cores could be added with the transmitter coil and receiver coil then the output efficiency dramatically increased comparing with without core model of transmitter and receiver. The wireless charging is not restricted to parking lot, since it's planned to be embedded into parking space concrete or roadway concrete or asphalt. Therefore, it can also be installed at junctions (behind red lights), stop signs or any spot that the vehicle might stop for several moments. This technology will become more feasible, if the charging time decreases. Therefore, a new model of for wireless power transfer has been proposed in this study which has shown significant improvement. Another motive of this study was to improve the conductivity and permeability in such a way that the medium that is on the top of the
TEDS Base Station Power Amplifier using Low-Noise Envelope Tracking Power Supply
DEFF Research Database (Denmark)
Høyerby, Mikkel Christian Wendelboe; Andersen, Michael A. E.
2009-01-01
This paper demonstrates a highly linear and efficient TETRA enhanced data service (TEDS) base-station RF power amplifier (RFPA). Based on the well-known combination of an envelope tracking (ET) power supply and a linear class-A/B RFPA, adequate adjacent channel power ratio (ACPR) and wideband noise...... experimentally with a 9.6-dB peak-to-average 50-kHz 16 quadrature amplitude modulation TEDS carrier, the setup providing 44-dBm (25 W) average RF output power at 400 MHz with 44% dc-to-RF efficiency state-of-the-art ACPR of less than ${-}$67 dBc, switching noise artifacts around ${-}$ 85 dBc, and an overall rms...
Lu, Y.; Cottone, F.; Boisseau, S.; Galayko, D.; Marty, F.; Basset, P.
2015-12-01
This paper reports for the first time a MEMS electrostatic vibration energy harvester (e-VEH) with corona-charged vertical electrets on its electrodes. The bandwidth of the 1-cm2 device is extended in low and high frequencies by nonlinear elastic stoppers. With a bias voltage of 46 V (electret@21 V + DC external source@25 V) between the electrodes, the RMS power of the device reaches 0.89 μW at 33 Hz and 6.6 μW at 428 Hz. The -3dB frequency band including the hysteresis is 223∼432 Hz, the one excluding the hysteresis 88∼166 Hz. We also demonstrate the charging of a 47 μF capacitor used for powering a wireless and autonomous temperature sensor node with a data transmission beyond 10 m at 868 MHz.
Chen, R.; Xi, X.; Zhao, X.; He, L.; Yao, H.; Shen, R.
2016-12-01
Dense 3D magnetotelluric (MT) data acquisition owns the benefit of suppressing the static shift and topography effect, can achieve high precision and high resolution inversion for underground structure. This method may play an important role in mineral exploration, geothermal resources exploration, and hydrocarbon exploration. It's necessary to reduce the power consumption greatly of a MT signal receiver for large-scale 3D MT data acquisition while using sensor network to monitor data quality of deployed MT receivers. We adopted a series of technologies to realized above goal. At first, we designed an low-power embedded computer which can couple with other parts of MT receiver tightly and support wireless sensor network. The power consumption of our embedded computer is less than 1 watt. Then we designed 4-channel data acquisition subsystem which supports 24-bit analog-digital conversion, GPS synchronization, and real-time digital signal processing. Furthermore, we developed the power supply and power management subsystem for MT receiver. At last, a series of software, which support data acquisition, calibration, wireless sensor network, and testing, were developed. The software which runs on personal computer can monitor and control over 100 MT receivers on the field for data acquisition and quality control. The total power consumption of the receiver is about 2 watts at full operation. The standby power consumption is less than 0.1 watt. Our testing showed that the MT receiver can acquire good quality data at ground with electrical dipole length as 3 m. Over 100 MT receivers were made and used for large-scale geothermal exploration in China with great success.
Minimization of power consumption during charging of superconducting accelerating cavities
Energy Technology Data Exchange (ETDEWEB)
Bhattacharyya, Anirban Krishna, E-mail: anirban.bhattacharyya@physics.uu.se; Ziemann, Volker; Ruber, Roger; Goryashko, Vitaliy
2015-11-21
The radio frequency cavities, used to accelerate charged particle beams, need to be charged to their nominal voltage after which the beam can be injected into them. The standard procedure for such cavity filling is to use a step charging profile. However, during initial stages of such a filling process a substantial amount of the total energy is wasted in reflection for superconducting cavities because of their extremely narrow bandwidth. The paper presents a novel strategy to charge cavities, which reduces total energy reflection. We use variational calculus to obtain analytical expression for the optimal charging profile. Energies, reflected and required, and generator peak power are also compared between the charging schemes and practical aspects (saturation, efficiency and gain characteristics) of power sources (tetrodes, IOTs and solid state power amplifiers) are also considered and analysed. The paper presents a methodology to successfully identify the optimal charging scheme for different power sources to minimize total energy requirement.
Minimization of power consumption during charging of superconducting accelerating cavities
International Nuclear Information System (INIS)
Bhattacharyya, Anirban Krishna; Ziemann, Volker; Ruber, Roger; Goryashko, Vitaliy
2015-01-01
The radio frequency cavities, used to accelerate charged particle beams, need to be charged to their nominal voltage after which the beam can be injected into them. The standard procedure for such cavity filling is to use a step charging profile. However, during initial stages of such a filling process a substantial amount of the total energy is wasted in reflection for superconducting cavities because of their extremely narrow bandwidth. The paper presents a novel strategy to charge cavities, which reduces total energy reflection. We use variational calculus to obtain analytical expression for the optimal charging profile. Energies, reflected and required, and generator peak power are also compared between the charging schemes and practical aspects (saturation, efficiency and gain characteristics) of power sources (tetrodes, IOTs and solid state power amplifiers) are also considered and analysed. The paper presents a methodology to successfully identify the optimal charging scheme for different power sources to minimize total energy requirement.
Continuous operation of an ultra-low-power microcontroller using glucose as the sole energy source.
Lee, Inyoung; Sode, Takashi; Loew, Noya; Tsugawa, Wakako; Lowe, Christopher Robin; Sode, Koji
2017-07-15
An ultimate goal for those engaged in research to develop implantable medical devices is to develop mechatronic implantable artificial organs such as artificial pancreas. Such devices would comprise at least a sensor module, an actuator module, and a controller module. For the development of optimal mechatronic implantable artificial organs, these modules should be self-powered and autonomously operated. In this study, we aimed to develop a microcontroller using the BioCapacitor principle. A direct electron transfer type glucose dehydrogenase was immobilized onto mesoporous carbon, and then deposited on the surface of a miniaturized Au electrode (7mm 2 ) to prepare a miniaturized enzyme anode. The enzyme fuel cell was connected with a 100 μF capacitor and a power boost converter as a charge pump. The voltage of the enzyme fuel cell was increased in a stepwise manner by the charge pump from 330mV to 3.1V, and the generated electricity was charged into a 100μF capacitor. The charge pump circuit was connected to an ultra-low-power microcontroller. Thus prepared BioCapacitor based circuit was able to operate an ultra-low-power microcontroller continuously, by running a program for 17h that turned on an LED every 60s. Our success in operating a microcontroller using glucose as the sole energy source indicated the probability of realizing implantable self-powered autonomously operated artificial organs, such as artificial pancreas. Copyright © 2016 Elsevier B.V. All rights reserved.
Study on Impact of Electric Vehicles Charging Models on Power Load
Cheng, Chen; Hui-mei, Yuan
2017-05-01
With the rapid increase in the number of electric vehicles, which will lead the power load on grid increased and have an adversely affect. This paper gives a detailed analysis of the following factors, such as scale of the electric cars, charging mode, initial charging time, initial state of charge, charging power and other factors. Monte Carlo simulation method is used to compare the two charging modes, which are conventional charging and fast charging, and MATLAB is used to model and simulate the electric vehicle charging load. The results show that compared with the conventional charging mode, fast charging mode can meet the requirements of fast charging, but also bring great load to the distribution network which will affect the reliability of power grid.
Zhang, Xi; Mi, Chris Chunting; Yin, Chengliang
2014-01-01
This paper presents a powertrain control strategy for a series hybrid electric vehicle (SHEV) based on the integrated design of an active charging scenario and fixed-boundary-layer sliding mode controllers (FBLSMCs). An optimized charging curve for the battery is predetermined rather than subject to engine output and vehicle power demand, which is a total inverse of normal SHEV powertrain control process. This is aimed to remove surge and high-frequency charge current, keep the battery staying in a high state-of-charge (SOC) region and avoid persistently-high charge power, which are positive factors to battery lifetime extension. Then two robust chattering-free FBLSMCs are designed to locate the engine operation in the optimal efficiency area. One is in charge of engine speed control, and the other is for engine/generator torque control. Consequently, not only fuel economy is improved but also battery life expectancy could be extended. Finally, simulation and experimental results confirm the validity and application feasibility of the proposed strategy.
Production of highly charged ion beams with SECRAL
International Nuclear Information System (INIS)
Sun, L. T.; Zhao, H. W.; Zhang, X. Z.; Feng, Y. C.; Li, J. Y.; Guo, X. H.; Ma, H. Y.; Zhao, H. Y.; Ma, B. H.; Wang, H.; Li, X. X.; Jin, T.; Xie, D. Z.; Lu, W.; Cao, Y.; Shang, Y.
2010-01-01
Superconducting electron cyclotron resonance ion source with advanced design in Lanzhou (SECRAL) is an all-superconducting-magnet electron cyclotron resonance ion source (ECRIS) for the production of intense highly charged ion beams to meet the requirements of the Heavy Ion Research Facility in Lanzhou (HIRFL). To further enhance the performance of SECRAL, an aluminum chamber has been installed inside a 1.5 mm thick Ta liner used for the reduction of x-ray irradiation at the high voltage insulator. With double-frequency (18+14.5 GHz) heating and at maximum total microwave power of 2.0 kW, SECRAL has successfully produced quite a few very highly charged Xe ion beams, such as 10 e μA of Xe 37+ , 1 e μA of Xe 43+ , and 0.16 e μA of Ne-like Xe 44+ . To further explore the capability of the SECRAL in the production of highly charged heavy metal ion beams, a first test run on bismuth has been carried out recently. The main goal is to produce an intense Bi 31+ beam for HIRFL accelerator and to have a feel how well the SECRAL can do in the production of very highly charged Bi beams. During the test, though at microwave power less than 3 kW, more than 150 e μA of Bi 31+ , 22 e μA of Bi 41+ , and 1.5 e μA of Bi 50+ have been produced. All of these results have again demonstrated the great capability of the SECRAL source. This article will present the detailed results and brief discussions to the production of highly charged ion beams with SECRAL.
Directory of Open Access Journals (Sweden)
Oleg Meshcheryakov
2010-01-01
Full Text Available In humid air, the substantial charge-dipole attraction and electrostatic acceleration of surrounding water vapour molecules towards charged combustible nanoparticles cause intense electrostatic hydration and preferential oxidation of these nanoparticles by electrostatically accelerated polar water vapour molecules rather than nonaccelerated nonpolar oxygen gas molecules. Intense electrostatic hydration of charged combustible nanoparticles converts the nanoparticle's oxide-based shells into the hydroxide-based electrolyte shells, transforming these nanoparticles into reductant/air core-shell nanobatteries, periodically short-circuited by intraparticle field and thermionic emission. Partially synchronized electron emission breakdowns within trillions of nanoparticles-nanobatteries turn a cloud of charged nanoparticles-nanobatteries into a powerful radiofrequency aerosol generator. Electrostatic oxidative hydration and charge-catalyzed oxidation of charged combustible nanoparticles also contribute to a self-oscillating thermocycling process of evolution and periodic autoignition of inflammable gases near to the nanoparticle's surface. The described effects might be of interest for the improvement of certain nanotechnological heterophase processes and to better understand ball lightning phenomenon.
Computational models of an inductive power transfer system for electric vehicle battery charge
Anele, A. O.; Hamam, Y.; Chassagne, L.; Linares, J.; Alayli, Y.; Djouani, K.
2015-09-01
One of the issues to be solved for electric vehicles (EVs) to become a success is the technical solution of its charging system. In this paper, computational models of an inductive power transfer (IPT) system for EV battery charge are presented. Based on the fundamental principles behind IPT systems, 3 kW single phase and 22 kW three phase IPT systems for Renault ZOE are designed in MATLAB/Simulink. The results obtained based on the technical specifications of the lithium-ion battery and charger type of Renault ZOE show that the models are able to provide the total voltage required by the battery. Also, considering the charging time for each IPT model, they are capable of delivering the electricity needed to power the ZOE. In conclusion, this study shows that the designed computational IPT models may be employed as a support structure needed to effectively power any viable EV.
Computational models of an inductive power transfer system for electric vehicle battery charge
International Nuclear Information System (INIS)
Anele, A O; Hamam, Y; Djouani, K; Chassagne, L; Alayli, Y; Linares, J
2015-01-01
One of the issues to be solved for electric vehicles (EVs) to become a success is the technical solution of its charging system. In this paper, computational models of an inductive power transfer (IPT) system for EV battery charge are presented. Based on the fundamental principles behind IPT systems, 3 kW single phase and 22 kW three phase IPT systems for Renault ZOE are designed in MATLAB/Simulink. The results obtained based on the technical specifications of the lithium-ion battery and charger type of Renault ZOE show that the models are able to provide the total voltage required by the battery. Also, considering the charging time for each IPT model, they are capable of delivering the electricity needed to power the ZOE. In conclusion, this study shows that the designed computational IPT models may be employed as a support structure needed to effectively power any viable EV. (paper)
Low-Cost Metal Hydride Thermal Energy Storage System for Concentrating Solar Power Systems
Energy Technology Data Exchange (ETDEWEB)
Zidan, Ragaiy [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Hardy, B. J. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Corgnale, C. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Teprovich, J. A. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Ward, P. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Motyka, Ted [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)
2016-01-31
The objective of this research was to evaluate and demonstrate a metal hydride-based TES system for use with a CSP system. A unique approach has been applied to this project that combines our modeling experience with the extensive material knowledge and expertise at both SRNL and Curtin University (CU). Because of their high energy capacity and reasonable kinetics many metal hydride systems can be charged rapidly. Metal hydrides for vehicle applications have demonstrated charging rates in minutes and tens of minutes as opposed to hours. This coupled with high heat of reaction allows metal hydride TES systems to produce very high thermal power rates (approx. 1kW per 6-8 kg of material). A major objective of this work is to evaluate some of the new metal hydride materials that have recently become available. A problem with metal hydride TES systems in the past has been selecting a suitable high capacity low temperature metal hydride material to pair with the high temperature material. A unique aspect of metal hydride TES systems is that many of these systems can be located on or near dish/engine collectors due to their high thermal capacity and small size. The primary objective of this work is to develop a high enthalpy metal hydride that is capable of reversibly storing hydrogen at high temperatures (> 650 °C) and that can be paired with a suitable low enthalpy metal hydride with low cost materials. Furthermore, a demonstration of hydrogen cycling between the two hydride beds is desired.
Low power very high frequency resonant converter with high step down ratio
DEFF Research Database (Denmark)
Madsen, Mickey Pierre; Knott, Arnold; Andersen, Michael A. E.
2013-01-01
This paper presents the design of a resonant converter with a switching frequency in the very high frequency range (30-300MHz), a large step down ratio and low output power. This gives the designed converters specifications which are far from previous results. The class E inverter and rectifier...
Energy Technology Data Exchange (ETDEWEB)
Ginzel, Rainer
2010-06-09
The thesis presented herein deals with experimental studies of the interaction between highly charged ions and neutral matter at low collision energies. The energy range investigated is of great interest for the understanding of both charge exchange reactions between ions comprising the solar wind and various astrophysical gases, as well as the creation of near-surface nanostructures. Over the course of this thesis an experimental setup was constructed, capable of reducing the kinetic energy of incoming ions by two orders of magnitude and finally focussing the decelerated ion beam onto a solid or gaseous target. A coincidence method was employed for the simultaneous detection of photons emitted during the charge exchange process together with the corresponding projectile ions. In this manner, it was possible to separate reaction channels, whose superposition presumably propagated large uncertainties and systematic errors in previous measurements. This work has unveiled unexpectedly strong contributions of slow radiative decay channels and clear evidence of previously only postulated decay processes in charge exchange-induced X-ray spectra. (orig.)
Low-power low-noise mixed-mode VLSI ASIC for infinite dynamic range imaging applications
Turchetta, Renato; Hu, Y.; Zinzius, Y.; Colledani, C.; Loge, A.
1998-11-01
Solid state solutions for imaging are mainly represented by CCDs and, more recently, by CMOS imagers. Both devices are based on the integration of the total charge generated by the impinging radiation, with no processing of the single photon information. The dynamic range of these devices is intrinsically limited by the finite value of noise. Here we present the design of an architecture which allows efficient, in-pixel, noise reduction to a practically zero level, thus allowing infinite dynamic range imaging. A detailed calculation of the dynamic range is worked out, showing that noise is efficiently suppressed. This architecture is based on the concept of single-photon counting. In each pixel, we integrate both the front-end, low-noise, low-power analog part and the digital part. The former consists of a charge preamplifier, an active filter for optimal noise bandwidth reduction, a buffer and a threshold comparator, and the latter is simply a counter, which can be programmed to act as a normal shift register for the readout of the counters' contents. Two different ASIC's based on this concept have been designed for different applications. The first one has been optimized for silicon edge-on microstrips detectors, used in a digital mammography R and D project. It is a 32-channel circuit, with a 16-bit binary static counter.It has been optimized for a relatively large detector capacitance of 5 pF. Noise has been measured to be equal to 100 + 7*Cd (pF) electron rms with the digital part, showing no degradation of the noise performances with respect to the design values. The power consumption is 3.8mW/channel for a peaking time of about 1 microsecond(s) . The second circuit is a prototype for pixel imaging. The total active area is about (250 micrometers )**2. The main differences of the electronic architecture with respect to the first prototype are: i) different optimization of the analog front-end part for low-capacitance detectors, ii) in- pixel 4-bit comparator
Motion-based, high-yielding, and fast separation of different charged organics in water.
Xuan, Mingjun; Lin, Xiankun; Shao, Jingxin; Dai, Luru; He, Qiang
2015-01-12
We report a self-propelled Janus silica micromotor as a motion-based analytical method for achieving fast target separation of polyelectrolyte microcapsules, enriching different charged organics with low molecular weights in water. The self-propelled Janus silica micromotor catalytically decomposes a hydrogen peroxide fuel and moves along the direction of the catalyst face at a speed of 126.3 μm s(-1) . Biotin-functionalized Janus micromotors can specifically capture and rapidly transport streptavidin-modified polyelectrolyte multilayer capsules, which could effectively enrich and separate different charged organics in water. The interior of the polyelectrolyte multilayer microcapsules were filled with a strong charged polyelectrolyte, and thus a Donnan equilibrium is favorable between the inner solution within the capsules and the bulk solution to entrap oppositely charged organics in water. The integration of these self-propelled Janus silica micromotors and polyelectrolyte multilayer capsules into a lab-on-chip device that enables the separation and analysis of charged organics could be attractive for a diverse range of applications. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Charging Graphene for Energy Storage
Energy Technology Data Exchange (ETDEWEB)
Liu, Jun
2014-10-06
Since 2004, graphene, including single atomic layer graphite sheet, and chemically derived graphene sheets, has captured the imagination of researchers for energy storage because of the extremely high surface area (2630 m2/g) compared to traditional activated carbon (typically below 1500 m2/g), excellent electrical conductivity, high mechanical strength, and potential for low cost manufacturing. These properties are very desirable for achieving high activity, high capacity and energy density, and fast charge and discharge. Chemically derived graphene sheets are prepared by oxidation and reduction of graphite1 and are more suitable for energy storage because they can be made in large quantities. They still contain multiply stacked graphene sheets, structural defects such as vacancies, and oxygen containing functional groups. In the literature they are also called reduced graphene oxide, or functionalized graphene sheets, but in this article they are all referred to as graphene for easy of discussion. Two important applications, batteries and electrochemical capacitors, have been widely investigated. In a battery material, the redox reaction occurs at a constant potential (voltage) and the energy is stored in the bulk. Therefore, the energy density is high (more than 100 Wh/kg), but it is difficult to rapidly charge or discharge (low power, less than 1 kW/kg)2. In an electrochemical capacitor (also called supercapacitors or ultracapacitor in the literature), the energy is stored as absorbed ionic species at the interface between the high surface area carbon and the electrolyte, and the potential is a continuous function of the state-of-charge. The charge and discharge can happen rapidly (high power, up to 10 kW/kg) but the energy density is low, less than 10 Wh/kg2. A device that can have both high energy and high power would be ideal.
Detection of plumes at Redoubt and Etna volcanoes using the GPS SNR method
Larson, Kristine M.; Palo, Scott; Roesler, Carolyn; Mattia, Mario; Bruno, Valentina; Coltelli, Mauro; Fee, David
2017-09-01
Detection and characterization of volcanic eruptions is important both for public health and aircraft safety. A variety of ground sensors are used to monitor volcanic eruptions. Data from these ground sensors are subsequently incorporated into models that predict the movement of ash. Here a method to detect volcanic plumes using GPS signals is described. Rather than carrier phase data used by geodesists, the method takes advantage of attenuations in signal to noise ratio (SNR) data. Two datasets are evaluated: the 2009 Redoubt Volcano eruptions and the 2013/2015 eruptions at Mt. Etna. SNR-based eruption durations are compared with previously published seismic, infrasonic, and radar studies at Redoubt Volcano. SNR-based plume detections from Mt. Etna are compared with L-band radar and tremor observations. To place these SNR observations from Redoubt and Etna in context, a model of the propagation of GPS signals through both water/water vapor and tephra is developed. Neither water nor fine ash particles will produce the observed attenuation of GPS signals, while scattering caused by particles > 1 cm in diameter potentially could.
Optimal Design of DC Fast-Charging Stations for EVs in Low Voltage Grids
DEFF Research Database (Denmark)
Gjelaj, Marjan; Træholt, Chresten; Hashemi Toghroljerdi, Seyedmostafa
2017-01-01
DC Fast Charging Station (DCFCS) is essential for widespread use of Electric Vehicle (EVs). It can recharge EVs in direct current in a short period of time. In recent years, the increasing penetration of EVs and their charging systems are going through a series of changes. This paper addresses...... on the power grid through the application of electrical storage systems within the DC fast charging stations. The proposed solution decreases the charging time and the impact on the low voltage (LV) grid significantly. The charger can be used as a multifunctional grid-utility such as congestion management...
International Nuclear Information System (INIS)
Liu, Zhe; Wang, Dan; Jia, Hongjie; Djilali, Ned
2014-01-01
Highlights: • The interactive mechanism between system and PHEVs is presented. • The charging load self-management without sacrificing user requirements is proposed. • The charging load self-management is coupled to system operation risk analysis. • The charging load self-management can reduce the extra risk brought by PHEVs. • The charging load self-management can shift charging power to the time with low risk. - Abstract: Many jurisdictions around the world are supporting the adoption of electric vehicles through incentives and the deployment of a charging infrastructure to reduce greenhouse gas emissions. Plug-in hybrid electric vehicles (PHEVs), with offer mature technology and stable performance, are expected to gain an increasingly larger share of the consumer market. The aggregated effect on power grid due to large-scale penetration of PHEVs needs to be analyzed. Nighttime-charging which typically characterizes PHEVs is helpful in filling the nocturnal load valley, but random charging of large PHEV fleets at night may result in new load peaks and valleys. Active response strategy is a potentially effective solution to mitigate the additional risks brought by the integration of PHEVs. This paper proposes a power system operation risk analysis framework in which charging load self-management is used to control system operation risk. We describe an interactive mechanism between the system and PHEVs in conjunction with a smart charging model is to simulate the time series power consumption of PHEVs. The charging load is managed with adjusting the state transition boundaries and without violating the users’ desired charging constraints. The load curtailment caused by voltage or power flow violation after outages is determined by controlling charging power. At the same time, the system risk is maintained under an acceptable level through charging load self-management. The proposed method is implemented using the Roy Billinton Test System (RBTS) and
Kwak, Kiho; Kwak, Dongmin; Yoon, Joohong
2015-08-01
A design of an Instantaneous High Power Supply System (IHPSS) with a power distribution management (PDM) for portable military devices is newly addressed. The system includes a power board and a hybrid battery that can not only supply instantaneous high power but also maintain stable operation at critical low temperature (-30 °C). The power leakage and battery overcharge are effectively prevented by the optimal PDM. The performance of the proposed system under the required pulse loads and the operating conditions of a Korean Advanced Combat Rifle employed in the battlefield is modeled with simulations and verified experimentally. The system with the IHPSS charged the fuse setter with 1.7 times higher voltage (8.6 V) than the one without (5.4 V) under the pulse discharging rate (1 A at 0.5 duty, 1 ms) for 500 ms.
SNR Estimation in Linear Systems with Gaussian Matrices
Suliman, Mohamed Abdalla Elhag; Alrashdi, Ayed; Ballal, Tarig; Al-Naffouri, Tareq Y.
2017-01-01
This letter proposes a highly accurate algorithm to estimate the signal-to-noise ratio (SNR) for a linear system from a single realization of the received signal. We assume that the linear system has a Gaussian matrix with one sided left correlation. The unknown entries of the signal and the noise are assumed to be independent and identically distributed with zero mean and can be drawn from any distribution. We use the ridge regression function of this linear model in company with tools and techniques adapted from random matrix theory to achieve, in closed form, accurate estimation of the SNR without prior statistical knowledge on the signal or the noise. Simulation results show that the proposed method is very accurate.
SNR Estimation in Linear Systems with Gaussian Matrices
Suliman, Mohamed Abdalla Elhag
2017-09-27
This letter proposes a highly accurate algorithm to estimate the signal-to-noise ratio (SNR) for a linear system from a single realization of the received signal. We assume that the linear system has a Gaussian matrix with one sided left correlation. The unknown entries of the signal and the noise are assumed to be independent and identically distributed with zero mean and can be drawn from any distribution. We use the ridge regression function of this linear model in company with tools and techniques adapted from random matrix theory to achieve, in closed form, accurate estimation of the SNR without prior statistical knowledge on the signal or the noise. Simulation results show that the proposed method is very accurate.
Transmission line pulse system for avalanche characterization of high power semiconductor devices
Riccio, Michele; Ascione, Giovanni; De Falco, Giuseppe; Maresca, Luca; De Laurentis, Martina; Irace, Andrea; Breglio, Giovanni
2013-05-01
Because of the increasing in power density of electronic devices for medium and high power application, reliabilty of these devices is of great interest. Understanding the avalanche behaviour of a power device has become very important in these last years because it gives an indication of the maximum energy ratings which can be seen as an index of the device ruggedness. A good description of this behaviour is given by the static IV blocking characteristc. In order to avoid self heating, very relevant in high power devices, very short pulses of current have to be used, whose value can change from few milliamps up to tens of amps. The most used method to generate short pulses is the TLP (Transmission Line Pulse) test, which is based on charging the equivalent capacitance of a transmission line to high value of voltage and subsequently discharging it onto a load. This circuit let to obtain very short square pulses but it is mostly used for evaluate the ESD capability of semiconductor and, in this environment, it generates pulses of low amplitude which are not high enough to characterize the avalanche behaviour of high power devices . Advanced TLP circuit able to generate high current are usually very expensive and often suffer of distorption of the output pulse. In this article is proposed a simple, low cost circuit, based on a boosted-TLP configuration, which is capable to produce very square pulses of about one hundreds of nanosecond with amplitude up to some tens of amps. A prototype is implemented which can produce pulses up to 20A of amplitude with 200 ns of duration which can characterize power devices up to 1600V of breakdown voltage. Usage of microcontroller based logic make the circuit very flexible. Results of SPICE simulation are provided, together with experimental results. To prove the effectiveness of the circuit, the I-V blocking characteristics of two commercial devices, namely a 600V PowerMOS and a 1200V Trench-IGBT, are measured at different
Steam generators under construction for the SNR-300 power plant
Energy Technology Data Exchange (ETDEWEB)
Essebaggers, J
1975-07-01
The prototype straight tube and the helical coil-steam generator has been designed and fabricated of which the straight tube steam generator has been successfully tested for over 3000 hours at prototypical conditions and is presently being dismantled for detailed examination of critical designed features. The prototype helical coil steam generator is presently under testing in the 50 MWt test facility at TNO-Hengelo with approximately 500 hours of operation at full load conditions. In an earlier presentation the design and fabrication of the prototype steam generators have been presented, while for this presentation the production units for SNR-300 will be discussed. Some preliminary information will be presented at this meeting of the dismantling operations of the prototype straight tube steam generator. (author)
Steam generators under construction for the SNR-300 power plant
International Nuclear Information System (INIS)
Essebaggers, J.
1975-01-01
The prototype straight tube and the helical coil-steam generator has been designed and fabricated of which the straight tube steam generator has been successfully tested for over 3000 hours at prototypical conditions and is presently being dismantled for detailed examination of critical designed features. The prototype helical coil steam generator is presently under testing in the 50 MWt test facility at TNO-Hengelo with approximately 500 hours of operation at full load conditions. In an earlier presentation the design and fabrication of the prototype steam generators have been presented, while for this presentation the production units for SNR-300 will be discussed. Some preliminary information will be presented at this meeting of the dismantling operations of the prototype straight tube steam generator. (author)
Theoretical analysis of quantum dot amplifiers with high saturation power and low noise figure
DEFF Research Database (Denmark)
Berg, Tommy Winther; Mørk, Jesper
2002-01-01
Semiconductor quantum dot amplifiers are predicted to exhibit superior characteristics such as high gain, and output power and low noise. The analysis provides criteria and design guidelines for the realization of high quality amplifiers.......Semiconductor quantum dot amplifiers are predicted to exhibit superior characteristics such as high gain, and output power and low noise. The analysis provides criteria and design guidelines for the realization of high quality amplifiers....
Jiang, Qiu; Wu, Changsheng; Wang, Zhengjun; Wang, Aurelia Chi; He, Jr-Hau; Wang, Zhong Lin; Alshareef, Husam N.
2018-01-01
The development of miniaturized, wearable, and implantable electronics has increased the demand for small stand-alone power modules that have steady output and long life-time. Given the limited capacity of energy storage devices, one promising solution is to integrate energy harvesting and storage materials to efficiently convert ambient mechanical energy to electricity for direct use or to store the harvested energy by electrochemical means. Here, a highly compact self-charging power unit is proposed by integrating triboelectric nanogenerator with MXene-based microsupercapacitors in a wearable and flexible harvester-storage module. The device can utilize and store the random energy from human activities in a standby mode and provide power to electronics when active. As a result, our microsupercapacitor delivers a capacitance of 23 mF/cm with 95% capacitance retention after 10,000 charge-discharge cycles, while the triboelectric nanogenerator exhibits a maximum output power of 7.8 µW/cm. Given the simplicity and compact nature, our device can be integrated with a variety of electronic devices and sensors.
Jiang, Qiu
2018-01-03
The development of miniaturized, wearable, and implantable electronics has increased the demand for small stand-alone power modules that have steady output and long life-time. Given the limited capacity of energy storage devices, one promising solution is to integrate energy harvesting and storage materials to efficiently convert ambient mechanical energy to electricity for direct use or to store the harvested energy by electrochemical means. Here, a highly compact self-charging power unit is proposed by integrating triboelectric nanogenerator with MXene-based microsupercapacitors in a wearable and flexible harvester-storage module. The device can utilize and store the random energy from human activities in a standby mode and provide power to electronics when active. As a result, our microsupercapacitor delivers a capacitance of 23 mF/cm with 95% capacitance retention after 10,000 charge-discharge cycles, while the triboelectric nanogenerator exhibits a maximum output power of 7.8 µW/cm. Given the simplicity and compact nature, our device can be integrated with a variety of electronic devices and sensors.
A high charge state heavy ion beam source for HIF
International Nuclear Information System (INIS)
Eylon, S.; Henestroza, E.
1995-04-01
A high current low emittance high charge state heavy ion beam source is being developed. This is designed to deliver HIF (heavy ion fusion) driver accelerator scale beam. Using high-charge-state beam in a driver accelerator for HIF may increase the acceleration efficiency, leading to a reduction in the driver accelerator size and cost. The proposed source system which consists of the gas beam electron stripper followed by a high charge state beam separator, can be added to existing single charge state, low emittance, high brightness ion sources and injectors. We shall report on the source physics design using 2D beam envelope simulations and experimental feasibility studies' results using a neutral gas stripper and a beam separator at the exit of the LBL 2 MV injector
Directory of Open Access Journals (Sweden)
Weitong Chen
2016-11-01
Full Text Available This paper presents a cost-effectiveness comparison of coupler designs for wireless power transfer (WPT, meant for electric vehicle (EV dynamic charging. The design comparison of three common types of couplers is first based on the raw material cost, output power, transfer efficiency, tolerance of horizontal offset, and flux density. Then, the optimal cost-effectiveness combination is selected for EV dynamic charging. The corresponding performances of the proposed charging system are compared and analyzed by both simulation and experimentation. The results verify the validity of the proposed dynamic charging system for EVs.
The high intensity solar cell: Key to low cost photovoltaic power
Sater, B. L.; Goradia, C.
1975-01-01
The design considerations and performance characteristics of the 'high intensity' (HI) solar cell are presented. A high intensity solar system was analyzed to determine its cost effectiveness and to assess the benefits of further improving HI cell efficiency. It is shown that residential sized systems can be produced at less than $1000/kW peak electric power. Due to their superior high intensity performance characteristics compared to the conventional and VMJ cells, HI cells and light concentrators may be the key to low cost photovoltaic power.
Sun, Haoxuan; Lei, Tianyu; Tian, Wei; Cao, Fengren; Xiong, Jie; Li, Liang
2017-07-01
Flexible perovskite photodetectors are usually constructed on indium-tin-oxide-coated polymer substrates, which are expensive, fragile, and not resistant to high temperature. Herein, for the first time, a high-performance flexible perovskite photodetector is fabricated based on low-cost carbon cloth via a facile solution processable strategy. In this device, perovskite microcrystal and Spiro-OMeTAD (hole transporting material) blended film act as active materials for light detection, and carbon cloth serves as both a flexible substrate and a conductive electrode. The as-fabricated photodetector shows a broad spectrum response from ultraviolet to near-infrared light, high responsivity, fast response speed, long-term stability, and self-powered capability. Flexible devices show negligible degradation after several tens of bending cycles and at the extremely bending angle of 180°. This work promises a new technique to construct flexible, high-performance photodetectors with low cost and self-powered capability. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Optimal Coordinated EV Charging with Reactive Power Support in Constrained Distribution Grids
Energy Technology Data Exchange (ETDEWEB)
Paudyal, Sumit; Ceylan, Oğuzhan; Bhattarai, Bishnu P.; Myers, Kurt S.
2017-07-01
Electric vehicle (EV) charging/discharging can take place in any P-Q quadrants, which means EVs could support reactive power to the grid while charging the battery. In controlled charging schemes, distribution system operator (DSO) coordinates with the charging of EV fleets to ensure grid’s operating constraints are not violated. In fact, this refers to DSO setting upper bounds on power limits for EV charging. In this work, we demonstrate that if EVs inject reactive power into the grid while charging, DSO could issue higher upper bounds on the active power limits for the EVs for the same set of grid constraints. We demonstrate the concept in an 33-node test feeder with 1,500 EVs. Case studies show that in constrained distribution grids in coordinated charging, average costs of EV charging could be reduced if the charging takes place in the fourth P-Q quadrant compared to charging with unity power factor.
50V All-PMOS Charge Pumps Using Low-Voltage Capacitors
Emira, Ahmed
2012-10-06
In this work, two high-voltage charge pumps are introduced. In order to minimize the area of the pumping capacitors, which dominates the overall area of the charge pump, high density capacitors have been utilized. Nonetheless, these high density capacitors suffer from low breakdown voltage which is not compatible with the targeted high voltage application. To circumvent the breakdown limitation, a special clocking scheme is used to limit the maximum voltage across any pumping capacitor. The two charge pump circuits were fabricated in a 0:6m CMOS technology with poly0-poly1 capacitors. The output voltage of the two charge pumps reached 42:8V and 51V while the voltage across any capacitor did not exceed the value of the input voltage. Compared to other designs reported in the literature, the proposed charge pump provides the highest output voltage which makes it more suitable for tuning MEMS devices.
50V All-PMOS Charge Pumps Using Low-Voltage Capacitors
Emira, Ahmed; AbdelGhany, M.; Elsayed, M.; Elshurafa, Amro M.; Sedky, S.; Salama, Khaled N.
2012-01-01
In this work, two high-voltage charge pumps are introduced. In order to minimize the area of the pumping capacitors, which dominates the overall area of the charge pump, high density capacitors have been utilized. Nonetheless, these high density capacitors suffer from low breakdown voltage which is not compatible with the targeted high voltage application. To circumvent the breakdown limitation, a special clocking scheme is used to limit the maximum voltage across any pumping capacitor. The two charge pump circuits were fabricated in a 0:6m CMOS technology with poly0-poly1 capacitors. The output voltage of the two charge pumps reached 42:8V and 51V while the voltage across any capacitor did not exceed the value of the input voltage. Compared to other designs reported in the literature, the proposed charge pump provides the highest output voltage which makes it more suitable for tuning MEMS devices.
Rezki, Zouheir
2011-06-01
In this paper, we address the finite Signal-to-Noise Ratio (SNR) Diversity-Multiplexing Tradeoff (DMT) of the Multiple Input Multiple Output (MIMO) wiretap channel, where a Zero-Forcing (ZF) transmit scheme, that intends to send the secret information in the orthogonal space of the eavesdropper channel, is used. First, we introduce the secret multiplexing gain at finite-SNR that generalizes the definition at high-SNR. Then, we provide upper and lower bounds on the outage probability under secrecy constraint, from which secret diversity gain estimates of ZF are derived. Through asymptotic analysis, we show that the upper bound underestimates the secret diversity gain, whereas the lower bound is tight at high-SNR, and thus its related diversity gain estimate is equal to the actual asymptotic secret diversity gain of the Multiple-Input Multiple-Output (MIMO) wiretap channel. © 2011 IEEE.
Kopelman, Shirli; Hardin, Ashley E; Myers, Christopher G; Tost, Leigh Plunkett
2016-05-01
This study examined whether the cultures of low- and high-power negotiators interact to influence cooperative behavior of low-power negotiators. Managers from 4 different cultural groups (Germany, Hong Kong, Israel, and the United States) negotiated face-to-face in a simulated power-asymmetric commons dilemma. Results supported an interaction effect in which cooperation of people with lower power was influenced by both their culture and the culture of the person with higher power. In particular, in a multicultural setting, low-power managers from Hong Kong, a vertical-collectivist culture emphasizing power differences and group alignment, adjusted their cooperation depending on the culture of the high-power manager with whom they interacted. This study contributes to understanding how culture shapes behavior of people with relatively low power, illustrates how a logic of appropriateness informs cooperation, and highlights the importance of studying multicultural social interactions in the context of negotiations, work teams, and global leadership. (PsycINFO Database Record (c) 2016 APA, all rights reserved).
Multi-Channel Amplifier-Discriminator for Highly Time-Resolved Detection
Despeisse, M; Lapington, J; Jarron, P
2011-01-01
A low-power multi-channel amplifier-discriminator was developed for application in highly time-resolved detection systems. The proposed circuit architecture, so-called Nino, is based on a time-over-threshold approach and shows a high potential for time-resolved readout of solid-state photo-detectors and of detectors based on vacuum technologies. The Irpics circuit was designed in a 250 nm CMOS technology, implementing 32 channels of a Nino version optimized to achieve high-time resolution on the output low-voltage differential signals (LVDS) while keeping a low power consumption of 10 mW per channel. Electrical characterizations of the circuit demonstrate a very low intrinsic time jitter on the output pulse leading edge, measured below 10 ps rms for each channel for high input signal charges (100 fC) and below 25 ps rms for low input signal charges (20-100 fC). The read-out architecture moreover permits to retrieve the input signal charge from the timing measurements, while a calibration procedure was develop...
An Elastic Charging Service Fee-Based Load Guiding Strategy for Fast Charging Stations
Directory of Open Access Journals (Sweden)
Shu Su
2017-05-01
Full Text Available Compared with the traditional slow charging loads, random integration of large scale fast charging loads will exert more serious impacts on the security of power network operation. Besides, to maximize social benefits, effective scheduling strategies guiding fast charging behaviors should be formulated rather than simply increasing infrastructure construction investments on the power grid. This paper first analyzes the charging users’ various responses to an elastic charging service fee, and introduces the index of charging balance degree to a target region by considering the influence of fast charging loads on the power grid. Then, a multi-objective optimization model of the fast charging service fee is constructed, whose service fee can be further optimized by employing a fuzzy programming method. Therefore, both users’ satisfaction degree and the equilibrium of charging loads can be maintained simultaneously by reasonably guiding electric vehicles (EVs to different fast charging stations. The simulation results demonstrate the effectiveness of the proposed dynamic charging service pricing and the corresponding fast charging load guidance strategy.
Directory of Open Access Journals (Sweden)
Kyungsung An
2017-05-01
Full Text Available This research aims to improve the operational efficiency and security of electric power systems at high renewable penetration by exploiting the envisioned controllability or flexibility of electric vehicles (EVs; EVs interact with the grid through grid-to-vehicle (G2V and vehicle-to-grid (V2G services to ensure reliable and cost-effective grid operation. This research provides a computational framework for this decision-making process. Charging and discharging strategies of EV aggregators are incorporated into a security-constrained optimal power flow (SCOPF problem such that overall energy cost is minimized and operation within acceptable reliability criteria is ensured. Particularly, this SCOPF problem has been formulated for Jeju Island in South Korea, in order to lower carbon emissions toward a zero-carbon island by, for example, integrating large-scale renewable energy and EVs. On top of conventional constraints on the generators and line flows, a unique constraint on the system inertia constant, interpreted as the minimum synchronous generation, is considered to ensure grid security at high renewable penetration. The available energy constraint of the participating EV associated with the state-of-charge (SOC of the battery and market price-responsive behavior of the EV aggregators are also explored. Case studies for the Jeju electric power system in 2030 under various operational scenarios demonstrate the effectiveness of the proposed method and improved operational flexibility via controllable EVs.
Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder
Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.
2016-02-01
The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself.
Electromagnetic analysis of the plasma chamber of an ECR-based charge breeder
International Nuclear Information System (INIS)
Galatà, A.; Patti, G.; Celona, L.; Mascali, D.; Neri, L.; Torrisi, G.
2016-01-01
The optimization of the efficiency of an ECR-based charge breeder is a twofold task: efforts must be paid to maximize the capture of the injected 1+ ions by the confined plasma and to produce high charge states to allow post-acceleration at high energies. Both tasks must be faced by studying in detail the electrons heating dynamics, influenced by the microwave-to-plasma coupling mechanism. Numerical simulations are a powerful tools for obtaining quantitative information about the wave-to-plasma interaction process: this paper presents a numerical study of the microwaves propagation and absorption inside the plasma chamber of the PHOENIX charge breeder, which the selective production of exotic species project, under construction at Legnaro National Laboratories, will adopt as charge breeder. Calculations were carried out with a commercial 3D FEM solver: first, all the resonant frequencies were determined by considering a simplified plasma chamber; then, the realistic geometry was taken into account, including a cold plasma model of increasing complexity. The results gave important information about the power absorption and losses and will allow the improvement of the plasma model to be used in a refined step of calculation reproducing the breeding process itself
Kiyota, Yasuhiro; Kadoya, Tomofumi; Yamamoto, Kaoru; Iijima, Kodai; Higashino, Toshiki; Kawamoto, Tadashi; Takimiya, Kazuo; Mori, Takehiko
2016-03-23
On the basis of an excellent transistor material, [1]benzothieno[3,2-b][1]benzothiophene (BTBT), a series of highly conductive organic metals with the composition of (BTBT)2XF6 (X = P, As, Sb, and Ta) are prepared and the structural and physical properties are investigated. The room-temperature conductivity amounts to 4100 S cm(-1) in the AsF6 salt, corresponding to the drift mobility of 16 cm(2) V(-1) s(-1). Owing to the high conductivity, this salt shows a thermoelectric power factor of 55-88 μW K(-2) m(-1), which is a large value when this compound is regarded as an organic thermoelectric material. The thermoelectric power and the reflectance spectrum indicate a large bandwidth of 1.4 eV. These salts exhibit an abrupt resistivity jump under 200 K, which turns to an insulating state below 60 K. The paramagnetic spin susceptibility, and the Raman and the IR spectra suggest 4kF charge-density waves as an origin of the low-temperature insulating state.
Joint Power Charging and Routing in Wireless Rechargeable Sensor Networks.
Jia, Jie; Chen, Jian; Deng, Yansha; Wang, Xingwei; Aghvami, Abdol-Hamid
2017-10-09
The development of wireless power transfer (WPT) technology has inspired the transition from traditional battery-based wireless sensor networks (WSNs) towards wireless rechargeable sensor networks (WRSNs). While extensive efforts have been made to improve charging efficiency, little has been done for routing optimization. In this work, we present a joint optimization model to maximize both charging efficiency and routing structure. By analyzing the structure of the optimization model, we first decompose the problem and propose a heuristic algorithm to find the optimal charging efficiency for the predefined routing tree. Furthermore, by coding the many-to-one communication topology as an individual, we further propose to apply a genetic algorithm (GA) for the joint optimization of both routing and charging. The genetic operations, including tree-based recombination and mutation, are proposed to obtain a fast convergence. Our simulation results show that the heuristic algorithm reduces the number of resident locations and the total moving distance. We also show that our proposed algorithm achieves a higher charging efficiency compared with existing algorithms.
Steam generator in the SNR-project
International Nuclear Information System (INIS)
van Westenbrugge, J.K.
1979-01-01
The design philosophy of steam generators for 1300 MWe LMFBR's is presented. The basis for this philosophy is the present experience with the licensing of the SNR-300. This experience is reported. The approach for the steam generators for the 1300 MWe LMFBR is elaborated on, both for accident prevention and damage limitation, for the component itself as well as for the system design. Both Design Base Accident and Hypothetical Accidents are discussed. 8 refs
Directory of Open Access Journals (Sweden)
S. Zhang
2017-09-01
Full Text Available This work aims to estimate soil moisture and vegetation height from Global Navigation Satellite System (GNSS Signal to Noise Ratio (SNR data using direct and reflected signals by the land surface surrounding a ground-based antenna. Observations are collected from a rainfed wheat field in southwestern France. Surface soil moisture is retrieved based on SNR phases estimated by the Least Square Estimation method, assuming the relative antenna height is constant. It is found that vegetation growth breaks up the constant relative antenna height assumption. A vegetation-height retrieval algorithm is proposed using the SNR-dominant period (the peak period in the average power spectrum derived from a wavelet analysis of SNR. Soil moisture and vegetation height are retrieved at different time periods (before and after vegetation's significant growth in March. The retrievals are compared with two independent reference data sets: in situ observations of soil moisture and vegetation height, and numerical simulations of soil moisture, vegetation height and above-ground dry biomass from the ISBA (interactions between soil, biosphere and atmosphere land surface model. Results show that changes in soil moisture mainly affect the multipath phase of the SNR data (assuming the relative antenna height is constant with little change in the dominant period of the SNR data, whereas changes in vegetation height are more likely to modulate the SNR-dominant period. Surface volumetric soil moisture can be estimated (R2 = 0.74, RMSE = 0.009 m3 m−3 when the wheat is smaller than one wavelength (∼ 19 cm. The quality of the estimates markedly decreases when the vegetation height increases. This is because the reflected GNSS signal is less affected by the soil. When vegetation replaces soil as the dominant reflecting surface, a wavelet analysis provides an accurate estimation of the wheat crop height (R2 = 0.98, RMSE = 6
Yang, Guang; Sun, Chao; Shaw, Timothy; Wrigley, Chris; Peddada, Pavani; Blazejewski, Edward R.; Pain, Bedabrata
1998-09-01
Design and operation of a low noise CMOS focal pa;ne readout circuit with ultra-high charge handling capacity is presented. Designed for high-background, VLWIR detector readout, each readout unit cell use an accurate dynamic current memory for automatic subtraction of the dark pedestal in current domain enabling measurement of small signals 85 dB below the dark level. The redout circuit operates with low-power dissipation, high linearity, and is capable of handling pedestal currents up to 300 nA. Measurements indicate an effective charge handling capacity of over 5 X 10(superscript 9) charges/pixel with less than 10(superscript 5) electrons of input referred noise.
Optimal Charging Schedule Planning and Economic Analysis for Electric Bus Charging Stations
Directory of Open Access Journals (Sweden)
Rong-Ceng Leou
2017-04-01
Full Text Available The battery capacity of electric buses (EB used for public transportation is greater than that of electric cars, and the charging power is also several times greater than that used in electric cars; this can result in high energy consumption and negatively impact power distribution networks. This paper proposes a framework to determine the optimal contracted power capacity and charging schedule of an EB charging station in such a way that energy costs can be reduced. A mathematical model of controlled charging, which includes the capacity and energy charges of the station, was developed to minimize costs. The constraints of the model include the charging characteristics of an EB and the operational guidelines of the bus company. A practical EB charging station was used to verify the proposed model. The financial viability of this EB charging station is also studied in this paper. The economic analysis model for this charging station considers investment and operational costs, and the operational revenue. Sensitivity analyses with respect to some key parameters are also performed in this paper. Based on actual operational routes and EB charging schemes, test results indicate that the EB charging station investment is feasible, and the planning model proposed can be used to determine optimal station power capacity and minimize energy costs.
High power CW output from low confinement asymmetric structure diode laser
Iordache, G.; Buda, M.; Acket, G.A.; Roer, van de T.G.; Kaufmann, L.M.F.; Karouta, F.; Jagadish, C.; Tan, H.H.
1999-01-01
High power continuous wave output from diode lasers using low loss, low confinement, asymmetric structures is demonstrated. An asymmetric structure with an optical trap layer was grown by metal organic vapour phase epitaxy. Gain guided 50 µm wide stripe 1-3 mm long diode lasers were studied. 1.8 W
Technology Roadmap: High-Efficiency, Low-Emissions Coal-Fired Power Generation
Energy Technology Data Exchange (ETDEWEB)
NONE
2012-07-01
Coal is the largest source of power globally and, given its wide availability and relatively low cost, it is likely to remain so for the foreseeable future. The High-Efficiency, Low-Emissions Coal-Fired Power Generation Roadmap describes the steps necessary to adopt and further develop technologies to improve the efficiency of the global fleet of coal. To generate the same amount of electricity, a more efficient coal-fired unit will burn less fuel, emit less carbon, release less local air pollutants, consume less water and have a smaller footprint. High-efficiency, low emissions (HELE) technologies in operation already reach a thermal efficiency of 45%, and technologies in development promise even higher values. This compares with a global average efficiency for today’s fleet of coal-fired plants of 33%, where three-quarters of operating units use less efficient technologies and more than half is over 25 years old. A successful outcome to ongoing RD&D could see units with efficiencies approaching 50% or even higher demonstrated within the next decade. Generation from older, less efficient technology must gradually be phased out. Technologies exist to make coal-fired power generation much more effective and cleaner burning. Of course, while increased efficiency has a major role to play in reducing emissions, particularly over the next 10 years, carbon capture and storage (CCS) will be essential in the longer term to make the deep cuts in carbon emissions required for a low-carbon future. Combined with CCS, HELE technologies can cut CO2 emissions from coal-fired power generation plants by as much as 90%, to less than 100 grams per kilowatt-hour. HELE technologies will be an influential factor in the deployment of CCS. For the same power output, a higher efficiency coal plant will require less CO2 to be captured; this means a smaller, less costly capture plant; lower operating costs; and less CO2 to be transported and stored.
A Study on the Optimal Receiver Impedance for SNR Maximization in Broadband PLC
Directory of Open Access Journals (Sweden)
Massimo Antoniali
2013-01-01
Full Text Available We consider the design of the front-end receiver for broadband power line communications. We focus on the design of the input impedance that maximizes the signal-to-noise ratio (SNR at the receiver. We show that the amplitude, rather than the power, of the received signal is important for communication purposes. Furthermore, we show that the receiver impedance impacts the amplitude of the noise term. We focus on the background noise, and we propose a novel description of the noise experienced at the receiver port of a PLC network. We model the noise as the sum of four uncorrelated contributions, that is, the active, resistive, receiver, and coupled noise components. We study the optimal impedance design problem for real in-home grids that we assessed with experimental measurements. We describe the results of the measurement campaign, and we report the statistics of the optimal impedance. Hence, we study the best attainable performance when the optimal receiver impedance is deployed. We focus on the SNR and the maximum achievable rate, and we show that power matching is suboptimal with respect to the proposed impedance design approach.
Thangavel, Ranjith; Kaliyappan, Karthikeyan; Ramasamy, Hari Vignesh; Sun, Xueliang; Lee, Yun-Sung
2017-07-10
Electrochemical supercapacitors with high energy density are promising devices due to their simple construction and long-term cycling performance. The development of a supercapacitor based on electrical double-layer charge storage with high energy density that can preserve its cyclability at higher power presents an ongoing challenge. Herein, we provide insights to achieve a high energy density at high power with an ultrahigh stability in an electrical double-layer capacitor (EDLC) system by using carbon from a biomass precursor (cinnamon sticks) in a sodium ion-based organic electrolyte. Herein, we investigated the dependence of EDLC performance on structural, textural, and functional properties of porous carbon engineered by using various activation agents. The results demonstrate that the performance of EDLCs is not only dependent on their textural properties but also on their structural features and surface functionalities, as is evident from the electrochemical studies. The electrochemical results are highly promising and revealed that the porous carbon with poor textural properties has great potential to deliver high capacitance and outstanding stability over 300 000 cycles compared with porous carbon with good textural properties. A very low capacitance degradation of around 0.066 % per 1000 cycles, along with high energy density (≈71 Wh kg -1 ) and high power density, have been achieved. These results offer a new platform for the application of low-surface-area biomass-derived carbons in the design of highly stable high-energy supercapacitors. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
High Power High Efficiency Diode Laser Stack for Processing
Gu, Yuanyuan; Lu, Hui; Fu, Yueming; Cui, Yan
2018-03-01
High-power diode lasers based on GaAs semiconductor bars are well established as reliable and highly efficient laser sources. As diode laser is simple in structure, small size, longer life expectancy with the advantages of low prices, it is widely used in the industry processing, such as heat treating, welding, hardening, cladding and so on. Respectively, diode laser could make it possible to establish the practical application because of rectangular beam patterns which are suitable to make fine bead with less power. At this power level, it can have many important applications, such as surgery, welding of polymers, soldering, coatings and surface treatment of metals. But there are some applications, which require much higher power and brightness, e.g. hardening, key hole welding, cutting and metal welding. In addition, High power diode lasers in the military field also have important applications. So all developed countries have attached great importance to high-power diode laser system and its applications. This is mainly due their low performance. In this paper we will introduce the structure and the principle of the high power diode stack.
DEFF Research Database (Denmark)
Nymand, Morten; Andersen, Michael A. E.
2008-01-01
A new low-leakage-inductance low-resistance design approach to low-voltage high-power isolated boost converters is presented. Very low levels of parasitic circuit inductances are achieved by optimizing transformer design and circuit lay-out. Primary side voltage clamp circuits can be eliminated...... by the use of power MOSFETs fully rated for repetitive avalanche. Voltage rating of primary switches can now be reduced, significantly reducing switch on-state losses. Finally, silicon carbide rectifying diodes allow fast diode turn-off, further reducing losses. Test results from a 1.5 kW full-bridge boost...... converter verify theoretical analysis and demonstrate very high efficiency. Worst case efficiency, at minimum input voltage maximum power, is 96.8 percent and maximum efficiency reaches 98 percent....
Chen, Shuo; Luo, Chenggao; Wang, Hongqiang; Deng, Bin; Cheng, Yongqiang; Zhuang, Zhaowen
2018-04-26
As a promising radar imaging technique, terahertz coded-aperture imaging (TCAI) can achieve high-resolution, forward-looking, and staring imaging by producing spatiotemporal independent signals with coded apertures. However, there are still two problems in three-dimensional (3D) TCAI. Firstly, the large-scale reference-signal matrix based on meshing the 3D imaging area creates a heavy computational burden, thus leading to unsatisfactory efficiency. Secondly, it is difficult to resolve the target under low signal-to-noise ratio (SNR). In this paper, we propose a 3D imaging method based on matched filtering (MF) and convolutional neural network (CNN), which can reduce the computational burden and achieve high-resolution imaging for low SNR targets. In terms of the frequency-hopping (FH) signal, the original echo is processed with MF. By extracting the processed echo in different spike pulses separately, targets in different imaging planes are reconstructed simultaneously to decompose the global computational complexity, and then are synthesized together to reconstruct the 3D target. Based on the conventional TCAI model, we deduce and build a new TCAI model based on MF. Furthermore, the convolutional neural network (CNN) is designed to teach the MF-TCAI how to reconstruct the low SNR target better. The experimental results demonstrate that the MF-TCAI achieves impressive performance on imaging ability and efficiency under low SNR. Moreover, the MF-TCAI has learned to better resolve the low-SNR 3D target with the help of CNN. In summary, the proposed 3D TCAI can achieve: (1) low-SNR high-resolution imaging by using MF; (2) efficient 3D imaging by downsizing the large-scale reference-signal matrix; and (3) intelligent imaging with CNN. Therefore, the TCAI based on MF and CNN has great potential in applications such as security screening, nondestructive detection, medical diagnosis, etc.
Analysis of Power System Low Frequency Oscillation Based on Energy Shift Theory
Zhang, Junfeng; Zhang, Chunwang; Ma, Daqing
2018-01-01
In this paper, a new method for analyzing low-frequency oscillation between analytic areas based on energy coefficient is proposed. The concept of energy coefficient is proposed by constructing the energy function, and the low-frequency oscillation is analyzed according to the energy coefficient under the current operating conditions; meanwhile, the concept of model energy is proposed to analyze the energy exchange behavior between two generators. Not only does this method provide an explanation of low-frequency oscillation from the energy point of view, but also it helps further reveal the dynamic behavior of complex power systems. The case analysis of four-machine two-area and the power system of Jilin Power Grid proves the correctness and effectiveness of the proposed method in low-frequency oscillation analysis of power system.
Directory of Open Access Journals (Sweden)
Niancheng Zhou
2014-08-01
Full Text Available The influence of electric vehicle charging stations on power grid harmonics is becoming increasingly significant as their presence continues to grow. This paper studies the operational principles of the charging current in the continuous and discontinuous modes for a three-phase uncontrolled rectification charger with a passive power factor correction link, which is affected by the charging power. A parameter estimation method is proposed for the equivalent circuit of the charger by using the measured characteristic AC (Alternating Current voltage and current data combined with the charging circuit constraints in the conduction process, and this method is verified using an experimental platform. The sensitivity of the current harmonics to the changes in the parameters is analyzed. An analytical harmonic model of the charging station is created by separating the chargers into groups by type. Then, the harmonic current amplification caused by the shunt active power filter is researched, and the analytical formula for the overload factor is derived to further correct the capacity of the shunt active power filter. Finally, this method is validated through a field test of a charging station.
International Nuclear Information System (INIS)
Can, C.; Gray, T.J.; Varghese, S.L.; Hall, J.M.; Tunnell, L.N.
1985-01-01
Electron-capture cross sections for low-velocity (10 6 --10 7 cm/s) highly charged Ne/sup q/+ (2< or =q< or =7) and Ar/sup q/+ (2< or =q< or =10)= projectiles incident on molecular- and atomic-hydrogen targets have been measured. A recoil-ion source that used the collisions of fast heavy ions (1 MeV/amu) with target gas atoms was utilized to produce slow highly charged ions. Atomic hydrogen was produced by dissociating hydrogen molecules in a high-temperature oven. Measurements and analysis of the data for molecular- and atomic-hydrogen targets are discussed in detail. The measured absolute cross sections are compared with published data and predictions of theoretical models
International Nuclear Information System (INIS)
Bedoya, Iván D.; Saxena, Samveg; Cadavid, Francisco J.; Dibble, Robert W.; Wissink, Martin
2012-01-01
Highlights: ► In this paper, we study biogas combustion in an HCCI engine operating at 1800 rpm. ► At low loads, slight changes in inlet conditions strongly affect cyclic variations. ► At high loads, slight changes in inlet conditions strongly affect ringing intensity. ► Indicated efficiency at high loads is close to 45% and IMEP g is close to 7.5 bar. ► NO x emissions are below the US-2010 limit of 0.27 g/kW h. - Abstract: Combustion parameters and the main exhaust emissions from a biogas fueled HCCI engine are investigated in this study. The study was conducted on a 4-cylinder, 1.9L Volkswagen TDI Diesel engine, which was modified to run in HCCI mode with biogas by means of inlet charge temperature control, boosted intake pressure, and a sonic flow device upstream of the inlet manifold to control biogas composition and the equivalence ratio. For simulating typical power generation conditions, the engine was coupled to an AC motor generator operating at 1800 rpm. In the startup process, gasoline was used in HCCI mode for all cylinders. During the tests, biogas was used in cylinders 2 and 3, and gasoline was used in cylinders 1 and 4 to allow for more stable engine coolant and oil temperatures. The tests were performed through an experimental factorial design to evaluate the effect of inlet charge temperature, boost pressures, and the equivalence ratio of the biogas–air mixture on HCCI combustion parameters and emissions. For biogas at lower equivalence ratios, slight increases in inlet charge temperature and boost pressures enhanced combustion parameters and reduced CO and HC emissions. For biogas at higher equivalence ratios, the effects of inlet charge conditions on HCCI combustion and CO and HC emissions were attenuated; however, ringing intensities and NO x emissions were increased with higher inlet charge temperature and higher boosted pressures. The maximum gross indicated mean effective pressure was 7.4 bar, the maximum gross indicated
High-performance ionic diode membrane for salinity gradient power generation.
Gao, Jun; Guo, Wei; Feng, Dan; Wang, Huanting; Zhao, Dongyuan; Jiang, Lei
2014-09-03
Salinity difference between seawater and river water is a sustainable energy resource that catches eyes of the public and the investors in the background of energy crisis. To capture this energy, interdisciplinary efforts from chemistry, materials science, environmental science, and nanotechnology have been made to create efficient and economically viable energy conversion methods and materials. Beyond conventional membrane-based processes, technological breakthroughs in harvesting salinity gradient power from natural waters are expected to emerge from the novel fluidic transport phenomena on the nanoscale. A major challenge toward real-world applications is to extrapolate existing single-channel devices to macroscopic materials. Here, we report a membrane-scale nanofluidic device with asymmetric structure, chemical composition, and surface charge polarity, termed ionic diode membrane (IDM), for harvesting electric power from salinity gradient. The IDM comprises heterojunctions between mesoporous carbon (pore size ∼7 nm, negatively charged) and macroporous alumina (pore size ∼80 nm, positively charged). The meso-/macroporous membrane rectifies the ionic current with distinctly high ratio of ca. 450 and keeps on rectifying in high-concentration electrolytes, even in saturated solution. The selective and rectified ion transport furthermore sheds light on salinity-gradient power generation. By mixing artificial seawater and river water through the IDM, substantially high power density of up to 3.46 W/m(2) is discovered, which largely outperforms some commercial ion-exchange membranes. A theoretical model based on coupled Poisson and Nernst-Planck equations is established to quantitatively explain the experimental observations and get insights into the underlying mechanism. The macroscopic and asymmetric nanofluidic structure anticipates wide potentials for sustainable power generation, water purification, and desalination.
Methods and findings of the SNR study
International Nuclear Information System (INIS)
Koeberlein, K.; Schaefer, H.; Spindler, H.
1983-01-01
A featfinding committee of the German Federal Parliament in July 1980 recommended to perform a ''risk-oriented study'' of the SNR-300, the German 300 MW fast breeder prototype reactor being under construction in Kalkar. The main aim of this study was to allow a comparative safety evaluation between the SNR-300 and a modern PWR, thus to prepare a basis for a political decision on the SNR-300. Methods and main results of the study are presented in this paper. In the first step of the risk analysis six groups of accidents have been identified which may initiate core destruction. These groups comprise all conceivable courses, potentially leading to core destruction. By reliability analyses, expected frequency of each group has been calculated. In the accident analysis potential failure modes of the reactor tank have been investigated. Core destruction may be accompanied by the release of significant amounts of mechanical energy. The primary coolant system of SNR-300 is designed to withstand mechanical energy releases up to 370 MJ. Design features make it possible to cool the molten core inside the reactor tank. (orig./RW) [de
New generation of monolithic active pixel sensors for charged particle detection
International Nuclear Information System (INIS)
Deptuch, G.
2002-09-01
Vertex detectors are of great importance in particle physics experiments, as the knowledge of the event flavour is becoming an issue for the physics programme at Future Linear Colliders. Monolithic Active Pixel Sensors (MAPS) based on a novel detector structure have been proposed. Their fabrication is compatible with a standard CMOS process. The sensor is inseparable from the readout electronics, since both of them are integrated on the same, low-resistivity silicon wafer. The basic pixel configuration comprises only three MOS transistors and a diode collecting the charge through thermal diffusion. The charge is generated in the thin non-depleted epitaxial layer underneath the readout electronics. This approach provides, at low cost, a high resolution and thin device with the whole area sensitive to radiation. Device simulations using the ISE-TCAD package have been carried out to study the charge collection mechanism. In order to demonstrate the viability of the technique, four prototype chips have been fabricated using different submicrometer CMOS processes. The pixel gain has been calibrated using a 55 Fe source and the Poisson sequence method. The prototypes have been exposed to high-energy particle beams at CERN. The tests proved excellent detection performances expressed in a single-track spatial resolution of 1.5 μm and detection efficiency close to 100%, resulting from a SNR ratio of more than 30. Irradiation tests showed immunity of MAPS to a level of a few times 10 12 n/cm 2 and a few hundred kRad of ionising radiation. The ideas for future work, including on-pixel signal amplification, double sampling operation and current mode pixel design are present as well. (author)
Characterization and design of a low-power wireless power delivery system
Falkenstein, Erez Avigdor
There is an increased demand for wireless sensors for data gathering and transmission where running wires to power a device or changing/charging batteries is difficult. Often the data is gathered at locations that are difficult to access, that need to be covert, and/or where the sensors cannot be easily maintained. Some examples are implanted sensors for medical diagnostics and therapy, structural monitoring sensors, sensors inside hazardous manufacturing or other hazardous environments, etc. For any low power sensor that operates at a low duty cycle, and in an environment with low levels of light or vibration, RF wireless powering offers the potential for maintenance-free operation. The thesis focuses on a design methodology for low-power non-directional far-field wireless powering. The power receiver consists of one or more antennae which receive plane waves transmitted by the powering source, and deliver the RF power to a rectifying element. The resulting DC power is optimally transferred to the electronic application via a power management circuit. The powering is independent of the electronic application which can include wireless transmission of sensor data. The design and implementation of an integrated rectifier-antenna at low incident power densities (from 25--200 muW/cm2) is presented. Nonlinear source-pull measurements and harmonic balance simulations are used for finding the optimal rectifying device RF and DC impedances for efficient rectification. Experimental results show that an antenna design with a specific complex impedance reaches the highest rectification efficiency. Several examples of the design methodology will be shown. In specific, characterization of a rectifying patch antenna at frequency of 2.45GHz will be detailed, with an optimal RF impedance of 137+j149O and an optimal DC load of 365O resulting in RF to DC conversion efficiency of 63% for the rectifier alone and 56% for the total rectifying antenna.
A high speed low power low offset dynamic comparator used in SHA-less pipelined ADC
Shubin, Liu; Zhangming, Zhu; Yintang, Yang; Lianxi, Liu
2014-05-01
A novel fully differential high speed high resolution low offset CMOS dynamic comparator has been implemented in the SMIC 0.18 μm process used for a sample-and-hold amplifier (SHA)-less pipelined analog-to-digital converters (ADC). Based on the analysis and optimization between delay time and offset, an enhanced reset architecture with transmission gate was introduced to speed up the comparison and reset procedure. Four inputs with two cross coupled differential pairs, reconstituted bias circuit for tail current transistor and common centroid layouts make the comparator more robust against mismatch and process variations. The simulation results demonstrate that the proposed design achieves 1 mV sensitivity at 2.2 GHz sampling rate with a power consumption of 510 μW, while the mean offset voltage is equal to 10.244 mV.
Directory of Open Access Journals (Sweden)
Cai Ligang
2017-01-01
Full Text Available Instead improving the accuracy of machine tool by increasing the precision of key components level blindly in the production process, the method of combination of SNR quality loss function and machine tool geometric error correlation analysis to optimize five-axis machine tool geometric errors will be adopted. Firstly, the homogeneous transformation matrix method will be used to build five-axis machine tool geometric error modeling. Secondly, the SNR quality loss function will be used for cost modeling. And then, machine tool accuracy optimal objective function will be established based on the correlation analysis. Finally, ISIGHT combined with MATLAB will be applied to optimize each error. The results show that this method is reasonable and appropriate to relax the range of tolerance values, so as to reduce the manufacturing cost of machine tools.
Ultracapacitor-Based Uninterrupted Power Supply System
Eichenberg, Dennis J.
2011-01-01
The ultracapacitor-based uninterrupted power supply (UPS) system enhances system reliability; reduces life-of-system, maintenance, and downtime costs; and greatly reduces environmental impact when compared to conventional UPS energy storage systems. This design provides power when required and absorbs power when required to smooth the system load and also has excellent low-temperature performance. The UPS used during hardware tests at Glenn is an efficient, compact, maintenance-free, rack-mount, pure sine-wave inverter unit. The UPS provides a continuous output power up to 1,700 W with a surge rating of 1,870 W for up to one minute at a nominal output voltage of 115 VAC. The ultracapacitor energy storage system tested in conjunction with the UPS is rated at 5.8 F. This is a bank of ten symmetric ultracapacitor modules. Each module is actively balanced using a linear voltage balancing technique in which the cell-to-cell leakage is dependent upon the imbalance of the individual cells. The ultracapacitors are charged by a DC power supply, which can provide up to 300 VDC at 4 A. A constant-voltage, constant-current power supply was selected for this application. The long life of ultracapacitors greatly enhances system reliability, which is significant in critical applications such as medical power systems and space power systems. The energy storage system can usually last longer than the application, given its 20-year life span. This means that the ultracapacitors will probably never need to be replaced and disposed of, whereas batteries require frequent replacement and disposal. The charge-discharge efficiency of rechargeable batteries is approximately 50 percent, and after some hundreds of charges and discharges, they must be replaced. The charge-discharge efficiency of ultracapacitors exceeds 90 percent, and can accept more than a million charges and discharges. Thus, there is a significant energy savings through the efficiency improvement, and there is far less
HITRAP: A Facility for Experiments with Trapped Highly Charged Ions
International Nuclear Information System (INIS)
Quint, W.; Dilling, J.; Djekic, S.; Haeffner, H.; Hermanspahn, N.; Kluge, H.-J.; Marx, G.; Moore, R.; Rodriguez, D.; Schoenfelder, J.; Sikler, G.; Valenzuela, T.; Verdu, J.; Weber, C.; Werth, G.
2001-01-01
HITRAP is a planned ion trap facility for capturing and cooling of highly charged ions produced at GSI in the heavy-ion complex of the UNILAC-SIS accelerators and the ESR storage ring. In this facility heavy highly charged ions up to uranium will be available as bare nuclei, hydrogen-like ions or few-electron systems at low temperatures. The trap for receiving and studying these ions is designed for operation at extremely high vacuum by cooling to cryogenic temperatures. The stored highly charged ions can be investigated in the trap itself or can be extracted from the trap at energies up to about 10 keV/q. The proposed physics experiments are collision studies with highly charged ions at well-defined low energies (eV/u), high-accuracy measurements to determine the g-factor of the electron bound in a hydrogen-like heavy ion and the atomic binding energies of few-electron systems, laser spectroscopy of HFS transitions and X-ray spectroscopy
Workplace Electric Vehicle Solar Smart Charging based on Solar Irradiance Forecasting
Almquist, Isabelle; Lindblom, Ellen; Birging, Alfred
2017-01-01
The purpose of this bachelor thesis is to investigate different outcomes of the usage of photovoltaic (PV) power for electric vehicle (EV) charging adjacent to workplaces. In the investigated case, EV charging stations are assumed to be connected to photovoltaic systems as well as the electricity grid. The model used to simulate different scenarios is based on a goal of achieving constant power exchange with the grid by adjusting EV charging to a solar irradiance forecast. The model is implem...
Description of the global fuel element failure detection for SNR 300
International Nuclear Information System (INIS)
Dauk, J.
1985-07-01
For the detection of fission products in the cover gas of SNR 300 a high-resolution gamma-spectrometer with connected mini-computer is applied. The mechanical structure of the two Ge-detectors, which are positioned at two redundant gas lines, the analogous electronic and the structure of the computer with its periphery are described in this report. The concept of the software is presented, which had been developed especially for SNR 300. The start-up of the system and the calibration of the auxiliary measuring points and of the Ge-detectors are described [de
Overall, Nickola C.; Hammond, Matthew D.; McNulty, James K.; Finkel, Eli J.
2016-01-01
When does power in intimate relationships shape important interpersonal behaviors, such as psychological aggression? Five studies tested whether possessing low relationship power was associated with aggressive responses, but (1) only within power-relevant relationship interactions when situational power was low, and (2) only by men because masculinity (but not femininity) involves the possession and demonstration of power. In Studies 1 and 2, men lower in relationship power exhibited greater aggressive communication during couples’ observed conflict discussions, but only when they experienced low situational power because they were unable to influence their partner. In Study 3, men lower in relationship power reported greater daily aggressive responses toward their partner, but only on days when they experienced low situational power because they were either (a) unable to influence their partner or (b) dependent on their partner for support. In Study 4, men who possessed lower relationship power exhibited greater aggressive responses during couples’ support-relevant discussions, but only when they had low situational power because they needed high levels of support. Study 5 provided evidence for the theoretical mechanism underlying men’s aggressive responses to low relationship power. Men who possessed lower relationship power felt less manly on days they faced low situational power because their partner was unwilling to change to resolve relationship problems, which in turn predicted greater aggressive responses to their partner. These results demonstrate that fully understanding when and why power is associated with interpersonal behavior requires differentiating between relationship and situational power. PMID:27442766
Performance on the low charge state laser ion source in BNL
Energy Technology Data Exchange (ETDEWEB)
Okamura, M.; Alessi, J.; Beebe, E.; Costanzo, M.; DeSanto, L.; Jamilkowski, J.; Kanesue, T.; Lambiase, R.; Lehn, D.; Liaw, C. J.; McCafferty, D.; Morris, J.; Olsen, R.; Pikin, A.; Raparia, D.; Steszyn, A.; Ikeda, S.
2015-09-07
On March 2014, a Laser Ion Source (LIS) was commissioned which delivers high-brightness, low-charge-state heavy ions for the hadron accelerator complex in Brookhaven National Laboratory (BNL). Since then, the LIS has provided many heavy ion species successfully. The low-charge-state (mostly singly charged) beams are injected to the Electron Beam Ion Source (EBIS), where ions are then highly ionized to fit to the following accelerator’s Q/M acceptance, like Au32+. Recently we upgraded the LIS to be able to provide two different beams into EBIS on a pulse-to-pulse basis. Now the LIS is simultaneously providing beams for both the Relativistic Heavy Ion Collider (RHIC) and NASA Space Radiation Laboratory (NSRL).
On the origin of the system PSR B 1757-24/SNR G 5.4-1.2
Gvaramadze, V. V.
2004-03-01
A scenario for the origin of the system PSR B 1757-24/supernova remnant (SNR) G 5.4-1.2 is proposed. It is suggested that both objects are the remnants of a supernova (SN) that exploded within a pre-existing bubble blown-up by a runaway massive star (the SN progenitor) during the final (Wolf-Rayet) phase of its evolution. This suggestion implies that (a) the SN blast centre was significantly offset from the geometric centre of the wind-blown bubble (i.e. from the centre of the future SNR), (b) the bubble was surrounded by a massive wind-driven shell, and (c) the SN blast wave was drastically decelerated by the interaction with the shell. Therefore, one can understand how the relatively young and low-velocity pulsar PSR B 1757-24 was able to escape from the associated SNR G 5.4-1.2 and why the inferred vector of pulsar transverse velocity does not point away from the geometric centre of the SNR. A possible origin of the radio source G 5.27-0.9 (located between PSR B 1757-24 and the SNR G 5.4-1.2) is proposed. It is suggested that G 5.27-0.9 is a lobe of a low Mach number (≃1.7) jet of gas outflowing from the interior of G 5.4-1.2 through the hole bored in the SNR's shell by the escaping pulsar. It is also suggested that the non-thermal emission of the comet-shaped pulsar wind nebula originates in the vicinity of the termination shock and in the cylindric region of subsonically moving shocked pulsar wind. The role of magnetized wind-driven shells (swept-up during the Wolf-Rayet phase from the ambient interstellar medium with the regular magnetic field) in formation of elongated axisymmetric SNRs is discussed.
Low-Power Silicon-based Thermal Sensors and Actuators for Chemical Applications
Vereshchagina, E.
2011-01-01
In the Hot Silicon project low and ultra-low-power Si-based hot surface devices have been developed, i.e. thermal sensors and actuators, for application in catalytic gas micro sensors, micro- and nano- calorimeters. This work include several scientific and technological aspects: • Design and
Lightweight Battery Charge Regulator Used to Track Solar Array Peak Power
Soeder, James F.; Button, Robert M.
1999-01-01
A battery charge regulator based on the series-connected boost regulator (SCBR) technology has been developed for high-voltage spacecraft applications. The SCBR regulates the solar array power during insolation to prevent battery overcharge or undercharge conditions. It can also be used to provide regulated battery output voltage to spacecraft loads if necessary. This technology uses industry-standard dc-dc converters and a unique interconnection to provide size, weight, efficiency, fault tolerance, and modularity benefits over existing systems. The high-voltage SCBR shown in the photograph has demonstrated power densities of over 1000 watts per kilogram (W/kg). Using four 150-W dc-dc converter modules, it can process 2500 W of power at 120 Vdc with a minimum input voltage of 90 Vdc. Efficiency of the SCBR was 94 to 98 percent over the entire operational range. Internally, the unit is made of two separate SCBR s, each with its own analog control circuitry, to demonstrate the modularity of the technology. The analog controllers regulate the output current and incorporate the output voltage limit with active current sharing between the two units. They also include voltage and current telemetry, on/off control, and baseplate temperature sensors. For peak power tracking, the SCBR was connected to a LabView-based data acquisition system for telemetry and control. A digital control algorithm for tracking the peak power point of a solar array was developed using the principle of matching the source impedance with the load impedance for maximum energy transfer. The algorithm was successfully demonstrated in a simulated spacecraft electrical system at the Boeing PhantomWorks High Voltage Test Facility in Seattle, Washington. The system consists of a 42-string, high-voltage solar array simulator, a 77-cell, 80-ampere-hour (A-hr) nickel-hydrogen battery, and a constant power-load module. The SCBR and the LabView control algorithm successfully tracked the solar array peak
International Nuclear Information System (INIS)
Toshito, T.; Kodama, K.; Yusa, K.; Ozaki, M.; Amako, K.; Kameoka, S.; Murakami, K.; Sasaki, T.; Aoki, S.; Ban, T.; Fukuda, T.; Naganawa, N.; Nakamura, T.; Natsume, M.; Niwa, K.; Takahashi, S.; Kanazawa, M.; Kanematsu, N.; Komori, M.; Sato, S.; Asai, M.; Koi, T.; Fukushima, C.; Ogawa, S.; Shibasaki, M.; Shibuya, H.
2006-01-01
We performed an experimental study of charge identification of heavy ions from helium to carbon having energy of about 290MeV/u using an emulsion chamber. Emulsion was desensitized by means of forced fading (refreshing) to expand a dynamic range of response to highly charged particles. For the track reconstruction and charge identification, the fully automated high speed emulsion read-out system, which was originally developed for identifying minimum ionizing particles, was used without any modification. Clear track by track charge identification up to Z=6 was demonstrated. The refreshing technique has proved to be a powerful technique to expand response of emulsion film to highly ionizing particles
Pal, P.; Ghosh, A.
2016-07-01
In this paper, we have studied the dynamics and relaxation of charge carriers in poly(methylmethacrylate)-lithium salt based polymer electrolytes plasticized with ethylene carbonate. Structural and thermal properties have been examined using X-ray diffraction and differential scanning calorimetry, respectively. We have analyzed the complex conductivity spectra by using power law model coupled with the contribution of electrode polarization at low frequencies and high temperatures. The temperature dependence of the ionic conductivity and crossover frequency exhibits Vogel-Tammann-Fulcher type behavior indicating a strong coupling between the ionic and the polymer chain segmental motions. The scaling of the ac conductivity indicates that relaxation dynamics of charge carriers follows a common mechanism for all temperatures and ethylene carbonate concentrations. The analysis of the ac conductivity also shows the existence of a nearly constant loss in these polymer electrolytes at low temperatures and high frequencies. The fraction of free anions and ion pairs in polymer electrolyte have been obtained from the analysis of Fourier transform infrared spectra. It is observed that these quantities influence the behavior of the composition dependence of the ionic conductivity.
Energy Technology Data Exchange (ETDEWEB)
Pal, P.; Ghosh, A., E-mail: sspag@iacs.res.in [Department of Solid State Physics, Indian Association for the Cultivation of Science, Jadavpur, Kolkata 700032 (India)
2016-07-28
In this paper, we have studied the dynamics and relaxation of charge carriers in poly(methylmethacrylate)-lithium salt based polymer electrolytes plasticized with ethylene carbonate. Structural and thermal properties have been examined using X-ray diffraction and differential scanning calorimetry, respectively. We have analyzed the complex conductivity spectra by using power law model coupled with the contribution of electrode polarization at low frequencies and high temperatures. The temperature dependence of the ionic conductivity and crossover frequency exhibits Vogel-Tammann-Fulcher type behavior indicating a strong coupling between the ionic and the polymer chain segmental motions. The scaling of the ac conductivity indicates that relaxation dynamics of charge carriers follows a common mechanism for all temperatures and ethylene carbonate concentrations. The analysis of the ac conductivity also shows the existence of a nearly constant loss in these polymer electrolytes at low temperatures and high frequencies. The fraction of free anions and ion pairs in polymer electrolyte have been obtained from the analysis of Fourier transform infrared spectra. It is observed that these quantities influence the behavior of the composition dependence of the ionic conductivity.
Charge distributions of fission fragments of low- and high-energy fission of Fm, No, and Rf isotopes
Paşca, H.; Andreev, A. V.; Adamian, G. G.; Antonenko, N. V.
2018-03-01
The charge (mass) distributions of fission fragments resulting from low- and high-energy fission of the even-even nuclei 254 -260 ,264Fm , 258 -264No , and 262 -266Rf are studied with the statistical scission-point model. The calculated results are compared with the available experimental data. In contrast to the experimental data, the calculated mass distribution for 258Fm (s.f.) is strikingly similar to the experimental one for 257Fm (s.f.). The transformation of the shape of charge distribution with increasing isospin and excitation energy occurs gradually and in a similar fashion like that of the mass distribution, but slower. For 254Fm(i.f.), 257Fm(nt h,f), and 260Fm (s.f.), the unexpected difference (symmetric or asymmetric) between the shapes of charge and mass distributions is predicted for the first time. At some critical excitation energy, the saturation of the symmetric component of charge (mass) yields is demonstrated.
International Nuclear Information System (INIS)
J. Hoff, G. Drake, A. Byon-Wagner, G. Foster and M. Lindgren
1999-01-01
The SMQIE is the newest member of the QIE family of integrated circuits. It has been developed specifically for the Shower Max Detector upgrade of the CDF Plug and Central Calorimeters at Fermilab. Like its predecessors, it converts charges over a wide dynamic range with a variable resolution. Unlike its predecessors it contains its own Flash, trigger delay pipeline and buffer area. Furthermore, it operates both at a lower frequency and with only a simple 5-volt power supply. The simultaneous requirements of low frequency and reduced voltage force the front end into a low current, high impedance regime. Specialized circuitry is necessary to prevent charge slopped-over into subsequent time slices. The considerable amount of digital circuitry monolithic with the analog front end makes for a noisy substrate. Specialized circuitry and layout techniques are necessary to keep this chip from being noise-limited. The final design is a two-channel single-ended Charge Integrator and Encoder (QIE) that operates at a frequency of 7.6MHz with a least significant bit resolution of 15 fC in its lowest range
MW-assisted synthesis of LiFePO 4 for high power applications
Beninati, Sabina; Damen, Libero; Mastragostino, Marina
LiFePO 4/C was prepared by solid-state reaction from Li 3PO 4, Fe 3(PO 4) 2·8H 2O, carbon and glucose in a few minutes in a scientific MW (microwave) oven with temperature and power control. The material was characterized by X-ray diffraction, scanning electron microscopy and by TGA analysis to evaluate carbon content. The electrochemical characterization as positive electrode in EC (ethylene carbonate)-DMC (dimethylcarbonate) 1 M LiPF 6 was performed by galvanostatic charge-discharge cycles at C/10 to evaluate specific capacity and by sequences of 10 s discharge-charge pulses, at different high C-rates (5-45C) to evaluate pulse-specific power in simulate operative conditions for full-HEV application. The maximum pulse-specific power and, particularly, pulse efficiency values are quite high and make MW synthesis a very promising route for mass production of LiFePO 4/C for full-HEV batteries at low energy costs.
Capacity of spectrum sharing Cognitive Radio systems over Nakagami fading channels at low SNR
Sboui, Lokman
2013-06-01
In this paper, we study the ergodic capacity of Cognitive Radio (CR) spectrum sharing systems at low power regime. We focus on Nakagami fading channels. We formally define the low power regime and present closed form expressions of the capacity in the low power regime under various types of interference and/or power constraints, depending on the available channel state information (CSI) of the cross link (CL) between the secondary user transmitter and the primary user receiver. We explicitly characterize two regimes where either the interference constraint or the power constraint dictates the optimal power profile. Our framework also highlights the effects of different fading parameters on the secondary link ergodic capacity. Interestingly, we show that the low power regime analysis provides a specific insight on the capacity behavior of CR that has not been reported by previous studies. © 2013 IEEE.
Yousefinezhad, Sajad; Kermani, Saeed; Hosseinnia, Saeed
2018-01-01
The operational transconductance amplifier-capacitor (OTA-C) filter is one of the best structures for implementing continuous-time filters. It is particularly important to design a universal OTA-C filter capable of generating the desired filter response via a single structure, thus reducing the filter circuit power consumption as well as noise and the occupied space on the electronic chip. In this study, an inverter-based universal OTA-C filter with very low power consumption and acceptable noise was designed with applications in bioelectric and biomedical equipment for recording biomedical signals. The very low power consumption of the proposed filter was achieved through introducing bias in subthreshold MOSFET transistors. The proposed filter is also capable of simultaneously receiving favorable low-, band-, and high-pass filter responses. The performance of the proposed filter was simulated and analyzed via HSPICE software (level 49) and 180 nm complementary metal-oxide-semiconductor technology. The rate of power consumption and noise obtained from simulations are 7.1 nW and 10.18 nA, respectively, so this filter has reduced noise as well as power consumption. The proposed universal OTA-C filter was designed based on the minimum number of transconductance blocks and an inverter circuit by three transconductance blocks (OTA).
Childs, David T. D.; Groom, Kristian M.; Hogg, Richard A.; Revin, Dmitry G.; Cockburn, John W.; Rehman, Ihtesham U.; Matcher, Stephen J.
2016-03-01
Infrared spectroscopy is a highly attractive read-out technology for compositional analysis of biomedical specimens because of its unique combination of high molecular sensitivity without the need for exogenous labels. Traditional techniques such as FTIR and Raman have suffered from comparatively low speed and sensitivity however recent innovations are challenging this situation. Direct mid-IR spectroscopy is being speeded up by innovations such as MEMS-based FTIR instruments with very high mirror speeds and supercontinuum sources producing very high sample irradiation levels. Here we explore another possible method - external cavity quantum cascade lasers (EC-QCL's) with high cavity tuning speeds (mid-IR swept lasers). Swept lasers have been heavily developed in the near-infrared where they are used for non-destructive low-coherence imaging (OCT). We adapt these concepts in two ways. Firstly by combining mid-IR quantum cascade gain chips with external cavity designs adapted from OCT we achieve spectral acquisition rates approaching 1 kHz and demonstrate potential to reach 100 kHz. Secondly we show that mid-IR swept lasers share a fundamental sensitivity advantage with near-IR OCT swept lasers. This makes them potentially able to achieve the same spectral SNR as an FTIR instrument in a time x N shorter (N being the number of spectral points) under otherwise matched conditions. This effect is demonstrated using measurements of a PDMS sample. The combination of potentially very high spectral acquisition rates, fundamental SNR advantage and the use of low-cost detector systems could make mid-IR swept lasers a powerful technology for high-throughput biomedical spectroscopy.
High-Efficiency Isolated Boost DCDC Converter for High-Power Low-Voltage Fuel-Cell Applications
DEFF Research Database (Denmark)
Nymand, Morten; Andersen, Michael A. E.
2010-01-01
high winding losses. The analysis of transformer leakage inductance reveals that extremely low leakage inductance can be achieved, allowing stored energy to be dissipated. Power MOSFETs fully rated for repetitive avalanches allow primary-side voltage clamp circuits to be eliminated. The oversizing...
Deep Charging Evaluation of Satellite Power and Communication System Components
Schneider, T. A.; Vaughn, J. A.; Chu, B.; Wong, F.; Gardiner, G.; Wright, K. H.; Phillips, B.
2016-01-01
Deep charging, in contrast to surface charging, focuses on electron penetration deep into insulating materials applied over conductors. A classic example of this scenario is an insulated wire. Deep charging can pose a threat to material integrity, and to sensitive electronics, when it gives rise to an electrostatic discharge or arc. With the advent of Electric Orbit Raising, which requires spiraling through Earth's radiation belts, satellites are subjected to high energy electron environments which they normally would not encounter. Beyond Earth orbit, missions to Jupiter and Saturn face deep charging concerns due to the high energy radiation environments. While predictions can be made about charging in insulating materials, it is difficult to extend those predictions to complicated geometries, such as the case of an insulating coating around a small wire, or a non-uniform silicone grouting on a bus bar. Therefore, to conclusively determine the susceptibility of a system to arcs from deep charging, experimental investigations must be carried out. This paper will describe the evaluation carried out by NASA's Marshall Space Flight Center on subscale flight-like samples developed by Space Systems/Loral, LLC. Specifically, deep charging evaluations of solar array wire coupons, a photovoltaic cell coupon, and a coaxial microwave transmission cable, will be discussed. The results of each evaluation will be benchmarked against control sample tests, as well as typical power system levels, to show no significant deep charging threat existed for this set of samples under the conditions tested.
Extending OFDM Symbols to Reduce Power Consumption
Kokkeler, Andre B.J.; Smit, Gerardus Johannes Maria
2012-01-01
Existing communication standards have limited capabilities to adapt to low SNR environments or to exploit low data rate requirements in a power efficient way. Existing techniques like e.g. control coding do not reduce the computational load when reducing data rates. In this paper, we introduce
Buunk, Abraham (Bram); Blanton, H; Schuurman, M.K.; Siero, F.W.
2005-01-01
In a pretest-posttest design, subscribers to women's magazines were mailed a high- versus low-power message arguing a radical feminist view. Uninvolved women changed their opinions more after the high-power-style message, and involved women more after the low-power-style message.
A fast, robust algorithm for power line interference cancellation in neural recording
Keshtkaran, Mohammad Reza; Yang, Zhi
2014-04-01
Objective. Power line interference may severely corrupt neural recordings at 50/60 Hz and harmonic frequencies. The interference is usually non-stationary and can vary in frequency, amplitude and phase. To retrieve the gamma-band oscillations at the contaminated frequencies, it is desired to remove the interference without compromising the actual neural signals at the interference frequency bands. In this paper, we present a robust and computationally efficient algorithm for removing power line interference from neural recordings. Approach. The algorithm includes four steps. First, an adaptive notch filter is used to estimate the fundamental frequency of the interference. Subsequently, based on the estimated frequency, harmonics are generated by using discrete-time oscillators, and then the amplitude and phase of each harmonic are estimated by using a modified recursive least squares algorithm. Finally, the estimated interference is subtracted from the recorded data. Main results. The algorithm does not require any reference signal, and can track the frequency, phase and amplitude of each harmonic. When benchmarked with other popular approaches, our algorithm performs better in terms of noise immunity, convergence speed and output signal-to-noise ratio (SNR). While minimally affecting the signal bands of interest, the algorithm consistently yields fast convergence (30 dB) in different conditions of interference strengths (input SNR from -30 to 30 dB), power line frequencies (45-65 Hz) and phase and amplitude drifts. In addition, the algorithm features a straightforward parameter adjustment since the parameters are independent of the input SNR, input signal power and the sampling rate. A hardware prototype was fabricated in a 65 nm CMOS process and tested. Software implementation of the algorithm has been made available for open access at https://github.com/mrezak/removePLI. Significance. The proposed algorithm features a highly robust operation, fast adaptation to
Improved SNR of phased-array PERES coils via simulation study
International Nuclear Information System (INIS)
RodrIguez, Alfredo O; Medina, LucIa
2005-01-01
A computational comparison of signal-to-noise ratio (SNR) was performed between a conventional phased array of two circular-shaped coils and a petal resonator surface array. The quasi-static model and phased-array optimum SNR were combined to derive an SNR formula for each array. Analysis of mutual inductance between coil petals was carried out to compute the optimal coil separation and optimum number of petal coils. Mutual interaction between coil arrays was not included in the model because this does not drastically affect coil performance. Phased arrays of PERES coils show a 114% improvement in SNR over that of the simplest circular configuration. (note)
SNR-steam generator design with respect to large sodium water reactions
International Nuclear Information System (INIS)
Jong, J.J. de; Kellner, A.; Florie, C.J.L.
1984-01-01
This paper deals with the experiences gained during the licensing procedure for the steam generators for the SNR 300 LMFBR regarding large sodium-water reactions. A description is given of the different calculations executed to investigate the effects of large leaks on the 85 MW helical coiled and straight tube steam generators. The investigations on the helical coiled steam generators are divided in the formulations of fluid behaviour, dynamic force calculations, dynamic response calculation and finally stress analyses. Several results are shown. The investigations on the straight tube steam generators are performed using models describing fluid-structure interaction, coupled with stress analyses. Several results are presented. A description is given of the problems and necessary construction changes during the licensing process. Advises are given for future analyses and design concepts for second generation commercial size LMFBR steam generators with respect to large leaks; based on the experience, gained with SNR 300, and using some new calculations for SNR 2. (author)
Directory of Open Access Journals (Sweden)
Du Chongyang
2015-01-01
Full Text Available Electric vehicles are the most potential transports in the future. However, the large scale of charging facilities will make a great influence on gird. There is a need to make a research on the construction of charging facilities. Based on the power demand characteristics of electric vehicle charging, distribution network capacity, charging system performance and other aspects, this paper mainly researched the deployment strategy of charging piles. First, the authors built up a model with characteristics of charging power demand of electric vehicle and a model of charging service system. The characteristic of daily load curve is analyzed. Second, based on these works, the authors designed the progress of strategy making. At last, the progress was verified by the actual use case.
Shendkar, Chandrashekhar; Lenka, Prasanna K; Biswas, Abhishek; Kumar, Ratnesh; Mahadevappa, Manjunatha
2015-10-01
Functional electric stimulators that produce near-ideal, charge-balanced biphasic stimulation waveforms with interphase delay are considered safer and more efficacious than conventional stimulators. An indigenously designed, low-cost, portable FES device named InStim is developed. It features a charge-balanced biphasic single channel. The authors present the complete design, mathematical analysis of the circuit and the clinical evaluation of the device. The developed circuit was tested on stroke patients affected by foot drop problems. It was tested both under laboratory conditions and in clinical settings. The key building blocks of this circuit are low dropout regulators, a DC-DC voltage booster and a single high-power current source OP-Amp with current-limiting capabilities. This allows the device to deliver high-voltage, constant current, biphasic pulses without the use of a bulky step-up transformer. The advantages of the proposed design over the currently existing devices include improved safety features (zero DC current, current-limiting mechanism and safe pulses), waveform morphology that causes less muscle fatigue, cost-effectiveness and compact power-efficient circuit design with minimal components. The device is also capable of producing appropriate ankle dorsiflexion in patients having foot drop problems of various Medical Research Council scale grades.
Development of high-average-power-laser medium based on silica glass
International Nuclear Information System (INIS)
Fujimoto, Yasushi; Nakatsuka, Masahiro
2000-01-01
We have developed a high-average-power laser material based on silica glass. A new method using Zeolite X is effective for homogeneously dispersing rare earth ions in silica glass to get a high quantum yield. High quality medium, which is bubbleless and quite low refractive index distortion, must be required for realization of laser action, and therefore, we have carefully to treat the gelation and sintering processes, such as, selection of colloidal silica, pH value of for hydrolysis of tetraethylorthosilicate, and sintering history. The quality of the sintered sample and the applications are discussed. (author)
Liu, Ruiyuan; Wang, Jie; Sun, Teng; Wang, Mingjun; Wu, Changsheng; Zou, Haiyang; Song, Tao; Zhang, Xiaohong; Lee, Shuit-Tong; Wang, Zhong Lin; Sun, Baoquan
2017-07-12
An integrated self-charging power unit, combining a hybrid silicon nanowire/polymer heterojunction solar cell with a polypyrrole-based supercapacitor, has been demonstrated to simultaneously harvest solar energy and store it. By efficiency enhancement of the hybrid nanowire solar cells and a dual-functional titanium film serving as conjunct electrode of the solar cell and supercapacitor, the integrated system is able to yield a total photoelectric conversion to storage efficiency of 10.5%, which is the record value in all the integrated solar energy conversion and storage system. This system may not only serve as a buffer that diminishes the solar power fluctuations from light intensity, but also pave its way toward cost-effective high efficiency self-charging power unit. Finally, an integrated device based on ultrathin Si substrate is demonstrated to expand its feasibility and potential application in flexible energy conversion and storage devices.
A High-Efficient Low-Cost Converter for Capacitive Wireless Power Transfer Systems
Directory of Open Access Journals (Sweden)
Il-Oun Lee
2017-09-01
Full Text Available Growth of the Internet of Things (IoT spurs need for new ways of delivering power. Wireless power transfer (WPT has come into the spotlight from both academia and industry as a promising way to power the IoT devices. As one of the well-known WPT techniques, the capacitive power transfer (CPT has the merit of low electromagnetic radiation and amenability of combined power and data transfer over a capacitive interface. However, applying the CPT to the IoT devices is still challenging in reality. One of the major issues is due to the small capacitance of the capacitive interface, which results in low efficiency of the power transfer. To tackle this problem, we present a new step-up single-switch quasi-resonant (SSQR converter for the CPT system. To enhance the CPT efficiency, the proposed converter is designed to operate at low frequency and drive small current into the capacitive interfaces. In addition, by eliminating resistor-capacitor-diode (RCD snubber in the converter, we reduce the implementation cost of the CPT system. Based on intensive experimental work with a CPT system prototype that supports maximum 50 W (100 V/0.5 A power transfer, we demonstrate the functional correctness of the converter that achieves up to 93% efficiency.
Long Term Analysis of Adaptive Low-Power Instrument Platform Power and Battery Performance
Edwards, T.; Bowman, J. R.; Clauer, C. R.
2017-12-01
Operation of the Autonomous Adaptive Low-Power Instrument Platform (AAL-PIP) by the Magnetosphere-Ionosphere Science Team (MIST) at Virginia Tech has been ongoing for about 10 years. These instrument platforms are deployed on the East Antarctic Plateau in remote locations that are difficult to access regularly. The systems have been designed to operate unattended for at least 5 years. During the Austral summer, the systems charge batteries using solar panels and power is provided by the batteries during the winter months. If the voltage goes below a critical level, the systems go into hibernation and wait for voltage from the solar panels to initiate a restart sequence to begin operation and battery charging. Our first system was deployed on the East Antarctic Plateau in 2008 and we report here on an analysis of the power and battery performance over multiple years and provide an estimate for how long these systems can operate before major battery maintenance must be performed.
Nickel-Hydrogen Battery Fault Clearing at Low State of Charge
Lurie, C.
1997-01-01
Fault clearing currents were achieved and maintained at discharge rates from C/2 to C/3 at high and low states of charge. The fault clearing plateau voltage is strong function of: discharge current, and voltage-prior-to-the-fault-clearing-event and a weak function of state of charge. Voltage performance, for the range of conditions reported, is summarized.
High-voltage pulse generator for electron gun power supply
International Nuclear Information System (INIS)
Korenev, S.A.; Enchevich, I.B.; Mikhov, M.K.
1987-01-01
High-voltage pulse generator with combined capacitive and inductive energy storages for electron gun power supply is described. Hydrogen thyratron set in a short magnetic lense is a current breaker. Times of current interruption in thyratrons are in the range from 100 to 300 ns. With 1 kV charging voltage of capacitive energy storage 25 kV voltage pulse is obtained in the load. The given high-voltage pulse generator was used for supply of an electron gun generating 10-30 keV low-energy electron beam
Power Adaptive Feedback Communication over an Additive Individual Noise Sequence Channel
Lomnitz, Yuval; Feder, Meir
2009-01-01
We consider a real-valued additive channel with an individual unknown noise sequence. We present a simple sequential communication scheme based on the celebrated Schalkwijk-Kailath scheme, which varies the transmit power according to the power of the sequence, so that asymptotically the relation between the SNR and the rate matches the Gaussian channel capacity 1/2 log(1+SNR)for almost every noise sequence.
Directory of Open Access Journals (Sweden)
B. Zygelman
2002-03-01
Full Text Available A survey of theoretical studies of charge transfer involving collisions of multiply-charged ions with atomic neutrals (H and He is presented. The calculations utilized the quantum-mechanical molecular-orbital close-coupling (MOCC approach where the requisite potential curves and coupling matrix elements have been obtained with the spin-coupled valence bond (SCVB method. Comparison is made among various collision partners, for equicharged systems, where it is illustrated that even for total charge transfer cross sections, scaling-laws do not exist for low-energy collisions (i.e. < 1 keV/amu. While various empirical scaling-laws are well known in the intermediateand high-energy regimes, the multi-electron configurations of the projectile ions results in a rich and varied low-energy dependence, requiring an explicit calculation for each collision-partner pair. Future charge transfer problems to be addressed with the combined SCVB-MOCC approach are briefly discussed.
International Nuclear Information System (INIS)
Kempken, M.; Koehler, M.; Wolff, M.
1978-01-01
Some important design features of future fast reactors in the Federal Republic of Germany (FRG) are presented, in particular for the demonstration plant SNR 2 which is to follow the prototype SNR 300, presently under construction in Kalkar. The SNR 2 conceptual design will be based on the SNR 300 design as far as possible. Programmes for the introduction of fast breeder reactor power stations on the part of the governments, the utilities and suppliers are based on broad international co-operation. The FRG is a country which imports a high proportion of its primary energy and it has rather small resources of natural uranium. The natural uranium realistically available to the FRG will allow nuclear energy to play a substantial role in the long-term energy supply only if present uranium utilization based on LWRs is supplemented and replaced by breeder reactor utilization later. To maintain this option, efforts towards the development, design and construction of fast breeder reactors have to be intensively continued in the FRG. The construction of the first large power station with a fast breeder reactor, SNR 2, will, according to present planning, start in the middle of the 80s. Operation can be expected to start at the beginning of the 90s. The present fast breeder programme in the FRG promises to develop reactors, reprocessing and fuel manufacturing plants to such a degree that by the end of this century the introduction of a substantial number of fast reactor power stations will be possible. (author)
Design of the low energy beam transport line between CARIBU and the EBIS charge breeder
Energy Technology Data Exchange (ETDEWEB)
Perry, A., E-mail: aperry4@hawk.iit.edu [Argonne National Laboratory, Argonne, IL 60439, USA and Illinois Institute of Technology, Chicago, IL 60616 (United States); Ostroumov, P. N.; Barcikowski, A.; Dickerson, C.; Kondrashev, S. A.; Mustapha, B.; Savard, G. [Argonne National Laboratory, Argonne, IL 60439 (United States)
2015-01-09
An Electron Beam Ion Source Charge Breeder (EBIS-CB) has been developed to breed radioactive beams from the CAlifornium Rare Isotope Breeder Upgrade (CARIBU) facility at ATLAS. The EBIS-CB will replace the existing ECR charge breeder to increase the intensity and improve the purity of reaccelerated radioactive ion beams. The EBIS-CB is in the final stage of off-line commissioning. Currently, we are developing a low energy beam transport (LEBT) system to transfer CARIBU beams to the EBIS-CB. As was originally planned, an RFQ cooler-buncher will precede the EBIS-CB. Recently, it was decided to include a multi-reflection time-of-flight (MR-TOF) mass-spectrometer following the RFQ. MR-TOF is a relatively new technology used to purify beams with a mass-resolving power up to 3×10{sup 5} as was demonstrated in experiments at CERN/ISOLDE. Very high purity singly-charged radioactive ion beams will be injected into the EBIS for charge breeding and due to its inherent properties, the EBIS-CB will maintain the purity of the charge bred beams. Possible contamination of residual gas ions will be greatly suppressed by achieving ultra-high vacuum in the EBIS trap. This paper will present and discuss the design of the LEBT and the overall integration of the EBIS-CB into ATLAS.
Online Reliable Peak Charge/Discharge Power Estimation of Series-Connected Lithium-Ion Battery Packs
Directory of Open Access Journals (Sweden)
Bo Jiang
2017-03-01
Full Text Available The accurate peak power estimation of a battery pack is essential to the power-train control of electric vehicles (EVs. It helps to evaluate the maximum charge and discharge capability of the battery system, and thus to optimally control the power-train system to meet the requirement of acceleration, gradient climbing and regenerative braking while achieving a high energy efficiency. A novel online peak power estimation method for series-connected lithium-ion battery packs is proposed, which considers the influence of cell difference on the peak power of the battery packs. A new parameter identification algorithm based on adaptive ratio vectors is designed to online identify the parameters of each individual cell in a series-connected battery pack. The ratio vectors reflecting cell difference are deduced strictly based on the analysis of battery characteristics. Based on the online parameter identification, the peak power estimation considering cell difference is further developed. Some validation experiments in different battery aging conditions and with different current profiles have been implemented to verify the proposed method. The results indicate that the ratio vector-based identification algorithm can achieve the same accuracy as the repetitive RLS (recursive least squares based identification while evidently reducing the computation cost, and the proposed peak power estimation method is more effective and reliable for series-connected battery packs due to the consideration of cell difference.
Design and evaluation of a high-performance charge coupled device camera for astronomical imaging
International Nuclear Information System (INIS)
Shang, Yuanyuan; Guan, Yong; Zhang, Weigong; Pan, Wei; Liu, Hui; Zhang, Jie
2009-01-01
The Space Solar Telescope (SST) is the first Chinese space astronomy mission. This paper introduces the design of a high-performance 2K × 2K charge coupled device (CCD) camera that is an important payload in the Space Solar Telescope. The camera is composed of an analogue system and a digital embedded system. The analogue system is first discussed in detail, including the power and bias voltage supply circuit, power protection unit, CCD clock driver circuit, 16 bit A/D converter and low-noise amplifier circuit. The digital embedded system integrated with an NIOS II soft-core processor serves as the control and data acquisition system of the camera. In addition, research on evaluation methods for CCDs was carried out to evaluate the performance of the TH7899 CCD camera in relation to the requirements of the SST project. We present the evaluation results, including readout noise, linearity, quantum efficiency, dark current, full-well capacity, charge transfer efficiency and gain. The results show that this high-performance CCD camera can satisfy the specifications of the SST project
Curran, Francis M.; Sarmiento, Charles J.
1990-01-01
An experimental investigation was performed to evaluate arcjet operation at low power. A standard, 1 kW, constricted arcjet was run using nozzles with three different constrictor diameters. Each nozzle was run over a range of current and mass flow rates to explore stability and performance in the low power regime. A standard pulse-width modulated power processor was modified to accommodate the high operating voltages required under certain conditions. Stable, reliable operation at power levels below 0.5 kW was obtained at efficiencies between 30 and 40 percent. The operating range was found to be somewhat dependent on constrictor geometry at low mass flow rates. Quasi-periodic voltage fluctuations were observed at the low power end of the operating envelope. The nozzle insert geometry was found to have little effect on the performance of the device. The observed performance levels show that specific impulse levels above 350 seconds can be obtained at the 0.5 kW power level.
MiniDSS: a low-power and high-precision miniaturized digital sun sensor
de Boer, B. M.; Durkut, M.; Laan, E.; Hakkesteegt, H.; Theuwissen, A.; Xie, N.; Leijtens, J. L.; Urquijo, E.; Bruins, P.
2017-11-01
A high-precision and low-power miniaturized digital sun sensor has been developed at TNO. The single-chip sun sensor comprises an application specific integrated circuit (ASIC) on which an active pixel sensor (APS), read-out and processing circuitry as well as communication circuitry are combined. The design was optimized for low recurrent cost. The sensor is albedo insensitive and the prototype combines an accuracy in the order of 0.03° with a mass of just 72 g and a power consumption of only 65 mW.
Silicon, germanium, and III-V-based tunneling devices for low-power applications
Smith, Joshua T.
While the scaling of transistor dimensions has kept pace with Moore's Law, the voltages applied to these devices have not scaled in tandem, giving rise to ever-increasing power/heating challenges in state-of-the-art integrated circuits. A primary reason for this scaling mismatch is due to the thermal limit---the 60 mV minimum required at room temperature to change the current through the device by one order of magnitude. This voltage scaling limitation is inherent in devices that rely on the mechanism of thermal emission of charge carriers over a gate-controlled barrier to transition between the ON- and OFF-states, such as in the case of conventional CMOS-based technologies. To overcome this voltage scaling barrier, several steep-slope device concepts have been pursued that have experimentally demonstrated sub-60-mV/decade operation since 2004, including the tunneling-field effect transistor (TFET), impact ionization metal-oxide-semiconductor (IMOS), suspended-gate FET (SG-FET), and ferroelectric FET (Fe-FET). These reports have excited strong efforts within the semiconductor research community toward the realization of a low-power device that will support continued scaling efforts, while alleviating the heating issues prevalent in modern computer chips. Literature is replete with claims of sub-60-mV/decade operation, but often with neglect to other voltage scaling factors that offset this result. Ideally, a low-power device should be able to attain sub-60-mV/decade inverse subthreshold slopes (S) employing low supply and gate voltages with a foreseeable path toward integration. This dissertation describes the experimental development and realization of CMOS-compatible processes to enhance tunneling efficiency in Si and Si/Ge nanowire (NW) TFETs for improved average S (S avg) and ON-currents (ION), and a novel, III-V-based tunneling device alternative is also proposed. After reviewing reported efforts on the TFET, IMOS, and SG-FET, the TFET is highlighted as the
A high pulsed power supply system designed for pulsed high magnetic field
International Nuclear Information System (INIS)
Liu Kefu; Wang Shaorong; Zhong Heqing; Xu Yan; Pan Yuan
2008-01-01
This paper introduces the design of high pulsed power supply system for producing pulsed high magnetic field up to 70 T. This system consists of 58 sets of 55 μF of capacitor bank which provides 1.0 MJ energy storage. A set of vacuum closing switch is chosen as main switch for energy discharge into magnetic coil. A crowbar circuit with high power diodes in series with resistor is used to absorb the redundant energy and adjust pulse width. The resistance of magnetic coil changing with current is deduced by energy balance equations. A capacitor-charging power supply using a series-resonant, constant on-time variable frequency control, and zero-current switching charges the capacitor bank in one minute time with high efficiency. The pulsed power supply provides adjustable current and pulse width with 30 kA peak and 30 ms maximum. The primary experiments demonstrate the system reliability. This work provides an engineering guidance for future development of pulsed high magnetic field. (authors)
Qin, Peng
2014-07-15
Novel low band gap oligothiophenes incorporating S,N-heteropentacene central units were developed and used as hole-transport materials (HTMs) in solid-state perovskite-based solar cells. In addition to appropriate electronic energy levels, these materials show high photo-absorptivity in the low energy region, and thus can contribute to the light harvesting of the solar spectrum. Solution-processed CH3NH3PbI3-based devices using these HTMs achieved power conversion efficiencies of 9.5-10.5% in comparison with 7.6% obtained by reference devices without HTMs. Photoinduced absorption spectroscopy gave further insight into the charge transfer behavior between photoexcited perovskites and the HTMs. This journal is © the Partner Organisations 2014.
Qin, Peng; Kast, Hannelore; Nazeeruddin, Mohammad K.; Zakeeruddin, Shaik M.; Mishra, Amaresh; Bä uerle, Peter; Grä tzel, Michael
2014-01-01
Novel low band gap oligothiophenes incorporating S,N-heteropentacene central units were developed and used as hole-transport materials (HTMs) in solid-state perovskite-based solar cells. In addition to appropriate electronic energy levels, these materials show high photo-absorptivity in the low energy region, and thus can contribute to the light harvesting of the solar spectrum. Solution-processed CH3NH3PbI3-based devices using these HTMs achieved power conversion efficiencies of 9.5-10.5% in comparison with 7.6% obtained by reference devices without HTMs. Photoinduced absorption spectroscopy gave further insight into the charge transfer behavior between photoexcited perovskites and the HTMs. This journal is © the Partner Organisations 2014.
Pearre, Nathaniel S.
The substitution of electrical energy for gasoline as a transportation fuel is an initiative both with a long history, and one made both pressing and important in today's policy discussion by renewed interest in plug-in vehicles. The research presented in this dissertation attempts to inform the policy discussion for governments, for electric utilities, for the makers of electric cars, and for the industries developing and planning charging infrastructure. To that end, the impacts of variations to several possible system design parameters, on several metrics of evaluation, are assessed. The analysis is based on a dataset of vehicle trips collected by Georgia Institute of Technology, tracking almost 500 vehicles that commute to, from or within the Atlanta city center, comprising Atlanta `commuter-shed'. By assuming that this dataset of trips defines the desired travel behavior of urban and suburban American populations, the effects of travel electrification in personal vehicles can be assessed. Several significant and novel findings have emerged from this research. These include the conclusion that at-work charging is not necessarily the logical next step beyond home-charging, as it will in general add little to the substitutability of electric vehicles. In contrast, high power en-route charging, combined with modest power home charging is shown to be surprisingly effective, potentially requiring of EV drivers a total time spent at en-route recharging stations similar to that for liquid fueled cars. From the vehicle marketing perspective, a quantification of the hybrid household effect, wherein multi-vehicle households own one EV, showed that about a quarter of all households could adopt a vehicle with 80 miles of range with no changes to travel patterns. Of interest to grid management, this research showed an apparent maximum fleet-wide load from unregulated charging of about 1 kW per vehicle, regardless of EVSE power or EV battery size. This contrasts with a
Hasan, Muhammad Mubashwar; Rashid, Abdul B M Harun Ur; Hussain, Muhammad Mustafa
2010-01-01
Content-addressable memory (CAM) is an essential component for high-speed lookup intensive applications. This paper presents a match-line selective charging technique to increase speed and reduce the energy per bit per search while increasing the noise-tolerance. Simulation in TSMC 0.18 μm technology with 64×72 Ternary CAM shows the match-line energy reduction of 45% compared to the conventional currentsaving scheme with the reduction of minimum cycle time by 68% and the improvement of noise-tolerance by 96%.
Hasan, Muhammad Mubashwar
2010-06-01
Content-addressable memory (CAM) is an essential component for high-speed lookup intensive applications. This paper presents a match-line selective charging technique to increase speed and reduce the energy per bit per search while increasing the noise-tolerance. Simulation in TSMC 0.18 μm technology with 64×72 Ternary CAM shows the match-line energy reduction of 45% compared to the conventional currentsaving scheme with the reduction of minimum cycle time by 68% and the improvement of noise-tolerance by 96%.
Optimal Self-Tuning PID Controller Based on Low Power Consumption for a Server Fan Cooling System.
Lee, Chengming; Chen, Rongshun
2015-05-20
Recently, saving the cooling power in servers by controlling the fan speed has attracted considerable attention because of the increasing demand for high-density servers. This paper presents an optimal self-tuning proportional-integral-derivative (PID) controller, combining a PID neural network (PIDNN) with fan-power-based optimization in the transient-state temperature response in the time domain, for a server fan cooling system. Because the thermal model of the cooling system is nonlinear and complex, a server mockup system simulating a 1U rack server was constructed and a fan power model was created using a third-order nonlinear curve fit to determine the cooling power consumption by the fan speed control. PIDNN with a time domain criterion is used to tune all online and optimized PID gains. The proposed controller was validated through experiments of step response when the server operated from the low to high power state. The results show that up to 14% of a server's fan cooling power can be saved if the fan control permits a slight temperature response overshoot in the electronic components, which may provide a time-saving strategy for tuning the PID controller to control the server fan speed during low fan power consumption.
Optimal Self-Tuning PID Controller Based on Low Power Consumption for a Server Fan Cooling System
Directory of Open Access Journals (Sweden)
Chengming Lee
2015-05-01
Full Text Available Recently, saving the cooling power in servers by controlling the fan speed has attracted considerable attention because of the increasing demand for high-density servers. This paper presents an optimal self-tuning proportional-integral-derivative (PID controller, combining a PID neural network (PIDNN with fan-power-based optimization in the transient-state temperature response in the time domain, for a server fan cooling system. Because the thermal model of the cooling system is nonlinear and complex, a server mockup system simulating a 1U rack server was constructed and a fan power model was created using a third-order nonlinear curve fit to determine the cooling power consumption by the fan speed control. PIDNN with a time domain criterion is used to tune all online and optimized PID gains. The proposed controller was validated through experiments of step response when the server operated from the low to high power state. The results show that up to 14% of a server’s fan cooling power can be saved if the fan control permits a slight temperature response overshoot in the electronic components, which may provide a time-saving strategy for tuning the PID controller to control the server fan speed during low fan power consumption.
Low noise signal-to-noise ratio enhancing readout circuit for current-mediated active pixel sensors
International Nuclear Information System (INIS)
Ottaviani, Tony; Karim, Karim S.; Nathan, Arokia; Rowlands, John A.
2006-01-01
Diagnostic digital fluoroscopic applications continuously expose patients to low doses of x-ray radiation, posing a challenge to both the digital imaging pixel and readout electronics when amplifying small signal x-ray inputs. Traditional switch-based amorphous silicon imaging solutions, for instance, have produced poor signal-to-noise ratios (SNRs) at low exposure levels owing to noise sources from the pixel readout circuitry. Current-mediated amorphous silicon pixels are an improvement over conventional pixel amplifiers with an enhanced SNR across the same low-exposure range, but whose output also becomes nonlinear with increasing dosage. A low-noise SNR enhancing readout circuit has been developed that enhances the charge gain of the current-mediated active pixel sensor (C-APS). The solution takes advantage of the current-mediated approach, primarily integrating the signal input at the desired frequency necessary for large-area imaging, while adding minimal noise to the signal readout. Experimental data indicates that the readout circuit can detect pixel outputs over a large bandwidth suitable for real-time digital diagnostic x-ray fluoroscopy. Results from hardware testing indicate that the minimum achievable C-APS output current that can be discerned at the digital fluoroscopic output from the enhanced SNR readout circuit is 0.341 nA. The results serve to highlight the applicability of amorphous silicon current-mediated pixel amplifiers for large-area flat panel x-ray imagers
Advanced cathode materials for high-power applications
Amine, K.; Liu, J.; Belharouak, I.; Kang, S.-H.; Bloom, I.; Vissers, D.; Henriksen, G.
In our efforts to develop low cost high-power Li-ion batteries with excellent safety, as well as long cycle and calendar life, lithium manganese oxide spinel and layered lithium nickel cobalt manganese oxide cathode materials were investigated. Our studies with the graphite/LiPF 6/spinel cells indicated a very significant degradation of capacity with cycling at 55 °C. This degradation was caused by the reduction of manganese ions on the graphite surface which resulted in a significant increase of the charge-transfer impedance at the anode/electrolyte interface. To improve the stability of the spinel, we investigated an alternative salt that would not generate HF acid that may attack the spinel. The alternative salt we selected for this work was lithium bisoxalatoborate, LiB(C 2O 4) 2 ("LiBoB"). In this case, the graphite/LiBoB/spinel Li-ion cells exhibited much improved cycle/calendar life at 55 °C and better abuse tolerance, as well as excellent power. A second system based on LiNi 1/3Co 1/3Mn 1/3O 2 layered material was also investigated and its performance was compared to commercial LiNi 0.8Co 0.15Al 0.05O 2. Cells based on LiNi 1/3Co 1/3Mn 1/3O 2 showed lower power fade and better thermal safety than the LiNi 0.8Co 0.15Al 0.05O 2-based commercial cells under similar test conditions. Li-ion cells based on the material with excess lithium (Li 1.1Ni 1/3Co 1/3Mn 1/3O 2) exhibited excellent power performance that exceeded the FreedomCAR requirements.
High reliability low jitter 80 kV pulse generator
International Nuclear Information System (INIS)
Savage, Mark Edward; Stoltzfus, Brian Scott
2009-01-01
Switching can be considered to be the essence of pulsed power. Time accurate switch/trigger systems with low inductance are useful in many applications. This article describes a unique switch geometry coupled with a low-inductance capacitive energy store. The system provides a fast-rising high voltage pulse into a low impedance load. It can be challenging to generate high voltage (more than 50 kilovolts) into impedances less than 10 (Omega), from a low voltage control signal with a fast rise time and high temporal accuracy. The required power amplification is large, and is usually accomplished with multiple stages. The multiple stages can adversely affect the temporal accuracy and the reliability of the system. In the present application, a highly reliable and low jitter trigger generator was required for the Z pulsed-power facility [M. E. Savage, L. F. Bennett, D. E. Bliss, W. T. Clark, R. S. Coats,J. M. Elizondo, K. R. LeChien, H. C. Harjes, J. M. Lehr, J. E. Maenchen, D. H. McDaniel, M. F. Pasik, T. D. Pointon, A. C. Owen, D. B. Seidel, D. L. Smith, B. S. Stoltzfus, K.W. Struve, W.A. Stygar, L.K. Warne, and J. R. Woodworth, 2007 IEEE Pulsed Power Conference, Albuquerque, NM (IEEE, Piscataway, NJ, 2007), p. 979]. The large investment in each Z experiment demands low prefire probability and low jitter simultaneously. The system described here is based on a 100 kV DC-charged high-pressure spark gap, triggered with an ultraviolet laser. The system uses a single optical path for simultaneously triggering two parallel switches, allowing lower inductance and electrode erosion with a simple optical system. Performance of the system includes 6 ns output rise time into 5.6 (Omega), 550 ps one-sigma jitter measured from the 5 V trigger to the high voltage output, and misfire probability less than 10 -4 . The design of the system and some key measurements will be shown in the paper. We will discuss the design goals related to high reliability and low jitter. While
International Nuclear Information System (INIS)
Chung, Y. D.; Lee, S. Y.; Lee, T. W.; Kim, J. S.; Lee, C. Y.
2016-01-01
The technology of supplying the electric power by wireless power transfer (WPT) is expected for the next generation power feeding system since it can supply the power to portable devices without any connectors through large air gap. As such a technology based on strongly coupled electromagnetic resonators is possible to deliver the large power and recharge them seamlessly; it has been considered as a noble option to wireless power charging system in the various power applications. Recently, various HTS wires have now been manufactured for demonstrations of transmission cables, motors, MAGLEV, and other electrical power components. However, since the HTS magnets have a lower index n value intrinsically, they are required to be charged from external power system through leads or internal power system. The portable area is limited as well as the cryogen system is bulkier. Thus, we proposed a novel design of wireless power charging system for superconducting HTS magnet (WPC4SM) based on resonance coupling method. As the novel system makes possible a wireless power charging using copper resonance coupled coils, it enables to portable charging conveniently in the superconducting applications. This paper presented the conceptual design and operating characteristics of WPC4SM using different shapes' copper resonance coil. The proposed system consists of four components; RF generator of 370 kHz, copper resonance coupling coils, impedance matching (IM) subsystem and HTS magnet including rectifier system
Energy Technology Data Exchange (ETDEWEB)
Chung, Y. D.; Lee, S. Y.; Lee, T. W.; Kim, J. S. [Suwon Science College, Suwon (Korea, Republic of); Lee, C. Y. [Korea Railroad Institute, Uiwang (Korea, Republic of)
2016-03-15
The technology of supplying the electric power by wireless power transfer (WPT) is expected for the next generation power feeding system since it can supply the power to portable devices without any connectors through large air gap. As such a technology based on strongly coupled electromagnetic resonators is possible to deliver the large power and recharge them seamlessly; it has been considered as a noble option to wireless power charging system in the various power applications. Recently, various HTS wires have now been manufactured for demonstrations of transmission cables, motors, MAGLEV, and other electrical power components. However, since the HTS magnets have a lower index n value intrinsically, they are required to be charged from external power system through leads or internal power system. The portable area is limited as well as the cryogen system is bulkier. Thus, we proposed a novel design of wireless power charging system for superconducting HTS magnet (WPC4SM) based on resonance coupling method. As the novel system makes possible a wireless power charging using copper resonance coupled coils, it enables to portable charging conveniently in the superconducting applications. This paper presented the conceptual design and operating characteristics of WPC4SM using different shapes' copper resonance coil. The proposed system consists of four components; RF generator of 370 kHz, copper resonance coupling coils, impedance matching (IM) subsystem and HTS magnet including rectifier system.
Atomic structure of highly-charged ions. Final report
International Nuclear Information System (INIS)
Livingston, A. Eugene
2002-01-01
Atomic properties of multiply charged ions have been investigated using excitation of energetic heavy ion beams. Spectroscopy of excited atomic transitions has been applied from the visible to the extreme ultraviolet wavelength regions to provide accurate atomic structure and transition rate data in selected highly ionized atoms. High-resolution position-sensitive photon detection has been introduced for measurements in the ultraviolet region. The detailed structures of Rydberg states in highly charged beryllium-like ions have been measured as a test of long-range electron-ion interactions. The measurements are supported by multiconfiguration Dirac-Fock calculations and by many-body perturbation theory. The high-angular-momentum Rydberg transitions may be used to establish reference wavelengths and improve the accuracy of ionization energies in highly charged systems. Precision wavelength measurements in highly charged few-electron ions have been performed to test the most accurate relativistic atomic structure calculations for prominent low-lying excited states. Lifetime measurements for allowed and forbidden transitions in highly charged few-electron ions have been made to test theoretical transition matrix elements for simple atomic systems. Precision lifetime measurements in laser-excited alkali atoms have been initiated to establish the accuracy of relativistic atomic many-body theory in many-electron systems
SNR in ultrasonic pluse compression using Golay codes
International Nuclear Information System (INIS)
Kim, Young Hwan; Kim, Young Gil; Jeong, Peter
1994-01-01
The conventional ultrasonic flaw detection system uses a large amplitude narrow pulse to excite a transducer, however, these systems are limited in average transmit power. An excessively large amplitude causes a dielectric breakage of the transducer, and an excessively long pulse cuases decrease of the resolution. Using the pulse compression, a long pulse of psudorandom signal can be used without sacrificing resolution by signal correlation. In the present work, the pulse compression technique was utilized to the ultrasonic system. Golay code was used as a psudorandom signal in this system, since pair sum of auto-correlations has not sidelobe. The equivalent input pulse of the Golay code was proposed to analyze the pulse compression system. In experiment, the material type, material thickness and code length were considered. As results, pulse compression system considerably reduced system's white noise, and approximately 30 dB improvement in SNR was obtained over the conventional ultrasonic system. The technique seems to perform particularly well with highly energy-absorbent materials such as polymers, plastics and rubbers.
Price Based Electric Vehicle Charging
DEFF Research Database (Denmark)
Mahat, Pukar; Handl, Martin; Kanstrup, Kenneth
2012-01-01
It is expected that a lot of the new light vehicles in the future will be electrical vehicles (EV). The storage capacity of these EVs has the potential to complement renewable energy resources and mitigate its intermittency. However, EV charging may have negative impact on the power grid. This pa......It is expected that a lot of the new light vehicles in the future will be electrical vehicles (EV). The storage capacity of these EVs has the potential to complement renewable energy resources and mitigate its intermittency. However, EV charging may have negative impact on the power grid...... method where distribution system operator (DSO) optimizes the cost of EV charging while taking substation transformer capacity into account....
Energy Technology Data Exchange (ETDEWEB)
Fan, Yang-Shun [Department of Photonics and Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Liu, Po-Tsun, E-mail: ptliu@mail.nctu.edu.tw [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China); Hsu, Ching-Hui [Department of Photonics and Display Institute, National Chiao Tung University, Hsinchu 30010, Taiwan, ROC (China)
2013-12-31
Recently, non-volatile memory (NVM) has been widely used in electronic devices. Nowadays, the prevailing NVM is Flash memory. However, it is generally believed that the conventional Flash memory will approach its scaling limit within about a decade. The resistive random access memory (RRAM) is emerging as one of the potential candidates for future memory replacement because of its high storage density, low power consumption as well as simple structure. The purpose of this work is to develop a reliable a-InGaZnO based resistive switching memory. We investigate the resistive switching characteristics of TiN/Ti/IGZO/Pt structure and TiN/IGZO/Pt structure. The device with TiN/Ti/IGZO/Pt structure exhibits stable bipolar resistive switching. The impact of inserting a Ti interlayer is studied by material analyses. The device shows excellent resistive switching properties. For example, the DC sweep endurance can achieve over 1000 times; and the pulse induced switching cycles can reach at least 10,000 times. Furthermore, the impact of different sputtering ambience, the variable temperature measurement, and the conduction mechanisms are also investigated. According to our experiments, we propose a model to explain the resistive switching phenomenon observed in our devices.
DEFF Research Database (Denmark)
Furst, Claus Efdmann
1996-01-01
A high resolution 1bit Sigma-Delta modulator for low power/low voltage applications is presented. The modulator operates at a supply of 1-1.5V, the current drain is 0.1mA. The maximum resolution is 87dB equivalent to 14 bits of resolution. This is achieved with a signal-band of 5kHz, over-samplin...
A high charge state heavy ion beam source for heavy ion fusion
International Nuclear Information System (INIS)
Eylon, S.; Henestroza, E.
1996-01-01
A high current, low emittance, high charge state heavy ion beam source is being developed. This is designed to deliver a heavy ion fusion (HIF) driver accelerator scale beam. Using a high charge state beam in a driver accelerator for HIF may increase the acceleration efficiency, leading to a reduction in the driver accelerator size and cost. The proposed source system, which consists of a gas beam electron stripper followed by a high charge state beam separator, can be added to existing single charge state, low emittance, high brightness ion sources and injectors. We shall report on the source physics design using 3D beam simulations and experimental feasibility study results using a neutral gas stripper and a beam separator at the exit of the LBL 2 MV injector. (orig.)
Durability of Low Platinum Fuel Cells Operating at High Power Density
Energy Technology Data Exchange (ETDEWEB)
Polevaya, Olga [Nuvera Fuel Cells Inc.; Blanchet, Scott [Nuvera Fuel Cells Inc.; Ahluwalia, Rajesh [Argonne National Lab; Borup, Rod [Los-Alamos National Lab; Mukundan, Rangachary [Los-Alamos National Lab
2014-03-19
Understanding and improving the durability of cost-competitive fuel cell stacks is imperative to successful deployment of the technology. Stacks will need to operate well beyond today’s state-of-the-art rated power density with very low platinum loading in order to achieve the cost targets set forth by DOE ($15/kW) and ultimately be competitive with incumbent technologies. An accelerated cost-reduction path presented by Nuvera focused on substantially increasing power density to address non-PGM material costs as well as platinum. The study developed a practical understanding of the degradation mechanisms impacting durability of fuel cells with low platinum loading (≤0.2mg/cm2) operating at high power density (≥1.0W/cm2) and worked out approaches for improving the durability of low-loaded, high-power stack designs. Of specific interest is the impact of combining low platinum loading with high power density operation, as this offers the best chance of achieving long-term cost targets. A design-of-experiments approach was utilized to reveal and quantify the sensitivity of durability-critical material properties to high current density at two levels of platinum loading (the more conventional 0.45 mgPt.cm–1 and the much lower 0.2 mgPt.cm–2) across several cell architectures. We studied the relevance of selected component accelerated stress tests (AST) to fuel cell operation in power producing mode. New stress tests (NST) were designed to investigate the sensitivity to the addition of electrical current on the ASTs, along with combined humidity and load cycles and, eventually, relate to the combined city/highway drive cycle. Changes in the cathode electrochemical surface area (ECSA) and average oxygen partial pressure on the catalyst layer with aging under AST and NST protocols were compared based on the number of completed cycles. Studies showed elevated sensitivity of Pt growth to the potential limits and the initial particle size distribution. The ECSA loss
High power pulsed sources based on fiber amplifiers
Canat, Guillaume; Jaouën, Yves; Mollier, Jean-Claude; Bouzinac, Jean-Pierre; Cariou, Jean-Pierre
2017-11-01
Cladding-pumped rare-earth-doped fiber laser technologies are currently among the best sources for high power applications. Theses extremely compact and robust sources appoint them as good candidate for aeronautical and space applications. The double-clad (DC) fiber converts the poor beamquality of high-power large-area pump diodes from the 1st cladding to laser light at another wavelength guided in an active single-mode core. High-power coherent MOPA (Master Oscillator Power Amplifier) sources (several 10W CW or several 100W in pulsed regime) will soon be achieved. Unfortunately it also brings nonlinear effects which quickly impairs output signal distortions. Stimulated Brillouin scattering (SBS) and optical parametric amplification (OPA) have been shown to be strong limitations. Based on amplifier modeling and experiments we discuss the performances of these sources.
A Family of Maximum SNR Filters for Noise Reduction
DEFF Research Database (Denmark)
Huang, Gongping; Benesty, Jacob; Long, Tao
2014-01-01
significantly increase the SNR but at the expense of tremendous speech distortion. As a consequence, the speech quality improvement, measured by the perceptual evaluation of speech quality (PESQ) algorithm, is marginal if any, regardless of the number of microphones used. In the STFT domain, the maximum SNR...
Energy Technology Data Exchange (ETDEWEB)
Magallanes Hernandez, Lorena
2017-02-21
In the last few decades, ion-beam radiotherapy has emerged as a highly effective tumor treatment modality. Its success relies on the capability to precisely confine the prescribed dose within the target volume, due to the inverted depth-dose profile and the finite range featured by charged particles. However, to fully exploit the physical and biological advantages of ion-beams, it is necessary to prioritize on innovative imaging techniques to monitor the ion-range inside the patient. Main range uncertainties result from X-ray-based calibration of the ion relative Water Equivalent Path Length (rWEPL) during the planning phase, and patient anatomical or positioning variation during the treatment. In this thesis, low-dose carbon-ion transmissionimaging performed with a Residual Range Detector (RRD) is proposed as imaging strategy for actively scanned beam delivery facilities. It enables the verification of the beam range and the patient positioning with ion-radiographies (iRAD), and ion computed tomographies (iCT) can directly provide the ion stopping-power of the traversed tissue for treatment planning purposes. First experimental investigations aiming to minimize the imaging dose to the object are presented. The performance of the integration-mode multi-channel array of 61 parallel-plate ionization chambers (PPICs), interleaved with 3 mm thickness PMMA slabs, was thoroughly investigated for low-fluence irradiation. This characterization has been pursued in terms of beam-monitoring performance at the Heidelberg Ion-beam Therapy Center (HIT, Heidelberg, Germany), RRD signal-to-noise ratio (SNR), RRD charge-collection efficiency and drift voltage applied to the PPICs. Pixel-wise metrics for signal quality evaluation based on specific channel-charge features have been developed to support the visual assessment of the acquired images. Phantoms of different complexity and tissue-equivalent composition were imaged with high (5000 primaries per raster-scanning point (RP
International Nuclear Information System (INIS)
Magallanes Hernandez, Lorena
2017-01-01
In the last few decades, ion-beam radiotherapy has emerged as a highly effective tumor treatment modality. Its success relies on the capability to precisely confine the prescribed dose within the target volume, due to the inverted depth-dose profile and the finite range featured by charged particles. However, to fully exploit the physical and biological advantages of ion-beams, it is necessary to prioritize on innovative imaging techniques to monitor the ion-range inside the patient. Main range uncertainties result from X-ray-based calibration of the ion relative Water Equivalent Path Length (rWEPL) during the planning phase, and patient anatomical or positioning variation during the treatment. In this thesis, low-dose carbon-ion transmissionimaging performed with a Residual Range Detector (RRD) is proposed as imaging strategy for actively scanned beam delivery facilities. It enables the verification of the beam range and the patient positioning with ion-radiographies (iRAD), and ion computed tomographies (iCT) can directly provide the ion stopping-power of the traversed tissue for treatment planning purposes. First experimental investigations aiming to minimize the imaging dose to the object are presented. The performance of the integration-mode multi-channel array of 61 parallel-plate ionization chambers (PPICs), interleaved with 3 mm thickness PMMA slabs, was thoroughly investigated for low-fluence irradiation. This characterization has been pursued in terms of beam-monitoring performance at the Heidelberg Ion-beam Therapy Center (HIT, Heidelberg, Germany), RRD signal-to-noise ratio (SNR), RRD charge-collection efficiency and drift voltage applied to the PPICs. Pixel-wise metrics for signal quality evaluation based on specific channel-charge features have been developed to support the visual assessment of the acquired images. Phantoms of different complexity and tissue-equivalent composition were imaged with high (5000 primaries per raster-scanning point (RP
Low-power adaptive filter based on RNS components
DEFF Research Database (Denmark)
Bernocchi, Gian Luca; Cardarilli, Gian Carlo; Del Re, Andrea
2007-01-01
In this paper a low-power implementation of an adaptive FIR filter is presented. The filter is designed to meet the constraints of channel equalization for fixed wireless communications that typically requires a large number of taps, but a serial updating of the filter coefficients, based...... on the least mean squares (LMS) algorithm, is allowed. Previous work showed that the use of the residue number system (RNS) for the variable FIR filter grants advantages both in area and power consumption. On the other hand, the use of a binary serial implementation of the adaptation algorithm eliminates...... the need for complex scaling circuits in RNS. The advantages in terms of area and speed of the presented filter, with respect to its two's complement counterpart, are evaluated for implementations in standard cells....
The Solar Umbrella: A Low-cost Demonstration of Scalable Space Based Solar Power
Contreras, Michael T.; Trease, Brian P.; Sherwood, Brent
2013-01-01
Within the past decade, the Space Solar Power (SSP) community has seen an influx of stakeholders willing to entertain the SSP prospect of potentially boundless, base-load solar energy. Interested parties affiliated with the Department of Defense (DoD), the private sector, and various international entities have all agreed that while the benefits of SSP are tremendous and potentially profitable, the risk associated with developing an efficient end to end SSP harvesting system is still very high. In an effort to reduce the implementation risk for future SSP architectures, this study proposes a system level design that is both low-cost and seeks to demonstrate the furthest transmission of wireless power to date. The overall concept is presented and each subsystem is explained in detail with best estimates of current implementable technologies. Basic cost models were constructed based on input from JPL subject matter experts and assume that the technology demonstration would be carried out by a federally funded entity. The main thrust of the architecture is to demonstrate that a usable amount of solar power can be safely and reliably transmitted from space to the Earth's surface; however, maximum power scalability limits and their cost implications are discussed.
High efficiency class-I audio power amplifier using a single adaptive supply
International Nuclear Information System (INIS)
Peng Zhenfei; Yang Shanshand; Feng Yong; Hong Zhiliang; Liu Yang
2012-01-01
A high efficiency class-I linear audio power amplifier (PA) with an adaptive supply is presented. Its efficiency is improved by a dynamic supply to reduce the power transistors' voltage drop. A gain compression technique is adopted to make the amplifier accommodate a single positive supply. Circuit complicity and chip area are reduced because no charge pump is necessary for the negative supply. A common shared mode voltage and a symmetric layout pattern are used to minimize the non-linearity. A peak efficiency of 80% is reached at peak output power. The measured THD+N before and after the supply switching point are 0.01% and 0.05%, respectively. The maximum output power is 410 mW for an 8 Ω speaker load. Unlike switching amplifiers, the class-I amplifier operates as a linear amplifier and hence has a low EMI. The advantage of a high efficiency and low EMI makes the class-I amplifier suitable for portable and RF sensitive applications. (semiconductor integrated circuits)
Implementation of Maximum Power Point Tracking (MPPT) Solar Charge Controller using Arduino
Abdelilah, B.; Mouna, A.; KouiderM’Sirdi, N.; El Hossain, A.
2018-05-01
the platform Arduino with a number of sensors standard can be used as components of an electronic system for acquiring measures and controls. This paper presents the design of a low-cost and effective solar charge controller. This system includes several elements such as the solar panel converter DC/DC, battery, circuit MPPT using Microcontroller, sensors, and the MPPT algorithm. The MPPT (Maximum Power Point Tracker) algorithm has been implemented using an Arduino Nano with the preferred program. The voltage and current of the Panel are taken where the program implemented will work and using this algorithm that MPP will be reached. This paper provides details on the solar charge control device at the maximum power point. The results include the change of the duty cycle with the change in load and thus mean the variation of the buck converter output voltage and current controlled by the MPPT algorithm.
High-average-power laser medium based on silica glass
Fujimoto, Yasushi; Nakatsuka, Masahiro
2000-01-01
Silica glass is one of the most attractive materials for a high-average-power laser. We have developed a new laser material base don silica glass with zeolite method which is effective for uniform dispersion of rare earth ions in silica glass. High quality medium, which is bubbleless and quite low refractive index distortion, must be required for realization of laser action. As the main reason of bubbling is due to hydroxy species remained in the gelation same, we carefully choose colloidal silica particles, pH value of hydrochloric acid for hydrolysis of tetraethylorthosilicate on sol-gel process, and temperature and atmosphere control during sintering process, and then we get a bubble less transparent rare earth doped silica glass. The refractive index distortion of the sample also discussed.
Future development LMFBR-steam generators SNR2
International Nuclear Information System (INIS)
Essebaggers, J.; Pors, J.G.
1975-01-01
The development work for steam generators for large LMFBR plants by Neratoom will be reviewed consisting of: 1. Development engineering information. 2. Concept select studies followed by conceptual designs of selected models. 3. Development manufacturing techniques. 4. Detail design of a prototype unit. 5. Testing of sub-constructions for prototype steam generators. In this presentation item 1 and 2 above will be high lighted, identifying the development work for the SNR-2 steam generators on short term basis. (author)
The Design of a High Speed Low Power Phase Locked Loop
Liu, Tiankuan; Hou, Suen; Liang, Zhihua; Liu, Chonghan; Su, Da-Shung; Teng, Ping-Kun; Xiang, Annie C; Ye, Jingbo
2009-01-01
The upgrade of the ATLAS Liquid Argon Calorimeter readout system calls for the development of radiation tolerant, high speed and low power serializer ASIC. We have designed a phase locked loop using a commercial 0.25-μm Silicon-on- Sapphire (SoS) CMOS technology. Post-layout simulation indicates that tuning range is 3.79 – 5.01 GHz and power consumption is 104 mW. The PLL has been submitted for fabrication. The design and simulation results are presented.
Low to High Spin-State Transition Induced by Charge Ordering in Antiferromagnetic YBaCo2O5
International Nuclear Information System (INIS)
Vogt, T.; Woodward, P. M.; Karen, P.; Hunter, B. A.; Henning, P.; Moodenbaugh, A. R.
2000-01-01
The oxygen-deficient double perovskite YBaCo 2 O 5 , containing corner-linked CoO 5 square pyramids as principal building units, undergoes a paramagnetic to antiferromagnetic spin ordering at 330 K. This is accompanied by a tetragonal to orthorhombic distortion. Below 220 K orbital ordering and long-range Co 2+ /Co 3+ charge ordering occur as well as a change in the Co 2+ spin state from low to high spin. This transition is shown to be very sensitive to the oxygen content of the sample. To our knowledge this is the first observation of a spin-state transition induced by long-range orbital and charge ordering. (c) 2000 The American Physical Society
GaN-based High Power High Frequency Wide Range LLC Resonant Converter, Phase I
National Aeronautics and Space Administration — SET Group will design, build and demonstrate a Gallium Nitride (GaN) based High Power High Frequency Wide Range LLC Resonant Converter capable of handling high power...
Low energy, high power injection in JT-60 NBI
International Nuclear Information System (INIS)
Mizuno, Makoto; Dairaku, Masayuki; Horiike, Hiroshi
1988-05-01
JT-60 neutral beam injector (JT-60 NBI) is designed to inject 20 MW neutral hydrogen beam at energies of 70 ∼ 100 keV and the injection power decreases significantly at low energies (∼40 keV). For the extention of operation region aiming at the low density plasma heating and achieving H-mode by plasma periphery heating, increment of the injection power at low beam energies was required. The single-stage acceleration system was investigated in advance at the Prototype Injector Unit. From this result, the total injection power of 17 MW at 40 keV, 48 A per source was expected at the JT-60 NBI. This system was adopted in the JT-60 NBI from June, 1987 to July, 1987 and 17.6 MW neutral beam injection power was achieved. In the NB heating experiment, the H-mode transition phenomena was observed in JT-60 plasma. (author)
Design of wireless communication systems for nuclear power plant environments
International Nuclear Information System (INIS)
Kadri, A.
2007-01-01
The problem of low-SNR (Signal-to-Noise ratio) digital communication system design in man-made electromagnetic environment within a nuclear power plant is addressed. A canonical structure of the low-SNR receiver is derived and analyzed for its bit error rate performance. The parameters that affect the error rate performance are identified and illustrated. Several well-known digital modulations are considered. It is shown that the receiver structure is dependent on the first-order probability density function of the noise environment. Thus, we offer comments for its robust implementation and its effect on bit error rate performance. We model the EM environment within the nuclear power plant to be e - mixture model, the parameters of which can be estimated to fit the environment. (author)
Low Power LDPC Code Decoder Architecture Based on Intermediate Message Compression Technique
Shimizu, Kazunori; Togawa, Nozomu; Ikenaga, Takeshi; Goto, Satoshi
Reducing the power dissipation for LDPC code decoder is a major challenging task to apply it to the practical digital communication systems. In this paper, we propose a low power LDPC code decoder architecture based on an intermediate message-compression technique which features as follows: (i) An intermediate message compression technique enables the decoder to reduce the required memory capacity and write power dissipation. (ii) A clock gated shift register based intermediate message memory architecture enables the decoder to decompress the compressed messages in a single clock cycle while reducing the read power dissipation. The combination of the above two techniques enables the decoder to reduce the power dissipation while keeping the decoding throughput. The simulation results show that the proposed architecture improves the power efficiency up to 52% and 18% compared to that of the decoder based on the overlapped schedule and the rapid convergence schedule without the proposed techniques respectively.
Directory of Open Access Journals (Sweden)
J. Zavrtalek
2015-09-01
Full Text Available The article introduces a novel concept of a PLC modem as a complement to the existing G3 and PRIME standards for communications using medium- or high-voltage overhead or cable lines. The proposed concept is based on the fact that the levels of impulse noise and frequency selectivity are lower on high-voltage lines than on low-voltage ones. Also, the demands for “cost-effective” circuitry design are not so crucial as in the case of modems for low-voltage level. In contract to these positive conditions, however, there is the need to overcome much longer distances and to take into account low SNR on the receiving side. With respect to the listed reasons, our concept makes use of MCM, instead of OFDM. The assumption of low SNR is compensated through the use of an efficient channel coding based on a serially concatenated turbo code. In addition, MCM offers lower latency and PAPR compared to OFDM. Therefore, when using MCM, it is possible to excite the line with higher power. The proposed concept has been verified during experimental transmission of testing data over a real, 5 km long, 22kV overhead line.
A Correlating Receiver for OFDM at Low SNR
Kokkeler, Andre B.J.; Smit, Gerardus Johannes Maria
By extending OFDM symbols, acceptable BER performance can be achieved at low SNRs. Two alternative differential receiver architectures are presented, a receiver based on a FX correlator (Fourier transformation before correlation) and based on an XF correlator (correlation before Fourier
Latychevskaia, Tatiana; Wicki, Flavio; Longchamp, Jean-Nicolas; Escher, Conrad; Fink, Hans-Werner
2016-09-14
Visualizing individual charges confined to molecules and observing their dynamics with high spatial resolution is a challenge for advancing various fields in science, ranging from mesoscopic physics to electron transfer events in biological molecules. We show here that the high sensitivity of low-energy electrons to local electric fields can be employed to directly visualize individual charged adsorbates and to study their behavior in a quantitative way. This makes electron holography a unique probing tool for directly visualizing charge distributions with a sensitivity of a fraction of an elementary charge. Moreover, spatial resolution in the nanometer range and fast data acquisition inherent to lens-less low-energy electron holography allows for direct visual inspection of charge transfer processes.
International Nuclear Information System (INIS)
Wu, C.Y.; Wang, S.B.; Pan, C.
1996-01-01
The oscillation characteristics of a low pressure two-phase natural circulation loop have been investigated experimentally in this study. Experimental results indicate that the characteristics of the thermal hydraulic oscillations can be periodic, with 2-5 fundamental frequencies, or chaotic, depending on the heating power and inlet subcooling. The number of fundamental frequencies of oscillation increases if the inlet subcooling is increased at a given heating power or the heating power is decreased at a given inlet subcooling; chaotic oscillations appear if the inlet subcooling is further increased and/or the heating power is further decreased. A map of the oscillation characteristics is thus established. The change in oscillation characteristics is evident from the time evolution and power spectrum of a thermal hydraulic parameter and the phase portraits of two thermal hydraulic parameters. These results reveal that a strange attractor exists in a low pressure two-phase natural circulation loop with low power and very high inlet subcooling. (orig.)
A low-voltage high-speed terahertz spatial light modulator using active metamaterial
Directory of Open Access Journals (Sweden)
Saroj Rout
2016-11-01
Full Text Available An all solid-state metamaterial based terahertz (THz spatial light modulator (SLM is presented which uses high mobility 2DEG to manipulate the metamaterial resonant frequency (0.45 THz leading to terahertz wave modulation. The 2DEG is created by embedding pseudomorphic high-electron mobility transistors in the capacitive gap of each electrical-LC resonator, allowing the charge density to be controlled with very low voltage (1 V and modulating speeds up to 10 MHz while consuming sub-milliwatt power. We have demonstrated our SLM as a 2 × 2 pixel array operating around 0.45 THz by raster scanning a 6 × 6 image of an occluded metal object behind a thick polystyrene screen using a single-pixel THz imaging setup.
Energy Technology Data Exchange (ETDEWEB)
Yoshiike, S.; Fukuda, T.; Sano, H.; Ohama, A.; Moribe, N.; Torii, K.; Hayakawa, T.; Okuda, T.; Yamamoto, H.; Mizuno, N.; Onishi, T.; Fukui, Y. [Department of Physics and Astrophysics, Nagoya University, Nagoya, Aichi 464-8602 (Japan); Tajima, H.; Maezawa, H.; Mizuno, A. [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan); Nishimura, A.; Kimura, K.; Ogawa, H. [Department of Astrophysics, Graduate School of Science, Osaka Prefecture University, 1-1 Gakuen-cho, Naka-ku, Sakai, Osaka 599-8531 (Japan); Giuliani, A. [INAF-IASF Milano, via E. Bassini 15, I-20133 Milano (Italy); Koo, B.-C., E-mail: yoshiike@a.phys.nagoya-u.ac.jp [Seoul National University, Seoul 151-742 (Korea, Republic of)
2013-05-10
We present an analysis of the interstellar medium (ISM) toward the {gamma}-ray supernova remnant (SNR) W44. We used NANTEN2 {sup 12}CO(J = 2-1) and {sup 12}CO(J = 1-0) data and Arecibo H I data in order to identify the molecular and atomic gas in the SNR. We confirmed that the molecular gas is located in the SNR shell with a primary peak toward the eastern edge of the shell. We newly identified high-excitation molecular gas along the eastern shell of the SNR in addition to the high-excitation broad gas previously observed inside the shell; the line intensity ratio between the {sup 12}CO(J = 2-1) and {sup 12}CO(J = 1-0) transitions in these regions is greater than {approx}1.0, suggesting a kinetic temperature of 30 K or higher, which is most likely due to heating by shock interaction. By comparing the ISM with {gamma}-rays, we find that target protons of hadronic origin are dominated by molecular protons of average density around 200 cm{sup -3}, where the possible contribution of atomic protons is 10% or less. This average density is consistent with the recent discovery of the low-energy {gamma}-rays suppressed in 50 MeV-10 GeV as observed with AGILE and Fermi. The {gamma}-ray spectrum differs from place to place in the SNR, suggesting that the cosmic-ray (CR) proton spectrum significantly changes within the middle-aged SNR perhaps due to the energy-dependent escape of CR protons from the acceleration site. We finally derive a total CR proton energy of {approx}10{sup 49} erg, consistent with the SN origin of the majority of the CRs in the Galaxy.
Design of Low Inductance Switching Power Cell for GaN HEMT Based Inverter
Energy Technology Data Exchange (ETDEWEB)
Gurpinar, Emre [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Power Electronics and Electric Machinery Research Group; Iannuzzo, Francesco [Aalborg Univ., Aalborg (Denmark). Dept. of Energy Technology; Yang, Yongheng [Aalborg Univ., Aalborg (Denmark). Dept. of Energy Technology; Castellazzi, Alberto [Univ. of Nottingham (United Kingdom). Power Electronics, Machines and Control (PEMC); Blaabjerg, Frede [Aalborg Univ., Aalborg (Denmark). Dept. of Energy Technology
2017-11-23
Here in this paper, an ultra-low inductance power cell is designed for a three-Level Active Neutral Point Clamped (3LANPC) based on 650 V gallium nitride (GaN) HEMT devices. The 3L-ANPC topology with GaN HEMT devices and the selected modulation scheme suitable for wide-bandgap (WBG) devices are presented. The commutation loops, which mainly contribute to voltage overshoots and increase of switching losses, are discussed. The ultra-low inductance power cell design based on a fourlayer Printed Circuit Board (PCB) with the aim to maximize the switching performance of GaN HEMTs is explained. The design of gate drivers for the GaN HEMT devices is presented. Parasitic inductance and resistance of the proposed design are extracted with finite element analysis and discussed. Common mode behaviours based on the SPICE model of the converter are analyzed. Experimental results on the designed 3L-ANPC with the output power of up to 1 kW are presented, which verifies the performance of the proposed design in terms of ultra-low inductance.
Design of Low Inductance Switching Power Cell for GaN HEMT Based Inverter
International Nuclear Information System (INIS)
Gurpinar, Emre; Iannuzzo, Francesco; Yang, Yongheng; Castellazzi, Alberto; Blaabjerg, Frede
2017-01-01
Here in this paper, an ultra-low inductance power cell is designed for a three-Level Active Neutral Point Clamped (3LANPC) based on 650 V gallium nitride (GaN) HEMT devices. The 3L-ANPC topology with GaN HEMT devices and the selected modulation scheme suitable for wide-bandgap (WBG) devices are presented. The commutation loops, which mainly contribute to voltage overshoots and increase of switching losses, are discussed. The ultra-low inductance power cell design based on a fourlayer Printed Circuit Board (PCB) with the aim to maximize the switching performance of GaN HEMTs is explained. The design of gate drivers for the GaN HEMT devices is presented. Parasitic inductance and resistance of the proposed design are extracted with finite element analysis and discussed. Common mode behaviours based on the SPICE model of the converter are analyzed. Experimental results on the designed 3L-ANPC with the output power of up to 1 kW are presented, which verifies the performance of the proposed design in terms of ultra-low inductance.
Low Cost SU8 Based Above IC Process for High Q RF Power Inductors Integration
International Nuclear Information System (INIS)
Ghannam, A.; Bourrier, D.; Viallon, Ch.; Parra, Th.
2011-01-01
This paper presents a new process for integration of high-Q RF power inductors above low resistivity silicon substrates. The process uses the SU8 resin as a dielectric layer. The aim of using the SU8 is to form thick dielectric layer that can enhance the performance of the inductors. The flexibility of the process enables the possibility to realize complex shaped planar inductors with various dielectric and metal thicknesses to meet the requirements of the application. Q values of 55 at 5 GHz has been demonstrated for an inductance value of 0.8 nH using a 60 μm thick SU8 layer and 30 μm thick copper ribbons. (author)
International Nuclear Information System (INIS)
Arakawa, Kazuo.
1969-01-01
An accelerator is disclosed having a device which permits the electrodes of an accelerator tube to be readily conditioned in an uncomplicated manner before commencing operation. In particle accelerators, it is necessary to condition the accelerator electrodes before a stable high voltage can be applied. Large current accelerators of the cockcroft-walton type require a complicated manual operation which entails applying to the electrodes a low voltage which is gradually increased to induce a vacuum discharge and then terminated. When the discharge attains an extremely low level, the voltage is again impressed and again raised to a high value in low current type accelerators, a high voltage power supply charges the electrodes once to induce discharge followed by reapplying the voltage when the vacuum discharge reaches a low level, according to which high voltage is automatically applied. This procedure, however, requires that the high voltage power supply be provided with a large internal resistance to limit the current to within several milliamps. The present invention connects a high voltage power supply and an accelerator tube through a discharge current limiting resistor wired in parallel with a switch. Initially, the switch is opened enabling the power supply to impress a voltage limited to a prescribed value by a suitably chosen resistor. Conditioning is effected by allowing the voltage between electrodes to increase and is followed by closing the switch through which high voltage is applied directly to the accelerator for operation. (K.J. Owens)
International Nuclear Information System (INIS)
Sapizah Rahim; Khairul Anuar Mohd Salleh; Noorhazleena Azaman; Shaharudin Sayuti; Siti Madiha Muhammad Amir; Arshad Yassin; Abdul Razak Hamzah
2010-01-01
Signal-to-noise ratio (SNR) and sensitivity study of Computed Radiography (CR) system with reduction of exposure time is presented. The purposes of this research are to determine the behavior of SNR toward three different thicknesses (step wedge; 5, 10 and 15 mm) and the ability of CR system to recognize hole type penetrameter when the exposure time decreased up to 80 % according to the exposure chart (D7; ISOVOLT Titan E). It is shown that the SNR is decreased with decreasing of exposure time percentage but the high quality image is achieved until 80 % reduction of exposure time. (author)
High charge state heavy ion production from a PIG source
International Nuclear Information System (INIS)
Bex, L.; Clark, D.J.; Ellsworth, C.E.; Flood, W.S.; Gough, R.A.; Holley, W.R.; Meriwether, J.R.; Morris, D.
1975-03-01
The comparison of pulsed vs. dc arc operation for nitrogen and argon shows a shift in charge distribution toward the higher charge states for the pulsed case. Tests with various magnetic field shapes along the arc column show a significant increase in high charge state output for a uniform field compared to the case with a field low at the cathodes. (U.S.)
Energy Technology Data Exchange (ETDEWEB)
Chu, Liang, E-mail: chuliang@njupt.edu.cn [Advanced Energy Technology Center, Nanjing University of Posts and Telecommunications (NUPT), Nanjing 210046 (China); Wuhan National Laboratory for Optoelectronics (WNLO)-School of Physics, Huazhong University of Science and Technology (HUST), Wuhan 430074 (China); Qin, Zhengfei; Liu, Wei [School of Materials Science and Engineering (SMSE), Nanjing University of Posts and Telecommunications (NUPT), Nanjing 210046 (China); Ma, Xin’guo, E-mail: maxg2013@sohu.com [Hubei Collaborative Innovation Center for High-efficiency Utilization of Solar Energy, Hubei University of Technology, Wuhan 430068 (China)
2016-12-15
Graphical abstract: Inhibition of charge recombination was utilized to prolong electrode lifetime in dye-sensitized solar cells (DSSCs) and self-powered UV sensors based on TiO{sub 2}-modified SnO{sub 2} photoelectrodes. The electrochemical impedance spectroscopy and open-circuit voltage decay measurements indicated that the electron lifetime was significantly prolonged in DSSCs after TiO{sub 2} modification. And in self-powered UV sensors, the sensitivity and response time were enhanced. - Highlights: • The surface modification to inhibit charge recombination was utilized in photovoltaic devices. • Inhibition of charge recombination can prolong electrode lifetime in photovoltaic devices. • Enhanced DSSCs and self-powered UV sensors based on SnO{sub 2} photoelectrodes were obtained by TiO{sub 2} modification. - Abstract: The surface modification to inhibit charge recombination was utilized in dye-sensitized solar cells (DSSCs) and self-powered ultraviolet (UV) sensors based on SnO{sub 2} hierarchical microspheres by TiO{sub 2} modification. For DSSCs with SnO{sub 2} photoelectrodes modified by TiO{sub 2}, the power conversion efficiency (PCE) was improved from 1.40% to 4.15% under standard AM 1.5G illumination (100 mW/cm{sup 2}). The electrochemical impedance spectroscopy and open-circuit voltage decay measurements indicated that the charge recombination was effectively inhibited, resulting in long electron lifetime. For UV sensors with SnO{sub 2} photoelectrodes modified by TiO{sub 2} layer, the self-powered property was more obvious, and the sensitivity and response time were enhanced from 91 to 6229 and 0.15 s to 0.055 s, respectively. The surface modification can engineer the interface energy to inhibit charge recombination, which is a desirable approach to improve the performance of photoelectric nanodevice.
Design of constant current charging power supply for J-TEXT ohmic field capacitor banks
International Nuclear Information System (INIS)
Lv Shudong; Zhang Ming; Rao Bo; Yu Kexun; Yang Cheng
2014-01-01
The charging characteristic of the capacitor charging power supply was analyzed with practical series resonant topology. The method that setting two current taps and regulating PWM switching frequency was putted forward with close loop controlling algorithm to charge the multi-group capacitor banks with constant current. A capacitor charging power supply with the max output current 6.5 A and the max output voltage 2000 V is designed. Experimental results show that, this power supply can charge the four capacitor banks to any four different voltages in 1 minute with charging accuracy less than 1%, and meet the requirements of J-TEXT ohmic field power system. (authors)
Directory of Open Access Journals (Sweden)
René M. Williams
2017-01-01
Full Text Available Femtosecond transient absorption spectroscopy of thin films of two types of morphologies of diketopyrrolopyrrole low band gap polymer/fullerene-adduct blends is presented and indicates triplet state formation by charge recombination, an important loss channel in organic photovoltaic materials. At low laser fluence (approaching solar intensity charge formation characterized by a 1350 nm band (in ~250 fs dominates in the two PDPP-PCBM blends with different nanoscale morphologies and these charges recombine to form a local polymer-based triplet state on the sub-ns timescale (in ~300 and ~900 ps indicated by an 1100 nm absorption band. The rate of triplet state formation is influenced by the morphology. The slower rate of charge recombination to the triplet state (in ~900 ps belongs to a morphology that results in a higher power conversion efficiency in the corresponding device. Nanoscale morphology not only influences interfacial area and conduction of holes and electrons but also influences the mechanism of intersystem crossing (ISC. We present a model that correlates morphology to the exchange integral and fast and slow mechanisms for ISC (SOCT-ISC and H-HFI-ISC. For the pristine polymer, a flat and unstructured singlet-singlet absorption spectrum (between 900 and 1400 nm and a very minor triplet state formation (5% are observed at low laser fluence.
Improvement of an X-ray imaging detector based on a scintillating guides screen
Badel, X; Linnros, J; Kleimann, P; Froejdh, C; Petersson, C S
2002-01-01
An X-ray imaging detector has been developed for dental applications. The principle of this detector is based on application of a silicon charge coupled device covered by a scintillating wave-guide screen. Previous studies of such a detector showed promising results concerning the spatial resolution but low performance in terms of signal to noise ratio (SNR) and sensitivity. Recent results confirm the wave-guiding properties of the matrix and show improvement of the detector in terms of response uniformity, sensitivity and SNR. The present study is focussed on the fabrication of the scintillating screen where the principal idea is to fill a matrix of Si pores with a CsI scintillator. The photoluminescence technique was used to prove the wave-guiding property of the matrix and to inspect the filling uniformity of the pores. The final detector was characterized by X-ray evaluation in terms of spatial resolution, light output and SNR. A sensor with a spatial resolution of 9 LP/mm and a SNR over 50 has been achie...
International Nuclear Information System (INIS)
Nelson, Brian A.
2006-01-01
Limited resources force most smaller fusion energy research experiments to have little or no feedback control of their operational parameters, preventing achievement of their full operational potential. Recent breakthroughs in high-power switching technologies have greatly reduced feedback-controlled power supply costs, primarily those classified as switching power amplifiers. However, inexpensive and flexible controllers for these power supplies have not been developed. A uClinux-based micro-controller (Analog Devices Blackfin BF537) was identified as having the capabilities to form the base of a digital control system for switching power amplifiers. A control algorithm was created, and a Linux character device driver was written to realize the algorithm. The software and algorithm were successfully tested on a switching power amplifier and magnetic field coil using University of Washington (subcontractor) resources
High-power Yb-fiber comb based on pre-chirped-management self-similar amplification
Luo, Daping; Liu, Yang; Gu, Chenglin; Wang, Chao; Zhu, Zhiwei; Zhang, Wenchao; Deng, Zejiang; Zhou, Lian; Li, Wenxue; Zeng, Heping
2018-02-01
We report a fiber self-similar-amplification (SSA) comb system that delivers a 250-MHz, 109-W, 42-fs pulse train with a 10-dB spectral width of 85 nm at 1056 nm. A pair of grisms is employed to compensate the group velocity dispersion and third-order dispersion of pre-amplified pulses for facilitating a self-similar evolution and a self-phase modulation (SPM). Moreover, we analyze the stabilities and noise characteristics of both the locked carrier envelope phase and the repetition rate, verifying the stability of the generated high-power comb. The demonstration of the SSA comb at such high power proves the feasibility of the SPM-based low-noise ultrashort comb.
Energy Technology Data Exchange (ETDEWEB)
Li, Guochang; Chen, George, E-mail: gc@ecs.soton.ac.uk, E-mail: sli@mail.xjtu.edu.cn [State Key Laboratory of Electrical Insulation and Power Equipment, Xi' an Jiaotong University, Xi' an 710049 (China); School of Electronic and Computer Science, University of Southampton, Southampton SO17 1BJ (United Kingdom); Li, Shengtao, E-mail: gc@ecs.soton.ac.uk, E-mail: sli@mail.xjtu.edu.cn [State Key Laboratory of Electrical Insulation and Power Equipment, Xi' an Jiaotong University, Xi' an 710049 (China)
2016-08-08
Charge transport properties in nanodielectrics present different tendencies for different loading concentrations. The exact mechanisms that are responsible for charge transport in nanodielectrics are not detailed, especially for high loading concentration. A charge transport model in nanodielectrics has been proposed based on quantum tunneling mechanism and dual-level traps. In the model, the thermally assisted hopping (TAH) process for the shallow traps and the tunnelling process for the deep traps are considered. For different loading concentrations, the dominant charge transport mechanisms are different. The quantum tunneling mechanism plays a major role in determining the charge conduction in nanodielectrics with high loading concentrations. While for low loading concentrations, the thermal hopping mechanism will dominate the charge conduction process. The model can explain the observed conductivity property in nanodielectrics with different loading concentrations.
Boemer, Dominik; Ponthot, Jean-Philippe
2017-01-01
Discrete element method simulations of a 1:5-scale laboratory ball mill are presented in this paper to study the influence of the contact parameters on the charge motion and the power draw. The position density limit is introduced as an efficient mathematical tool to describe and to compare the macroscopic charge motion in different scenarios, i.a. with different values of the contact parameters. While the charge motion and the power draw are relatively insensitive to the stiffness and the damping coefficient of the linear spring-slider-damper contact law, the coefficient of friction has a strong influence since it controls the sliding propensity of the charge. Based on the experimental calibration and validation by charge motion photographs and power draw measurements, the descriptive and predictive capabilities of the position density limit and the discrete element method are demonstrated, i.e. the real position of the charge is precisely delimited by the respective position density limit and the power draw can be predicted with an accuracy of about 5 %.
Highly charged ion based time-of-flight emission microscope
International Nuclear Information System (INIS)
Hamza, Alex V.; Barnes, Alan V.; Magee, Ed; Newman, Mike; Schenkel, Thomas; McDonald, Joseph W.; Schneider, Dieter H.
2000-01-01
An emission microscope using highly charged ions as the excitation source has been designed, constructed, and operated. A novel ''acorn'' objective lens has been used to simultaneously image electron and secondary ion emission. A resistive anode-position sensitive detector is used to determine the x-y position and time of arrival of the secondary events at the microscope image plane. Contrast in the image can be based on the intensity of the electron emission and/or the presence of particular secondary ions. Spatial resolution of better than 1 μm and mass resolution m/Δm of better than 400 were demonstrated. Background rejection from uncorrelated events of greater than an order of magnitude is also achieved. (c) 2000 American Institute of Physics
A Switched Capacitor Based AC/DC Resonant Converter for High Frequency AC Power Generation
Directory of Open Access Journals (Sweden)
Cuidong Xu
2015-09-01
Full Text Available A switched capacitor based AC-DC resonant power converter is proposed for high frequency power generation output conversion. This converter is suitable for small scale, high frequency wind power generation. It has a high conversion ratio to provide a step down from high voltage to low voltage for easy use. The voltage conversion ratio of conventional switched capacitor power converters is fixed to n, 1/n or −1/n (n is the switched capacitor cell. In this paper, A circuit which can provide n, 1/n and 2n/m of the voltage conversion ratio is presented (n is stepping up the switched capacitor cell, m is stepping down the switching capacitor cell. The conversion ratio can be changed greatly by using only two switches. A resonant tank is used to assist in zero current switching, and hence the current spike, which usually exists in a classical switching switched capacitor converter, can be eliminated. Both easy operation and efficiency are possible. Principles of operation, computer simulations and experimental results of the proposed circuit are presented. General analysis and design methods are given. The experimental result verifies the theoretical analysis of high frequency AC power generation.
Low power femtosecond tip-based nanofabrication with advanced control
Liu, Jiangbo; Guo, Zhixiong; Zou, Qingze
2018-02-01
In this paper, we propose an approach to enable the use of low power femtosecond laser in tip-based nanofabrication (TBN) without thermal damage. One major challenge in laser-assisted TBN is in maintaining precision control of the tip-surface positioning throughout the fabrication process. An advanced iterative learning control technique is exploited to overcome this challenge in achieving high-quality patterning of arbitrary shape on a metal surface. The experimental results are analyzed to understand the ablation mechanism involved. Specifically, the near-field radiation enhancement is examined via the surface-enhanced Raman scattering effect, and it was revealed the near-field enhanced plasma-mediated ablation. Moreover, silicon nitride tip is utilized to alleviate the adverse thermal damage. Experiment results including line patterns fabricated under different writing speeds and an "R" pattern are presented. The fabrication quality with regard to the line width, depth, and uniformity is characterized to demonstrate the efficacy of the proposed approach.
Energy Technology Data Exchange (ETDEWEB)
Okada, K.; Asano, H. [Central Research Institute of Electric Power Industry, Tokyo (Japan); Matsukawa, I. [Musashi University, Tokyo (Japan)
1997-01-30
Power transmission charges were derived by using nodal pricing, and a discussion was given on what effects are given on system conditions, nodal price and consignment charge by how coordination points of independent power producers (IPP) and power demand are handled. A test model having six nodes (busbars) and eleven branches (transmission lines) was used. Since demands of the same kind are hypothesized to be coordinated in this simulation, the total nodal price becomes an equivalent value if there is no restrictions in transmission line current. If the transmission restrictions are taken into consideration, demand amounts at each node are so adjusted that excess current in a transmission line exceeding the transmission capacity will be eliminated. Thus, the demand-supply balancing amount in the entire system becomes smaller than when restrictions are not considered. As a result of the analysis, the IPP coordination points have possibilities to cause congestion (overload current) in the system, raise nodal price at each point, and sharply raise the consignment charge. It was found that an effect may also occur to a node depending on position of demand generation. 6 refs., 3 figs., 7 tabs.
The single SNR fuel assembly container (ESBB) to transport unirradiated SNR 300 fuel assemblies
International Nuclear Information System (INIS)
Hilbert, F.; Hottenrott, G.
1998-01-01
In this paper a new type B(U) package design is presented. The Single SNR Fuel Assembly Container (ESBB) is designed for the transport and storage of a single SNR 300 fuel assembly. This package is the main component for the future interim storage of the fuel assemblies in heavy storage casks. Its benefits are that it is compatible with the Category I transport system of Nuclear Cargo + Service NCS) used in Germany and that it can be easily handled at the current storage locations as well as in an interim storage facility. In total 205 fuel assemblies are currently stored in Hanau, Germany and Dounreay, U.K. Former studies have shown, that heavy transport and storage casks can be handled there only with considerable efforts. But the required category I transport to an interim storage is not reasonably feasible. To overcome these problems the ESBB was designed. It consists of a stainless steel tube with welded bottom, a welded plug as closure system and shock absorbers 26 packages at maximum can be transported in one batch with the NCS security vehicle. The safety analysis shows that the package complies with IAEA 1996. Standard calculations methods and computer codes like HEATING 7.2 (Childs 1993) have been used for the analysis. Criticality safety assessment is based on conservative assumptions as required in IAEA 1996. Drop tests carried out by BAM will be used to verify the design. These tests are scheduled for mid 1998. For the validation of the design prototypes have already been manufactured. Handling tests show that the design complies with the requirements. Preliminary drop tests show that the certification drop tests will be passed positively. (authors)
Directory of Open Access Journals (Sweden)
Yanbin Hou
2016-01-01
Full Text Available Compared with conventional Class-A, Class-B, and Class-AB amplifiers, Class-D amplifier, also known as switching amplifier, employs pulse width modulation (PWM technology and solid-state switching devices, capable of achieving much higher efficiency. However, PWM-based switching amplifier is usually designed for low-voltage application, offering a maximum output voltage of several hundred Volts. Therefore, a step-up transformer is indispensably adopted in PWM-based Class-D amplifier to produce high-voltage output. In this paper, a switching amplifier without step-up transformer is developed based on digital pulse step modulation (PSM and hybrid multilevel converter. Under the control of input signal, cascaded power converters with separate DC sources operate in PSM switch mode to directly generate high-voltage and high-power output. The relevant topological structure, operating principle, and design scheme are introduced. Finally, a prototype system is built, which can provide power up to 1400 Watts and peak voltage up to ±1700 Volts. And the performance, including efficiency, linearity, and distortion, is evaluated by experimental tests.
Single- and double-charge exchange at low pion energies
International Nuclear Information System (INIS)
Baer, H.W.
1991-01-01
A review is given of pion single- and double-charge exchange reactions at incident energies of 25 to 65 MeV leading to isobaric analog states, and in the case of double-charge exchange leading to the ground state of the residual nucleus. The crucial role of the higher nuclear transparency at low pion energies for the analysis of the data in terms of single and double scattering is demonstrated. The large effects on double-charge exchange produced by the spatial correlations in nuclear wave functions are evident. The data on 1f 7/2 nuclei at 35 MeV are used to establish the general validity of a shell-model-based two-amplitude model for these transitions. Recent measurements of the energy dependence between 25 and 65 MeV of double-charge exchange cross sections at forward angles are presented and discussed. 33 refs., 19 figs
Lv, Qiying; Wang, Shang; Sun, Hongyu; Luo, Jun; Xiao, Jian; Xiao, JunWu; Xiao, Fei; Wang, Shuai
2016-01-13
Although carbonaceous materials possess long cycle stability and high power density, their low-energy density greatly limits their applications. On the contrary, metal oxides are promising pseudocapacitive electrode materials for supercapacitors due to their high-energy density. Nevertheless, poor electrical conductivity of metal oxides constitutes a primary challenge that significantly limits their energy storage capacity. Here, an advanced integrated electrode for high-performance pseudocapacitors has been designed by growing N-doped-carbon-tubes/Au-nanoparticles-doped-MnO2 (NCTs/ANPDM) nanocomposite on carbon fabric. The excellent electrical conductivity and well-ordered tunnels of NCTs together with Au nanoparticles of the electrode cause low internal resistance, good ionic contact, and thus enhance redox reactions for high specific capacitance of pure MnO2 in aqueous electrolyte, even at high scan rates. A prototype solid-state thin-film symmetric supercapacitor (SSC) device based on NCTs/ANPDM exhibits large energy density (51 Wh/kg) and superior cycling performance (93% after 5000 cycles). In addition, the asymmetric supercapacitor (ASC) device assembled from NCTs/ANPDM and Fe2O3 nanorods demonstrates ultrafast charge/discharge (10 V/s), which is among the best reported for solid-state thin-film supercapacitors with both electrodes made of metal oxide electroactive materials. Moreover, its superior charge/discharge behavior is comparable to electrical double layer type supercapacitors. The ASC device also shows superior cycling performance (97% after 5000 cycles). The NCTs/ANPDM nanomaterial demonstrates great potential as a power source for energy storage devices.
Low-dose respiratory-gated PET/CT: based on 30 mA tube current
International Nuclear Information System (INIS)
Wu Ping; Li Sijin; Zhang Yanlan; Hao Xinzhong; Qin Zhixing; Yan Min; Cheng Pengliang; Wu Zhifang
2013-01-01
Objective: To establish a low-dose but image-comparable respiratory-gated PET/CT (RG PET/CT) protocol based on 30 mA tube current plus other improved scanning parameters, such as the tube current, the number of respiratory phase and length of breathing cycle. Methods: Twenty-six patients with 18 F-FDG-intaking lung nodules underwent one-bed standard-dose PET/CT (120 mA, 2 min/bed) and low dose RG PET/CT (30 mA, 6 respiratory phases, 1 min/phase). The radiation dose and image quality were analyzed subsequently with signal to noise ratio (SNR) for PET and the homogeneity, noise level for CT in the water phantom respectively. Otherwise the CT images were both visual evaluated by two experienced doctors. In addition, different respiratory cycle was simulated to observe its relation with radiation dose. Results: The effective dose of low-dose RG PET/CT was 4.88∼7.69 mSv [mean (5.68±0.83) mSv]. The PET SNR showed no significance between groups. The homogeneity of 30 mA is good (< 5 HU), although noise level was high, the visual character like lobulation, speculation of lung nodule was superior in some respiratory phases. The radiation dose was positively correlated with respiratory cycle. Conclusions: The performance of low-dose RG PET/CT was comparable to those of standard-dose PET/CT based on a protocol with 30 mA tube current, 6 respiratory phases and breathing state of eupnoea. It produced a much lower radiation exposure and the image quality was enough for clinical use such as delineation of tumor active target, characterization and staging of lung nodules, etc. (authors)
Benkhelifa, Fatma
2013-09-01
The effective capacity have been introduced by Wu and Neji as a link-layer model supporting statistical delay QoS requirements. In this paper, we propose to study the effective capacity of a Nakagami-m fading channel with full channel state information (CSI) at both the transmitter and at the receiver. We focus on the low Signal-to-Noise Ratio (SNR) regime. We show that the effective capacity for any arbitrary but finite statistically delay Quality of Service (QoS) exponent θ, scales essentially as S NRlog(1/SNR) exactly as the ergodic capacity, independently of any QoS constraint. We also characterize the minimum energy required for reliable communication, and the wideband slope to show that our results are in agreement with results established recently by Gursoy et al. We also propose an on-off power control scheme that achieves the capacity asymptotically using only one bit CSI feedback at the transmitter. Finally, some numerical results are presented to show the accuracy of our asymptotic results. © 2013 IEEE.
Demonstration of cathode emittance dominated high bunch charge beams in a DC gun-based photoinjector
Energy Technology Data Exchange (ETDEWEB)
Gulliford, Colwyn, E-mail: cg248@cornell.edu; Bartnik, Adam, E-mail: acb20@cornell.edu; Bazarov, Ivan; Dunham, Bruce; Cultrera, Luca [CLASSE, Cornell University, 161 Synchrotron Drive Ithaca, New York 14853-8001 (United States)
2015-03-02
We present the results of transverse emittance and longitudinal current profile measurements of high bunch charge (≥100 pC) beams produced in the DC gun-based Cornell energy recovery linac photoinjector. In particular, we show that the cathode thermal and core beam emittances dominate the final 95% and core emittances measured at 9–9.5 MeV. Additionally, we demonstrate excellent agreement between optimized 3D space charge simulations and measurement, and show that the quality of the transverse laser distribution limits the optimal simulated and measured emittances. These results, previously thought achievable only with RF guns, demonstrate that DC gun based photoinjectors are capable of delivering beams with sufficient single bunch charge and beam quality suitable for many current and next generation accelerator projects such as Energy Recovery Linacs and Free Electron Lasers.
Directory of Open Access Journals (Sweden)
Alkhimova Mariya A.
2015-06-01
Full Text Available The source of X-ray radiation with the energy of quanta that may vary in the range hν = 1÷12 keV was developed for studies in X-ray interaction with matter and modification of solid surfaces. It was based on a vacuum spark discharge with the laser triggering. It was shown in our experiments that there is a possibility to adjust X-ray radiation spectrum by changing the configuration of the electrode system when the energy stored in the capacitor is varied within the range of 1÷17 J. A comprehensive study of X-ray imaging and quanta energy was carried out. These experiments were carried out for the case of both direct and reverse polarity of the voltage on the electrodes. Additionally, ion composition of plasma created in a laser-triggered vacuum discharge was analyzed. Highly charged ions Zn(+21, Cu(+20 and Fe(+18 were observed.
Energy Technology Data Exchange (ETDEWEB)
Penirschke, Andreas; Angelovski, Aleksandar; Jakoby, Rolf [TU Darmstadt, Institut fuer Mikrowellentechnik und Photonik, Merckstr. 25, 64283 Darmstadt (Germany); Sydlo, Cezary; Bousonville, Michael; Czwalinna, Marie Kristin; Schlarb, Holger [Deutsches Elektronen-Synchrotron (DESY), Hamburg (Germany); Kuhl, Alexander [University of Hamburg, Physics Department, Accelerator Physics Group (Germany); Weiland, Thomas [Technische Univ. Darmstadt (Germany). Inst. fuer Theorie Elektromagnetischer Felder
2013-07-01
High gain Free-Electron Lasers can generate ultra short X-ray pulses in the femtosecond range. For a stable operation of the FEL, the precise knowledge of the bunch arrival time is crucial. A novel high bandwidth Bunch Arrival time Monitor was recently installed at FLASH to allow a low charge operation mode with a sub-10 fs resolution for bunch charges of 20 pC or more. The BAM is equipped with cone shaped pickups for the precise measurement of both, the high and low bunch charge operation mode. For the extension of FLASH facility to FLASH II new pickups for the high bandwidth BAMs need to be developed. The new BAM needs to maximize the voltage level of the beam induced signal for low charge operation mode in order to provide sufficient signal strength for the subsequent electronics. In this talk, we present concepts to improve the signal strength at the electro-optic modulators for low charge operation at FLASH II and XFEL.
High-energy-density physics researches based on pulse power technology
International Nuclear Information System (INIS)
Horioka, Kazuhiko; Nakajima, Mitsuo; Kawamura, Tohru; Sasaki, Toru; Kondo, Kotaro; Yano, Yuuri
2006-01-01
Plasmas driven by pulse power device are of interest, concerning the researches on high-energy-density (HED) physics. Dense plasmas are produced using pulse power driven exploding discharges in water. Experimental results show that the wire plasma is tamped and stabilized by the surrounding water and it evolves through a strongly coupled plasma state. A shock-wave-heated, high temperature plasma is produced in a compact pulse power device. Experimental results show that strong shock waves can be produced in the device. In particular, at low initial pressure condition, the shock Mach number reaches 250 and this indicates that the shock heated region is dominated by radiation processes. (author)
A CMOS AC/DC charge pump for a wireless sensor network
International Nuclear Information System (INIS)
Zhang Qiang; Ni Weining; Shi Yin; Yu Yude
2012-01-01
An AC/DC charge pump implemented with MOS FETs has been presented for wireless sensor network applications. The proposed AC/DC charge pump can generate a stable output with low power dissipation and high pumping efficiency, which has been implemented in 0.13 μm CMOS technology. The proposed charge pump employs MOSFET diodes with low thresholds, and improves the conversion efficiency. The analytical model of the voltage multiplier, the simulation results, and the chip testing results are presented.
Heavy-ion stopping powers and the low-velocity-projectile z3 effect
International Nuclear Information System (INIS)
Porter, L.E.
1977-01-01
Recent heavy-ion stopping-power measurements with elemental solid targets have been analyzed in order to ascertain the influence on effective ion charge of incorporating the low-velocity-projectile z 3 effect in Bethe-Bloch calculations. Shell corrections and the mean excitation energy of a given target were held fixed while searching for the best-fit value of a single charge-state parameter. In general, excellent fits to the stopping powers at projectile energies above 0.3 MeV/amu were achieved. Results of the present study compare very favorably with those from other extant methods of analysis
Hong, Yiying
2011-10-01
One form of power overshoot commonly observed with mixed culture microbial fuel cells (MFCs) is doubling back of the power density curve at higher current densities, but the reasons for this type of overshoot have not been well explored. To investigate this, MFCs were acclimated to different external resistances, producing a range of anode potentials and current densities. Power overshoot was observed for reactors acclimated to higher (500 and 5000. Ω) but not lower (5 and 50. Ω) resistances. Acclimation of the high external resistance reactors for a few cycles to low external resistance (5. Ω), and therefore higher current densities, eliminated power overshoot. MFCs initially acclimated to low external resistances exhibited both higher current in cyclic voltammograms (CVs) and higher levels of redox activity over a broader range of anode potentials (-0.4 to 0. V; vs. a Ag/AgCl electrode) based on first derivative cyclic voltammetry (DCV) plots. Reactors acclimated to higher external resistances produced lower current in CVs, exhibited lower redox activity over a narrower anode potential range (-0.4 to -0.2. V vs. Ag/AgCl), and failed to produce higher currents above ∼-0.3. V (vs. Ag/AgCl). After the higher resistance reactors were acclimated to the lowest resistance they also exhibited similar CV and DCV profiles. Our findings show that to avoid overshoot, prior to the polarization and power density tests the anode biofilm must adapt to low external resistances to be capable of higher currents. © 2011 Elsevier B.V.
A Colloidal-Quantum-Dot-Based Self-Charging System via the Near-Infrared Band.
Baek, Se-Woong; Cho, Jungmin; Kim, Joo-Seong; Kim, Changjo; Na, Kwangmin; Lee, Sang-Hoon; Jun, Sunhong; Song, Jung Hoon; Jeong, Sohee; Choi, Jang Wook; Lee, Jung-Yong
2018-05-11
A novel self-charging platform is proposed using colloidal-quantum-dot (CQD) photovoltaics (PVs) via the near-infrared (NIR) band for low-power electronics. Low-bandgap CQDs can convert invisible NIR light sources to electrical energy more efficiently than wider spectra because of reduced thermalization loss. This energy-conversion strategy via NIR photons ensures an enhanced photostability of the CQD devices. Furthermore, the NIR wireless charging system can be concealed using various colored and NIR-transparent fabric or films, providing aesthetic freedom. Finally, an NIR-driven wireless charging system is demonstrated for a wearable healthcare bracelet by integrating a CQD PVs receiver with a flexible lithium-ion battery and entirely embedding them into a flexible strap, enabling permanent self-charging without detachment. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
A new VME based high voltage power supply for large experiments
Energy Technology Data Exchange (ETDEWEB)
Ahn, S.C.; Angstadt, R.D.; Droege, T.F.; Johnson, M.E.; MacKinnon, B.A.; McNulty, S.E.; Shea, M.F.; Thompson, R.N.; Watson, M.M. (Fermi National Accelerator Lab., Batavia, IL (United States)); Franzini, P. (Columbia Univ., New York, NY (United States)); Jones, A.A. (Superconducting Super Collider Lab., Dallas, TX (United States)); Lopez, M.L. (La Plata Univ. Nacional (Argentina)); Wimpenny, S.J.; Yang, M.J
1991-11-01
A new VME based high voltage power supply has been developed for the D{O} experiment at Fermilab. There are three types of supplies delivering up to {plus minus}5.6 kV at 1.0 mA or +2.0 kV at 3.0 mA with a set accuracy of 1.5 V and extremely low voltage ripples. Complete computer control has allowed many special features to be developed for the supply, including user-defined control land monitor groups, variable ramp rates, and advanced histogram and graphic functions. 3 refs.
A new VME based high voltage power supply for large experiments
International Nuclear Information System (INIS)
Ahn, S.C.; Angstadt, R.D.; Droege, T.F.; Johnson, M.E.; MacKinnon, B.A.; McNulty, S.E.; Shea, M.F.; Thompson, R.N.; Watson, M.M.; Franzini, P.; Jones, A.A.; Lopez, M.L.; Wimpenny, S.J.; Yang, M.J.
1991-11-01
A new VME based high voltage power supply has been developed for the D OE experiment at Fermilab. There are three types of supplies delivering up to ±5.6 kV at 1.0 mA or +2.0 kV at 3.0 mA with a set accuracy of 1.5 V and extremely low voltage ripples. Complete computer control has allowed many special features to be developed for the supply, including user-defined control land monitor groups, variable ramp rates, and advanced histogram and graphic functions. 3 refs
Features of the low-power charge controller of lead-acid current sources charged by solar batteries
International Nuclear Information System (INIS)
Tukfatullin, O.F.; Yuldoshev, I.A.; Solieva, N.A.
2008-01-01
Influence of different factors on exploitations characteristics of solar photoelectric plant is investigated by field-performance data. A construction of charge controller of the lead-acid accumulator battery charging by means of solar battery is analyzed taking into account these factors. (authors)
Optimal Power Constrained Distributed Detection over a Noisy Multiaccess Channel
Directory of Open Access Journals (Sweden)
Zhiwen Hu
2015-01-01
Full Text Available The problem of optimal power constrained distributed detection over a noisy multiaccess channel (MAC is addressed. Under local power constraints, we define the transformation function for sensor to realize the mapping from local decision to transmitted waveform. The deflection coefficient maximization (DCM is used to optimize the performance of power constrained fusion system. Using optimality conditions, we derive the closed-form solution to the considered problem. Monte Carlo simulations are carried out to evaluate the performance of the proposed new method. Simulation results show that the proposed method could significantly improve the detection performance of the fusion system with low signal-to-noise ratio (SNR. We also show that the proposed new method has a robust detection performance for broad SNR region.