WorldWideScience

Sample records for cds films grown

  1. Effect of the sulfur and fluorine concentration on physical properties of CdS films grown by chemical bath deposition

    Directory of Open Access Journals (Sweden)

    K.E. Nieto-Zepeda

    Full Text Available Undoped and F-doped CdS thin films were grown on glass slides by chemical bath deposition using thiourea, cadmium acetate and ammonium fluoride as sulfur, cadmium, and fluorine sources, respectively. Undoped CdS films were deposited varying the concentration of thiourea. Once the optimal thiourea concentration was determined, based on the crystalline quality of the samples, this concentration was maintained and ammonium fluoride was added at different concentrations in order to explore the effect of the F nominal concentration on properties of CdS films. Undoped and F-doped CdS films were characterized by X-ray diffraction, UV–Vis, room temperature photoluminescence, and four probe resistivity measurements. Results showed highly transparent F-doped CdS films with strong PL and low resistivity were obtained. Keywords: CdS films, F-doped CdS films, Chemical bath deposition, Optical properties, Room temperature photoluminescence

  2. Electronic and optical properties of CdS films deposited by evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Huang, L.; Wei, Z.L.; Zhang, F.M.; Wu, X.S., E-mail: xswu@nju.edu.cn

    2015-11-05

    CdS films grown by thermal evaporation on glass substrate under ultra-high vacuum are prepared with varying the growth temperature and atmosphere environment. The minimum resistivity of the films is as low as 2.0 Ω·cm, and the carrier density even reaches 1.6 × 10{sup 18} cm{sup −3}, which is much less than that prepared by the chemical bath deposition (CBD) method. The transmittance and band gap increase with the set the argon atmosphere and the growth temperature in the optimum value. Our results indicate the CdS films grown by evaporation at high vacuum may be more suitable for the application in optoelectronic devices, such as the solar cell materials. - Highlights: • CdS films are grown by the ultra-high vacuum evaporation. • CdS film here with the high carrier density reaches to 10{sup 18} cm{sup −3} is obtained. • The film has low resistivity, which is as low as 2 Ω∙ cm. • The optical band gap become wider from 2.42 eV to 2.54 eV.

  3. Optical properties of CdS thin films by (SILAR) method

    International Nuclear Information System (INIS)

    Ates, A.; Gurbulak, B.; Yildirim, M.

    2004-01-01

    Full text: CdS thin film was grown by Successive ionic layer adsorption and reaction (SILAR) technique on quartz substrate. The film homogeneous of film is good and the film colour obtained as orange. Optical properties of CdS thin film has been investigated as a function of temperature in the temperature range 10-320 K with 10 K steps. The band gap energy decreased with increasing temperature

  4. Physical properties and characterization of Ag doped CdS thin films

    International Nuclear Information System (INIS)

    Shah, N.A.; Nazir, A.; Mahmood, W.; Syed, W.A.A.; Butt, S.; Ali, Z.; Maqsood, A.

    2012-01-01

    Highlights: ► CdS thin films were grown. ► By ion exchange, Ag was doped. ► Physical properties were investigated. - Abstract: Thin films of cadmium sulfide with very well defined preferential orientation and relatively high absorption coefficient were fabricated by thermal evaporation technique. The research is focused to the fabrication and characterization of the compositional data of CdS thin films obtained by using X-ray diffraction, scanning electron microscope along with energy dispersive X-ray spectroscopy. The optical properties were studied by using a UV-VIS-NIR spectrophotometer. The effects of silver-doping by ion exchange process on the properties of as-deposited CdS thin films have been investigated.

  5. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  6. Temperature-dependent photoluminescence and mechanism of CdS thin film grown on Si nanoporous pillar array

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Ling Ling [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); College of Physics and Chemistry, Henan Polytechnic University, Jiaozuo 454000 (China); Li, Yan Tao [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); School of Material Science and Engineering, Henan University of Technology, Zhengzhou 454052 (China); Hu, Chu Xiong [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China); Li, Xin Jian, E-mail: lixj@zzu.edu.cn [Department of Physics and Laboratory of Material Physics, Zhengzhou University, Zhengzhou 450052 (China)

    2015-09-15

    Highlights: • CdS/silicon nanoporous pillar array (CdS/Si-NPA) was prepared by a CBD method. • The PL spectrum of CdS/Si-NPA was measured at different temperatures, from 10 to 300 K. • The PL spectrum was composed of four emission bands, obeying different mechanisms. • The PL degradation with temperature was due to phonon-induced escape of carriers. - Abstract: Si-based cadmium sulfide (CdS) is a prospective semiconductor system in constructing optoelectronic nanodevices, and this makes the study on the factors which may affect its optical and electrical properties be of special importance. Here we report that CdS thin film was grown on Si nanoporous pillar array (Si-NPA) by a chemical bath deposition method, and the luminescent properties of CdS/Si-NPA as well as its mechanism were studied by measuring and analyzing its temperature-dependent photoluminescence (PL) spectrum. The low-temperature measurement disclosed that the PL spectrum of CdS/Si-NPA could be decomposed into four emission bands, a blue band, a green band, a red band and an infrared band. The blue band was due to the luminescence from Si-NPA substrate, and the others originate from the CdS thin film. With temperature increasing, the peak energy, PL intensity and peak profile shape for the PL bands from CdS evolves differently. Through theoretical and fitting analyses, the origins of the green, red and infrared band are attributed to the near band-edge emission, the radiative recombination from surface defects to Cd vacancies and those to S interstitials, respectively. The cause of PL degradation is due to the thermal quenching process, a phonon-induced electron escape but with different activation energies. These results might provide useful information for optimizing the preparing parameters to promote the performance of Si-based CdS optoelectronic devices.

  7. Analysis rbs of CdS thin films grown by cbd to different intensities of the magnetic field and temperature of the chemical bath

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, O.

    1999-01-01

    Depth and composition of CdS thin films grown by chemical bath deposition under different temperature and magnetic DC field conditions, were determined by Rutherford Backscattering Spectrometry. The above parameters were evaluated as a function of the bath temperature and the intensity of the induction magnetic field applied

  8. Growth of CdS thin films on indium coated glass substrates via chemical bath deposition and subsequent air annealing

    Energy Technology Data Exchange (ETDEWEB)

    Ghosh, Biswajit; Kumar, Kamlesh; Singh, Balwant Kr; Banerjee, Pushan; Das, Subrata, E-mail: neillohit@yahoo.co.in

    2014-11-30

    Graphical abstract: - Highlights: • CdS film grown on indium coated glass substrates via CBD and subsequent annealing. • Disappearance of the indium (1 1 2) peak confirms interdiffusion at 300 °C. • SIMS indicates the subsequent interdiffusion at progressively higher temperature. • Composite In–CdS layer showed lower photosensitivity compared to pure CdS. - Abstract: In the present work attempts were made to synthesize indium doped CdS films by fabricating In/CdS bilayers using CBD-CdS on vacuum evaporated In thin films and subsequent air annealing. 135 nm CdS films were grown onto 20 nm and 35 nm indium coated glass substrate employing chemical bath deposition technique. The In/CdS bilayers thus formed were subjected to heat treatment at the temperatures between 200 and 400 °C for 4 min in the muffle furnace to facilitate indium to diffuse into the CdS films. XRD pattern ascertained no noticeable shift in lattice constant implying grain boundary metal segregation, while secondary ion mass spectrometry indicated the diffusion profile of indium into CdS matrices. Mass spectrometry results showed that substantial diffusion of indium had been taken place within CdS at 400 °C. Dark and photocurrent with different illumination time were measured to ascertain the photosensitivity of pure and composite CdS films.

  9. Structural, morphological and optical properties of Na and K dual doped CdS thin film

    International Nuclear Information System (INIS)

    Mageswari, S.; Dhivya, L.; Palanivel, Balan; Murugan, Ramaswamy

    2012-01-01

    Highlights: ► Effect of incorporation of Na, K and Na,K dual dopants into CdS thin film was investigated. ► Thin films were prepared by simple chemical bath deposition technique. ► The XRD analysis revealed cubic phase for all the investigated films. ► AFM analysis revealed uniform surface with crack free and densely packed morphology for CdS:Na,K film. ► The band gap value increases for CdS:Na, CdS:K and CdS:Na,K thin films compared to CdS film. - Abstract: CdS, sodium doped CdS (CdS:Na), potassium doped CdS (CdS:K) and sodium and potassium dual doped CdS (CdS:Na,K) thin films were deposited on glass substrate by chemical bath deposition (CBD) technique. Structural, morphological and optical properties of the as-grown films were characterised using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy dispersive X-ray analysis (EDAX), atomic force microscopy (AFM) and ultraviolet visible (UV–VIS) spectroscopy. The XRD analysis revealed cubic phase for ‘as-deposited’ CdS, CdS:Na, CdS:K and CdS:Na,K dual doped thin films. AFM analysis revealed uniform film surface with crack free and densely packed morphology for CdS:Na,K film. The absorption edge in the optical absorption spectra shifts towards the shorter wavelength for CdS:Na, CdS:K and CdS:Na,K thin films compared to CdS film. The optical band gap of CdS, CdS:Na, CdS:K and CdS:Na,K thin films was found to be 2.31, 2.35, 2.38 and 2.34 eV, respectively.

  10. Effect of Low Concentration Sn Doping on Optical Properties of CdS Films Grown by CBD Technique

    Directory of Open Access Journals (Sweden)

    Mohd Sabri Mohd Ghazali

    2011-09-01

    Full Text Available Thin and transparent films of doped cadmium sulfide (CdS were obtained on commercial glass substrates by Chemical Bath Deposition (CBD technique. The films were doped with low concentration of Sn, and annealed in air at 300 °C for 45 min. The morphological characterization of the films with different amounts of dopant was made using SEM and EDAX analysis. Optical properties of the films were evaluated by measuring transmittance using the UV-vis spectrophotometer. A comparison of the results revealed that lower concentration of Sn doping improves transmittance of CdS films and makes them suitable for application as window layer of CdTe/CIGS solar cells.

  11. Effect of Si ion irradiation on polycrystalline CdS thin film grown from novel photochemical deposition technique

    International Nuclear Information System (INIS)

    Soundeswaran, S.; Senthil Kumar, O.; Ramasamy, P.; Kabi Raj, D.; Avasthi, D.K.; Dhanasekaran, R.

    2005-01-01

    CdS thin films have been deposited from aqueous solution by photochemical reactions. The solution contains Cd(CH 3 COO) 2 and Na 2 S 2 O 3 , and pH is controlled in an acidic region by adding H 2 SO 4 . The solution is illuminated with light from a high-pressure mercury-arc lamp. CdS thin films are formed on a glass substrate by the heterogeneous nucleation and the deposited thin films have been subjected to high-energy Si ion irradiations. Si ion irradiation has been performed with an energy of 80 MeV at fluences of 1x10 11 , 1x10 12 , 1x10 13 and 1x10 14 ions/cm 2 using tandem pelletron accelerator. The irradiation-induced changes in CdS thin films are studied using XRD, Raman spectroscopy and photoluminescence. Broadening of the PL emission peak were observed with increasing irradiation fluence, which could be attributed to the band tailing effect of the Si ion irradiation. The lattice disorder takes place at high Si ion fluences

  12. Effect of annealing time on optical and electrical properties of CdS thin films

    Science.gov (United States)

    Soliya, Vanshika; Tandel, Digisha; Patel, Chandani; Patel, Kinjal

    2018-05-01

    Cadmium sulphide (CdS) is semiconductor compound of II-VI group. Thin film of CdS widely used in the applications such as, a buffer layer in copper indium diselenide (CIS) hetrojunction based solar cells, transistors, photo detectors and light emitting diodes. Because of the ease of making like chemical bath deposition (CBD), screen printing and thermal evaporation. It is extensively used in the CIS based solar cells as a buffer layers. The buffer layers usually used for reducing the interface recombination of the photo generated carriers by means of improving the lattice mismatch between the layers. The optimum thickness and the optoelectronics properties of CdS thin films like, optical band gap, electrical resistivity, structure, and composition etc., are to be considering for its use as a buffer layer. In the present study the CdS thin film were grown by simple dip coating method. In this method we had prepared 0.1M Cadmium-thiourea precursor solution. Before the deposition process of CdS, glass substrate has been cleaned using Methanol, Acetone, Trichloroethylene and De-ionized (DI) water. After coating of precursor layer, it was heated at 200 °C for themolysis. Then after CdS films were annealed at 200 °C for different time and studied its influence on the optical transmission, band gap, XRD, raman and the electrical resistivity. As increasing the annealing time we had observed the average transmission of the films was reduce after the absorption edge. In addition to the blue shift of absorption edge was observed. The observed optimum band gap was around 2.50 eV. XRD and raman analysis confirms the cubuc phase of CdS. Hot probe method confirms the n-type conductivity of the CdS film. Hall probe data shows the resistivity of the films was in the order of 103 Ωcm. Observed data signifies its future use in the many optoelectronics devices.

  13. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  14. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  15. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  16. Effects of bacteria on CdS thin films used in technological devices

    Science.gov (United States)

    Alpdoğan, S.; Adıgüzel, A. O.; Sahan, B.; Tunçer, M.; Metin Gubur, H.

    2017-04-01

    Cadmium sulfide (CdS) thin films were fabricated on glass substrates by the chemical bath deposition method at 70 {}^\\circ \\text{C} considering deposition times ranging from 2 h to 5 h. The optical band gaps of CdS thin films were found to be in the 2.42-2.37 eV range. CdS thin films had uniform spherical nano-size grains which had polycrystalline, hexagonal and cubic phases. The films had a characteristic electrical resistivity of the order of {{10}5} Ω \\text{cm} and n-type conductivity at room condition. CdS thin films were incubated in cultures of B.domonas aeruginosa and Staphylococcus aureus, which exist abundantly in the environment, and form biofilms. SEM images showed that S. aureus and K. pneumonia were detected significantly on the film surfaces with a few of P. aeruginosa and B. subtilis cells attached. CdS thin film surface exhibits relatively good resistance to the colonization of P. aeruginosa and B. subtilis. Optical results showed that the band gap of CdS thin films which interacted with the bacteria is 2.42 \\text{eV} . The crystal structure and electrical properties of CdS thin films were not affected by bacterial adhesion. The antimicrobial effect of CdS nanoparticles was different for different bacterial strains.

  17. Chemical synthesis of porous web-structured CdS thin films for photosensor applications

    Energy Technology Data Exchange (ETDEWEB)

    Gosavi, S.R., E-mail: srgosavi.taloda@gmail.com [C. H. C. Arts, S. G. P. Commerce, and B. B. J. P. Science College, Taloda, Dist., Nandurbar 425413, M. S. (India); Nikam, C.P. [B.S.S.P.M.S. Arts, Commerce and Science College, Songir, Dist., Dhule 424309, M. S. (India); Shelke, A.R.; Patil, A.M. [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India); Ryu, S.-W. [Department of Physics, Chonnam National University, Gwangju 500-757 (Korea, Republic of); Bhat, J.S. [Department of Physics, Karnatak University, Dharwad 580003 (India); Deshpande, N.G., E-mail: nicedeshpande@yahoo.co.in [Department of Physics, Shivaji University, Kolhapur 416004, M.S. (India)

    2015-06-15

    The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting.

  18. Chemical synthesis of porous web-structured CdS thin films for photosensor applications

    International Nuclear Information System (INIS)

    Gosavi, S.R.; Nikam, C.P.; Shelke, A.R.; Patil, A.M.; Ryu, S.-W.; Bhat, J.S.; Deshpande, N.G.

    2015-01-01

    The photo-activity of chemically deposited cadmium sulphide (CdS) thin film has been studied. The simple chemical route nucleates the CdS films with size up to the mean free path of the electron. Growth Kinematics of crystalline hexagonal CdS phase in the thin film form was monitored using X-ray diffraction. The time limitation set for the formation of the amorphous/nano-crystalline material is 40 and 60 min. Thereafter enhancement of the crystalline orientation along the desired plane was identified. Web-like porous structured surface morphology of CdS thin film over the entire area is observed. With decrease in synthesis time, increase of band gap energy i.e., a blue spectral shift was seen. The activation energy of CdS thin film at low and high temperature region was examined. It is considered that this activation energy corresponds to the donor levels associated with shallow traps or surface states of CdS thin film. The photo-electrochemical performance of CdS thin films in polysulphide electrolyte showed diode-like characteristics. Exposure of light on the CdS electrode increases the photocurrent. This suggests the possibility of production of free carriers via excited ions and also the light harvesting mechanism due to porous web-structured morphology. These studies hint that the obtained CdS films can work as a photosensor. - Highlights: • Photoactivity of chemically synthesized cadmium sulphide (CdS) thin films was studied. • Web-like porous structured surface morphology of CdS thin film over the entire area was observed. • Blue spectral shift with lowering of the synthesis time suggests films can act as a window layer over the absorber layer. • Porous web-structured CdS thin films can be useful in light harvesting

  19. Raman spectroscopy of optical properties in CdS thin films

    Directory of Open Access Journals (Sweden)

    Trajić J.

    2015-01-01

    Full Text Available Properties of CdS thin films were investigated applying atomic force microscopy (AFM and Raman spectroscopy. CdS thin films were prepared by using thermal evaporation technique under base pressure 2 x 10-5 torr. The quality of these films was investigated by AFM spectroscopy. We apply Raman scattering to investigate optical properties of CdS thin films, and reveal existence of surface optical phonon (SOP mode at 297 cm-1. Effective permittivity of mixture were modeled by Maxwell - Garnet approximation. [Projekat Ministarstva nauke Republike Srbije, br. 45003

  20. Solution precursor plasma deposition of nanostructured CdS thin films

    International Nuclear Information System (INIS)

    Tummala, Raghavender; Guduru, Ramesh K.; Mohanty, Pravansu S.

    2012-01-01

    Highlights: ► Inexpensive process with capability to produce large scale nanostructured coatings. ► Technique can be employed to spray the coatings on any kind of substrates including polymers. ► The CdS coatings developed have good electrical conductivity and optical properties. ► Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal α-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be ∼2.5 eV. The electrical resistivity of the films (0.243 ± 0.188 × 10 5 Ω cm) was comparable with the literature values. These nanostructured polycrystalline CdS films could be useful in sensing and solar applications.

  1. Solution precursor plasma deposition of nanostructured CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Raghavender [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Guduru, Ramesh K., E-mail: rkguduru@umich.edu [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States); Mohanty, Pravansu S. [Department of Mechanical Engineering, University of Michigan, Dearborn, MI 48128 (United States)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Inexpensive process with capability to produce large scale nanostructured coatings. Black-Right-Pointing-Pointer Technique can be employed to spray the coatings on any kind of substrates including polymers. Black-Right-Pointing-Pointer The CdS coatings developed have good electrical conductivity and optical properties. Black-Right-Pointing-Pointer Coatings possess large amount of particulate boundaries and nanostructured grains. -- Abstract: Cadmium sulfide (CdS) films are used in solar cells, sensors and microelectronics. A variety of techniques, such as vapor based techniques, wet chemical methods and spray pyrolysis are frequently employed to develop adherent CdS films. In the present study, rapid deposition of CdS thin films via plasma spray route using a solution precursor was investigated, for the first time. Solution precursor comprising cadmium chloride, thiourea and distilled water was fed into a DC plasma jet via an axial atomizer to create ultrafine droplets for instantaneous and accelerated thermal decomposition in the plasma plume. The resulting molten/semi-molten ultrafine/nanoparticles of CdS eventually propel toward the substrate to form continuous CdS films. The chemistry of the solution precursor was found to be critical in plasma pyrolysis to control the stoichiometry and composition of the films. X-ray diffraction studies confirmed hexagonal {alpha}-CdS structure. Surface morphology and microstructures were investigated to compare with other synthesis techniques in terms of process mechanism and structural features. Transmission electron microscopy studies revealed nanostructures in the atomized particulates. Optical measurements indicated a decreasing transmittance in the visible light with increasing the film thickness and band gap was calculated to be {approx}2.5 eV. The electrical resistivity of the films (0.243 {+-} 0.188 Multiplication-Sign 10{sup 5} {Omega} cm) was comparable with the literature

  2. SHI induced enhancement in green emission from nanocrystalline CdS thin films for photonic applications

    International Nuclear Information System (INIS)

    Kumar, Pragati; Saxena, Nupur; Chandra, Ramesh; Gao, Kun; Zhou, Shengqiang; Agarwal, Avinash; Singh, Fouran; Gupta, Vinay; Kanjilal, D.

    2014-01-01

    Intense green emission is reported from nanocrystalline CdS thin films grown by pulsed laser deposition. The effect of ion beam induced dense electronic excitation on luminescence property of CdS films is explored under irradiation using 70 MeV 58 Ni 6+ ions. It is found that swift heavy ion beam irradiation enhances the emission intensity by an order of 1 and broadens the emission range. This feature is extremely useful to enhance the performance of different photonic devices like light emitting diodes and lasers, as well as luminescence based sensors. To examine the role of energy relaxation process of swift heavy ions in creation/annihilation of different defect levels, multi-peaks are fitted in photoluminescence spectra using a Gaussian function. The variation of contribution of different emissions in green emission with ion fluence is studied. Origin of enhancement in green emission is supported by various characterization techniques like UV–visible absorption spectroscopy, glancing angle X-ray diffraction, micro-Raman spectroscopy and transmission electron microscopy. A possible mechanism of enhanced GE due to ion beam irradiation is proposed on the basis of existing models. -- Highlights: • Room temperature green luminescence nanocrystalline CdS thin films grown by pulsed laser deposition. • Enhanced green emission by means of swift heavy ion irradiation. • Multipeak fitting of photoluminescence spectra using a Gaussian function. • Variation of area contributed by different emissions in green emission is studied with respect to ion fluence. • Mechanism of enhanced green emission is discussed based on creation/annihilation of defects due to ion beam irradiation

  3. SHI induced enhancement in green emission from nanocrystalline CdS thin films for photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pragati, E-mail: pkumar.phy@gmail.com [Department of Physics, Bareilly College, Shahmat Ganj Road, Bareilly 243005, Uttar Pradesh (India); Saxena, Nupur [Inter University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India); Chandra, Ramesh [Institute Instrumentation Centre, Indian Institute of Technology, Roorkee 247667 (India); Gao, Kun; Zhou, Shengqiang [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (HZDR), P.O. Box 510119, 01314 Dresden (Germany); Agarwal, Avinash [Department of Physics, Bareilly College, Shahmat Ganj Road, Bareilly 243005, Uttar Pradesh (India); Singh, Fouran [Inter University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India); Gupta, Vinay [Department of Physics and Astrophysics, Delhi University, Delhi 110007 (India); Kanjilal, D. [Inter University Accelerator Centre, Aruna Asaf Ali Marg, P.O. Box 10502, New Delhi 110067 (India)

    2014-03-15

    Intense green emission is reported from nanocrystalline CdS thin films grown by pulsed laser deposition. The effect of ion beam induced dense electronic excitation on luminescence property of CdS films is explored under irradiation using 70 MeV {sup 58}Ni{sup 6+} ions. It is found that swift heavy ion beam irradiation enhances the emission intensity by an order of 1 and broadens the emission range. This feature is extremely useful to enhance the performance of different photonic devices like light emitting diodes and lasers, as well as luminescence based sensors. To examine the role of energy relaxation process of swift heavy ions in creation/annihilation of different defect levels, multi-peaks are fitted in photoluminescence spectra using a Gaussian function. The variation of contribution of different emissions in green emission with ion fluence is studied. Origin of enhancement in green emission is supported by various characterization techniques like UV–visible absorption spectroscopy, glancing angle X-ray diffraction, micro-Raman spectroscopy and transmission electron microscopy. A possible mechanism of enhanced GE due to ion beam irradiation is proposed on the basis of existing models. -- Highlights: • Room temperature green luminescence nanocrystalline CdS thin films grown by pulsed laser deposition. • Enhanced green emission by means of swift heavy ion irradiation. • Multipeak fitting of photoluminescence spectra using a Gaussian function. • Variation of area contributed by different emissions in green emission is studied with respect to ion fluence. • Mechanism of enhanced green emission is discussed based on creation/annihilation of defects due to ion beam irradiation.

  4. Thermal and optical properties of polycrystalline CdS thin films deposited by the gradient recrystallization and growth (GREG) technique using photoacoustic methods

    International Nuclear Information System (INIS)

    Albor-Aguilera, M.L.; Gonzalez-Trujillo, M.A.; Cruz-Orea, A.; Tufino-Velazquez, M.

    2009-01-01

    In this work we report the study of the thermal and optical properties of polycrystalline CdS thin films deposited by the gradient recrystallization and growth technique. CdS films were grown on pyrex glass substrates. These studies were carried out using an open photoacoustic cell made out of an electret microphone. From X-ray diffraction, atomic force microscope and photoluminescence measurements we observed polycrystalline CdS films with good morphology and crystalline quality. We obtained a thermal diffusivity coefficient of our samples with values ranging from 3.15 to 3.89 x 10 -2 cm 2 /s. For comparison, we measured a value of 1.0 x 10 -2 cm 2 /s for the thermal diffusivity coefficient of a CdS single crystal. We measured an energy gap value of 2.42 eV for our samples by using a photoacoustic spectroscopy system

  5. Fast-grown CdS quantum dots: Single-source precursor approach vs microwave route

    Energy Technology Data Exchange (ETDEWEB)

    Fregnaux, Mathieu [Laboratoire de Chimie et Physique: Approche Multi-échelles des Milieux Complexes, Institut Jean Barriol, Université de Lorraine, 1 Boulevard Arago, 57070 Metz (France); Dalmasso, Stéphane, E-mail: stephane.dalmasso@univ-lorraine.fr [Laboratoire de Chimie et Physique: Approche Multi-échelles des Milieux Complexes, Institut Jean Barriol, Université de Lorraine, 1 Boulevard Arago, 57070 Metz (France); Durand, Pierrick [Laboratoire de Cristallographie, Résonance Magnétique et Modélisations, Institut Jean Barriol, Université de Lorraine, UMR CNRS 7036, Faculté des Sciences, BP 70239, 54506 Vandoeuvre lès Nancy (France); Zhang, Yudong [Laboratoire d' Etude des Microstructures et de Mécanique des Matériaux, Université de Lorraine, UMR CNRS 7239, Ile du Saulcy, 57045 Metz cedex 01 (France); Gaumet, Jean-Jacques; Laurenti, Jean-Pierre [Laboratoire de Chimie et Physique: Approche Multi-échelles des Milieux Complexes, Institut Jean Barriol, Université de Lorraine, 1 Boulevard Arago, 57070 Metz (France)

    2013-10-01

    A cross-disciplinary protocol of characterization by joint techniques enables one to closely compare chemical and physical properties of CdS quantum dots (QDs) grown by single source precursor methodology (SSPM) or by microwave synthetic route (MWSR). The results are discussed in relation with the synthesis protocols. The QD average sizes, reproducible as a function of the temperatures involved in the growth processes, range complementarily in 2.8–4.5 nm and 4.5–5.2 nm for SSPM and MWSR, respectively. Hexagonal and cubic structures after X-ray diffraction on SSPM and MWSR grown CdS QDs, respectively, are tentatively correlated to a better crystalline quality of the latter with respect to the further ones, suggested by (i) a remarkable stability of the MWSR grown QDs after exposure to air during several days and (ii) no evidence of their fragmentation during mass spectrometry (MS) analyses, after a fair agreement between size dispersities obtained by transmission electron microscopy (TEM) and MS, in contrast with the discrepancy found for the SSPM grown QDs. Correlatively, a better optical quality is suggested for the MWSR grown QDs by the resolution of n > 1 excitonic transitions in their absorption spectra. The QD average sizes obtained by TEM and deduced from MS are in overall agreement. This agreement is improved for the MWSR grown QDs, taking into account a prolate shape of the QDs also observed in the TEM images. For both series of samples, the excitonic responses vs the average sizes are consistent with the commonly admitted empirical energy-size correspondence. A low energy PL band is observed in the case of the SSPM grown QDs. Its decrease in intensity with QD size increase suggests a surface origin tentatively attributed to S vacancies. In the case of the MWSR grown QDs, the absence of this PL is tentatively correlated to an absence of S vacancies and therefore to the stable behavior observed when the QDs are exposed to air. - Highlights: • Single

  6. Photoelectrochemical and Raman characterization of nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Kozytskiy, A.V. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Stroyuk, O.L., E-mail: stroyuk@inphyschem-nas.kiev.ua [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Kuchmiy, S.Ya. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 Nauky Av., 03028 Kyiv (Ukraine); Mazanik, A.V.; Poznyak, S.K. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Streltsov, E.A., E-mail: streltea@bsu.by [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Kulak, A.I., E-mail: kulak@igic.bas-net.by [Institute of General and Inorganic Chemistry, National Academy of Sciences of Belarus, Surganova str., 9/1, Minsk 220072 (Belarus); Korolik, O.V. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Dzhagan, V.M., E-mail: dzhagan@isp.kiev.ua [V.E. Lashkaryov Institute of Semiconductor Physics of National Academy of Sciences of Ukraine, 41 Nauky Av., 03028 Kyiv (Ukraine)

    2014-07-01

    Properties of CdS nanoparticles (NPs) grown by successive ionic layer adsorption and reaction (SILAR) method on the surface of electrodeposited ZnO films were studied by Raman, photocurrent and UV–Vis absorption spectroscopies. The CdS nanoparticles deposited at a SILAR cycle number (N) from 5 to 10 exhibit a broadening of the band gap (E{sub g}) by 0.17–0.31 eV as compared with that of the CdS particles grown at N = 30. The size quantization of the interband transition energy in CdS nanoparticles is in accordance with the Raman spectroscopic data demonstrating a considerable increase in the LO peak intensity with increasing the N from 5 to 10 as a result of transition to resonant light scattering. The spectral width of the LO peak decreases from 50 to 15 cm{sup −1} as the N increases from 5 to 30 reflecting a less pronounced effect of the nanoparticle surface on the phonon scattering. A large spectral width of the Raman peaks is assumed to originate from a complex structure of the CdS nanoparticles comprising crystallinity domains that can affect the phonon confinement. The photocurrent spectroscopy of ZnO/CdS heterostructures showed that the band gap of CdS NPs deposited at N > 20 is smaller by ∼ 0.08 eV than that of bulk cadmium sulfide. It was concluded that this effect is not associated with photoexcitation of structural defects but rather reflects intrinsic electronic properties of SILAR-deposited CdS nanoparticles. - Highlights: • Visible-light-sensitive ZnO/CdS heterostructures were prepared by SILAR. • A large Raman peak width originates from a complex structure of CdS nanoparticles. • Vibrational properties of CdS nanoparticles depend on SILAR cycle number.

  7. Photoelectrochemical and Raman characterization of nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Kozytskiy, A.V.; Stroyuk, O.L.; Kuchmiy, S.Ya.; Mazanik, A.V.; Poznyak, S.K.; Streltsov, E.A.; Kulak, A.I.; Korolik, O.V.; Dzhagan, V.M.

    2014-01-01

    Properties of CdS nanoparticles (NPs) grown by successive ionic layer adsorption and reaction (SILAR) method on the surface of electrodeposited ZnO films were studied by Raman, photocurrent and UV–Vis absorption spectroscopies. The CdS nanoparticles deposited at a SILAR cycle number (N) from 5 to 10 exhibit a broadening of the band gap (E g ) by 0.17–0.31 eV as compared with that of the CdS particles grown at N = 30. The size quantization of the interband transition energy in CdS nanoparticles is in accordance with the Raman spectroscopic data demonstrating a considerable increase in the LO peak intensity with increasing the N from 5 to 10 as a result of transition to resonant light scattering. The spectral width of the LO peak decreases from 50 to 15 cm −1 as the N increases from 5 to 30 reflecting a less pronounced effect of the nanoparticle surface on the phonon scattering. A large spectral width of the Raman peaks is assumed to originate from a complex structure of the CdS nanoparticles comprising crystallinity domains that can affect the phonon confinement. The photocurrent spectroscopy of ZnO/CdS heterostructures showed that the band gap of CdS NPs deposited at N > 20 is smaller by ∼ 0.08 eV than that of bulk cadmium sulfide. It was concluded that this effect is not associated with photoexcitation of structural defects but rather reflects intrinsic electronic properties of SILAR-deposited CdS nanoparticles. - Highlights: • Visible-light-sensitive ZnO/CdS heterostructures were prepared by SILAR. • A large Raman peak width originates from a complex structure of CdS nanoparticles. • Vibrational properties of CdS nanoparticles depend on SILAR cycle number

  8. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  9. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  10. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire, LE11 3TU (United Kingdom)

    2015-05-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films.

  11. The structural properties of CdS deposited by chemical bath deposition and pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bass, K.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium sulphide (CdS) thin films were deposited by two different processes, chemical bath deposition (CBD), and pulsed DC magnetron sputtering (PDCMS) on fluorine doped-tin oxide coated glass to assess the potential advantages of the pulsed DC magnetron sputtering process. The structural, optical and morphological properties of films obtained by CBD and PDCMS were investigated using X-ray photoelectron spectroscopy, X-ray diffraction, scanning and transmission electron microscopy, spectroscopic ellipsometry and UV-Vis spectrophotometry. The as-grown films were studied and comparisons were drawn between their morphology, uniformity, crystallinity, and the deposition rate of the process. The highest crystallinity is observed for sputtered CdS thin films. The absorption in the visible wavelength increased for PDCMS CdS thin films, due to the higher density of the films. The band gap measured for the as-grown CBD-CdS is 2.38 eV compared to 2.34 eV for PDCMS-CdS, confirming the higher density of the sputtered thin film. The higher deposition rate for PDCMS is a significant advantage of this technique which has potential use for high rate and low cost manufacturing. - Highlights: • Pulsed DC magnetron sputtering (PDCMS) of CdS films • Chemical bath deposition of CdS films • Comparison between CdS thin films deposited by chemical bath and PDCMS techniques • High deposition rate deposition for PDCMS deposition • Uniform, pinhole free CdS thin films

  12. Co+ -ion implantation induced doping of nanocrystalline CdS thin films: structural, optical, and vibrational properties

    International Nuclear Information System (INIS)

    Chandramohan, S.; Sarangi, S.N.; Majumder, S.; Som, T.; Kanjilal, A.; Sathyamoorthy, R.

    2009-01-01

    Full text: Transition metal (Mn, Fe, Co and Ni) doped CdS nanostructures and nanocrystalline thin films have attracted much attention due to their anticipated applications in magneto-optical, non-volatile memory and future spintronics devices. Introduction of impurities in substitutional positions is highly desirable for such applications. Ion implantation is known to provide many advantages over conventional methods for efficient doping and possibility of its seamless integration with device processing steps. It is not governed by equilibrium thermodynamics and offers the advantages of high spatial selectivity and to overcome the solubility limits. In this communication, we report on modifications of structural morphological, optical, and vibrational properties of 90 keV Co + -ion implanted CdS thin films grown by thermal evaporation. Co + -ion implantation was performed in the fluence range of 0.1-3.6x10 16 ions cm -2 These fluences correspond to Co concentration in the range of 0.34-10.8 at % at the peak position of profile. Implantation was done at an elevated temperature of 573 K in order to avoid amorphization and to enhance the solubility of Co ions in the CdS lattice. Films were characterized by glancing angle X-ray diffraction (GAXRD), atomic force microscopy (AFM), optical absorption, and micro-Raman spectroscopy. Implantation does not lead to any secondary phase formation either in the form of impurity or the metallic clusters. However, implantation improves the crystalline quality of the samples and leads to supersaturation of Co ions in the CdS lattice. Thus, nanocrystalline CdS thin films can be considered as a good radiation- resistant material, which can be employed for prolonged use in solar cells for space applications. The optical band gap is found to decrease systematically with increasing ion fluence from 2.39 to 2.28 eV. Implantation leads to agglomeration of grains and a systematic increase in the surface roughness. Both GAXRD and micro

  13. Magnetodielectric effect in CdS nanosheets grown within Na-4 mica

    Science.gov (United States)

    Mandal, Amrita; Mitra, Sreemanta; Datta, Anindya; Banerjee, Sourish; Chakravorty, Dipankar

    2012-04-01

    CdS nanosheets of thickness 0.6 nm were grown within the interlayer spaces of Na-4 mica. Magnetization measurements carried out in the temperature range 2-300 K showed the composites to have weak ferromagnetic-like properties even at room temperature. The saturation magnetization (MS) at room temperature was found to be higher than that reported for CdS nanoparticles. The higher value of MS may be ascribed to the presence of a large number defects in the present CdS system, due to a large surface to volume ratio in the nanosheets as compared to that of CdS nanoparticles. The nanocomposites exhibited a magnetodielectric effect with a dielectric constant change of 5.3% for a magnetic field of 0.5 T. This occurred due to a combination of magnetoresistance and Maxwell-Wagner effect as delineated in the model developed by Catalan.

  14. Influences of the CdS nanoparticles grown strategies on CdTe nanorods array films: A comparison between successive ionic layer absorption and reaction and chemical bath deposition

    International Nuclear Information System (INIS)

    Wang, Jun; Zhou, Xiaoming; Lv, Pin; Yang, Lihua; Ding, Dong; Niu, Jiasheng; Liu, Li; Li, Xue; Fu, Wuyou; Yang, Haibin

    2016-01-01

    The cadmium sulfide (CdS) film is deposited on the surface of cadmium telluride (CdTe) nanorods (NRs) by two different methods, successive ionic layer adsorption and reaction (SILAR) and chemical bath deposition (CBD) techniques. The influence of the deposition parameters on the properties of the films is investigated. Compared to SILAR, CBD is a simple and time saving technique, which can ensure full coverage and better growth of CdS on the surface of CdTe NRs. The photovoltaic characteristics of CdS sensitized CdTe films are also investigated. It is found that the CdTe/CBD-CdS thin film demonstrates excellent photoelectrical properties, which is ascribed to the large absorption coefficient of the material, indicating the potential applications in solar cells.

  15. Characterization of nanostructured photosensitive (NiS)x(CdS)(1-x) composite thin films grown by successive ionic layer adsorption and reaction (SILAR) route

    International Nuclear Information System (INIS)

    Ubale, A.U.; Bargal, A.N.

    2011-01-01

    Highlights: → Thin films of (NiS) x (CdS) (1-x) with variable composition (x = 1 to 0) were deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. → The structural, surface morphological and electrical characterizations of the as deposited and annealed films were studied. → The bandgap and activation energy of annealed (NiS) x (CdS) (1-x) film decrease with improvement in photosensitive nature. -- Abstract: Recently ternary semiconductor nanostructured composite materials have attracted the interest of researchers because of their photovoltaic applications. Thin films of (NiS) x (CdS) (1-x) with variable composition (x = 1-0) had been deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. As grown and annealed films were characterised by X-ray diffraction, scanning electron microscopy and EDAX to investigate structural and morphological properties. The (NiS) x (CdS) (1-x) films were polycrystalline in nature having mixed phase of rhombohedral and hexagonal crystal structure due to NiS and CdS respectively. The optical and electrical properties of (NiS) x (CdS) (1-x) thin films were studied to determine compsition dependent bandgap, activation energy and photconductivity. The bandgap and activation energy of annealed (NiS) x (CdS) (1-x) film decrease with improvement in photosensitive nature.

  16. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  17. Structural and optical properties of nano-structured CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bai, Rekha, E-mail: rekha.mittal07@gmail.com; Kumar, Dinesh; Chaudhary, Sujeet; Pandya, Dinesh K. [Thin Film Laboratory, Physics Department, Indian Institute of Technology Delhi, New Delhi-110016 (India)

    2016-05-06

    Cadmium sulfide (CdS) thin films have been deposited on conducting glass substrates by chemical bath deposition (CBD) technique. The effect of precursor concentration on the structural, morphological, compositional, and optical properties of the CdS films has been studied. Crystal structure of these CdS films is characterized by X-ray diffraction (XRD) and it reveals polycrystalline structure with mixture of cubic and wurtzite phases with grain size decreasing as precursor concentration is increased. Optical studies reveal that the CdS thin films have high transmittance in visible spectral region reaching 90% and the films possess direct optical band gap that decreases from 2.46 to 2.39 eV with decreasing bath concentration. Our study suggests that growth is nucleation controlled.

  18. Structural and optical properties of nano-structured CdS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Bai, Rekha; Kumar, Dinesh; Chaudhary, Sujeet; Pandya, Dinesh K.

    2016-01-01

    Cadmium sulfide (CdS) thin films have been deposited on conducting glass substrates by chemical bath deposition (CBD) technique. The effect of precursor concentration on the structural, morphological, compositional, and optical properties of the CdS films has been studied. Crystal structure of these CdS films is characterized by X-ray diffraction (XRD) and it reveals polycrystalline structure with mixture of cubic and wurtzite phases with grain size decreasing as precursor concentration is increased. Optical studies reveal that the CdS thin films have high transmittance in visible spectral region reaching 90% and the films possess direct optical band gap that decreases from 2.46 to 2.39 eV with decreasing bath concentration. Our study suggests that growth is nucleation controlled.

  19. Nanostructured CdS thin films deposited by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)

    2017-06-15

    Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Effect of Ag doping on opto-electrical properties of CdS thin films for solar cell applications

    International Nuclear Information System (INIS)

    Nazir, Adnan; Toma, Andrea; Shah, Nazar Abbas; Panaro, Simone; Butt, Sajid; Sagar, Rizwan ur Rehman; Raja, Waseem; Rasool, Kamran; Maqsood, Asghari

    2014-01-01

    Highlights: • Polycrystalline CdS thin films are fabricated by means of Close Spaced Sublimation technique. • Ag is doped by simple ion-exchange technique in order to reduce resistivity of CdS thin films. • Remarkable reduction in resistivity without introducing many transparency losses. - Abstract: Cadmium sulfide (CdS) polycrystalline thin films of different thicknesses (ranging from 370 nm to 750 nm) were fabricated on corning glass substrates using Close Spaced Sublimation (CSS) technique. Optical and electrical investigation revealed that CdS thin films show an appreciable transparency (50–70% transmission) in visible range and a highly resistive behavior (10 6 Ω cm). Samples were doped by silver (Ag) at different concentrations, using ion exchange technique, in order to reduce the resistivity of CdS thin films and to improve their efficiency as a window layer for solar cell application. The doping of Ag in pure CdS thin films resulted into an increase of surface roughness and a decrease both in electrical resistivity and in transparency. By optimizing annealing parameters, we were able to properly control the optical properties of the present system. In fact, the Ag doping of pure CdS films has led to a decrease of the sample resistivity by three orders of magnitude (10 3 Ω cm) against a 20% cut in optical transmission

  1. Thermal and structural properties of spray pyrolysed CdS thin film

    Indian Academy of Sciences (India)

    Unknown

    Thermal diffusivity and conductivity in these films decrease at least two orders compared with bulk. ... Afifi et al. (1986) prepared evaporated thin film on glass substrate. ... phase of CdS and the identification of the peaks indicate that the film is ...

  2. A study of size dependent structure, morphology and luminescence behavior of CdS films on Si substrate

    International Nuclear Information System (INIS)

    Kaushik, Diksha; Singh, Ragini Raj; Sharma, Madhulika; Gupta, D.K.; Lalla, N.P.; Pandey, R.K.

    2007-01-01

    Size tunable cadmium sulfide (CdS) films deposited by a dip coating technique on silicon (100) and indium tin oxide/glass substrates have been characterized using X-ray diffraction, X-ray reflectivity, transmission electron microscopy, atomic force microscopy and photoluminescence spectroscopy. The structural characterization indicated growth of an oriented phase of cadmium sulfide. Transmission electron microscopy used to calculate the particle size indicated narrow size dispersion. The tendency of nanocrystalline CdS films to form ordered clusters of CdS quantum dots on silicon (100) substrate has been revealed by morphological studies using atomic force microscopy. The photoluminescence emission spectroscopy of the cadmium sulfide films has also been investigated. It is shown that the nanocrystalline CdS exhibit intense photoluminescence as compared to the large grained polycrystalline CdS films. The effect of quantum confinement also manifested as a blue shift of photoluminescence emission. It is shown that the observed photoluminescence behavior of CdS is substantially enhanced when the nanocrystallites are assembled on silicon (100) substrate

  3. An optimized multilayer structure of CdS layer for CdTe solar cells application

    International Nuclear Information System (INIS)

    Han Junfeng; Liao Cheng; Jiang Tao; Spanheimer, C.; Haindl, G.; Fu, Ganhua; Krishnakumar, V.; Zhao Kui; Klein, A.; Jaegermann, W.

    2011-01-01

    Research highlights: → Two different methods to prepare CdS films for CdTe solar cells. → A new multilayer structure of window layer for the CdTe solar cell. → Thinner CdS window layer for the solar cell than the standard CdS layer. → Higher performance of solar cells based on the new multilayer structure. - Abstract: CdS layers grown by 'dry' (close space sublimation) and 'wet' (chemical bath deposition) methods are deposited and analyzed. CdS prepared with close space sublimation (CSS) has better crystal quality, electrical and optical properties than that prepared with chemical bath deposition (CBD). The performance of CdTe solar cell based on the CSS CdS layer has higher efficiency than that based on CBD CdS layer. However, the CSS CdS suffers from the pinholes. And consequently it is necessary to prepare a 150 nm thin film for CdTe/CdS solar cell. To improve the performance of CdS/CdTe solar cells, a thin multilayer structure of CdS layer (∼80 nm) is applied, which is composed of a bottom layer (CSS CdS) and a top layer (CBD CdS). That bi-layer film can allow more photons to pass through it and significantly improve the short circuit current of the CdS/CdTe solar cells.

  4. Fabrication of CdS films with superhydrophobicity by the microwave assisted chemical bath deposition.

    Science.gov (United States)

    Liu, Y; Tan, T; Wang, B; Zhai, R; Song, X; Li, E; Wang, H; Yan, H

    2008-04-15

    A simple method of microwave assisted chemical bath deposition (MA-CBD) was adopted to fabricate cadmium sulfide (CdS) thin films. The superhydrophobic surface with a water contact angle (CA) of 151 degrees was obtained. Via a scanning electron microscopy (SEM) observation, the film was proved having a porous micro/nano-binary structure which can change the property of the surface and highly enhance the hydrophobicity of the film. A possible mechanism was suggested to describe the growth of the porous structure, in which the microwave heating takes an important role in the formation of two distinct characteristic dimensions of CdS precipitates, the growth of CdS sheets in micro-scale and sphere particles in nano-scale. The superhydrophobic films may provide novel platforms for photovoltaic, sensor, microfluidic and other device applications.

  5. Structural, optical and magnetic properties of Mn diffusion-doped CdS thin films prepared by vacuum evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Aksu, S. [SoloPower, Inc., 5981 Optical Ct., San Jose, CA 95138 (United States); Bacaksiz, E., E-mail: eminb@ktu.edu.tr [Department of Physics, Karadeniz Technical University, 61080 Trabzon (Turkey); Parlak, M. [Department of Physics, Middle East Technical University, 06531 Ankara (Turkey); Yilmaz, S.; Polat, I.; Altunbas, M. [Department of Physics, Karadeniz Technical University, 61080 Trabzon (Turkey); Tuerksoy, M.; Topkaya, R. [Department of Physics, Gebze Institute of Technology, Gebze, 41400 Kocaeli (Turkey); Ozdogan, K. [Department of Physics, Yildiz Technical University, 34210 Istanbul (Turkey)

    2011-10-17

    Highlights: {yields} Cadmium sulphide thin films were deposited by vacuum evaporation. {yields} Elemental Mn was deposited onto CdS thin films by using electron beam evaporation and annealed under vacuum at different temperatures. {yields} Structural, optical and magnetic studies of Mn-doped CdS have been investigated. {yields} X-ray diffraction results showed that the undoped CdS film had a zinc-blende structure with a strong preferred orientation along the (1 1 1) direction. {yields} Magnetic measurements show that Mn-doped CdS thin films exhibit a ferromagnetism behavior at room temperature. - Abstract: The effect of Mn-doping on the vacuum deposited CdS thin films has been investigated by studying the changes in the structural, optical and magnetic properties of the films. A thin Mn layer evaporated on the CdS film surface served as the source layer for the diffusion doping. Doping was accomplished by annealing the CdS/Mn stack layers at the temperature range from 300 deg. C to 400 deg. C in step of 50 deg. C for 30 min under vacuum. The X-ray diffraction results showed that the undoped CdS film had a zinc-blende structure with a strong preferred orientation along the (1 1 1) direction. The incorporation of Mn did not cause any change in the texture but reduced the peak intensity and lead to a smaller crystallite size. Investigation of surface morphology using atomic force microscopy confirmed the decrease in the grain size with the Mn diffusion. In addition, a more uniform grain size distribution was observed in the doped films. X-ray photoelectron spectroscopy analysis showed that Mn atoms on the surface of the films were bounded to either sulphur or oxygen atoms. Auger electron spectroscopy of the diffusion-doped CdS sample at 350 deg. C indicated that the atomic Mn concentration was higher close to the surface region and Mn was distributed with a steadily decreasing profile through the bulk of the film with an average atomic concentration value around few

  6. In-situ boron doping of chemical-bath deposited CdS thin films

    International Nuclear Information System (INIS)

    Khallaf, Hani; Park, S.; Schulte, Alfons; Chai, Guangyu; Lupan, Oleg; Chow, Lee; Heinrich, Helge

    2009-01-01

    In-situ boron doping of CdS using chemical-bath deposition (CBD) is reported. The effect of B doping on optical properties, as well as electrical properties, crystal structure, chemistry, and morphology of CdS films is studied. We present a successful approach towards B doping of CdS using CBD, where a resistivity as low as 1.7 x 10 -2 Ωcm and a carrier density as high as 1.91 x 10 19 cm -3 were achieved. The bandgap of B-doped films was found to slightly decrease as the[B]/[Cd] ratio in the solution increases. X-ray diffraction studies showed B 3+ ions likely enter the lattice substitutionally. A phase transition, due to annealing, as well as induced lattice defects, due to B doping, were detected by micro-Raman spectroscopy and transmission electron microscopy. The chemistry and morphology of films were unaffected by B doping. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. A comparative study of thermal annealing effects under various atmospheres on nano-structured CdS thin films prepared by CBD

    Energy Technology Data Exchange (ETDEWEB)

    Kong, Lingjun; Li, Jianmin; Chen, Guilin; Zhu, Changfei, E-mail: cfzhu@ustc.edu.cn; Liu, Weifeng, E-mail: liuwf@ustc.edu.cn

    2013-10-05

    Highlights: •Smooth and uniform CdS thin films were deposited successfully by CBD method. •The influence of CdCl{sub 2}-assisted annealing under various atmospheres of CdS films has been investigated. •We gave a more detailed research on annealing temperature after identified the most optimal annealing method. •High quality CdS films were obtained with air–CdCl{sub 2}-assisted treatments at 400 °C for 0.5 h. •GIXRD was used as a new analysis method of CdS in this paper. -- Abstract: Cadmium sulfide (CdS) nanofilms have been deposited on the glass substrate using the chemical bath technique. The effects of CdCl{sub 2}-assisted annealing under different atmosphere (vacuum, Ar and air) on the structural, morphological and optical properties of CdS nanofilms have been studied. After identifying the optimal annealing atmosphere, we also investigated the CdS thin film annealed at different annealing temperature (300, 400 and 500 °C). Films have been characterized by GI-XRD analysis, scanning electron microscopy, and UV–Vis–NIR spectrophotometer. The as-deposited CdS films have been found to be nanocrystalline in nature with a mixture of two crystallographic phases: a hexagonal phase and a cubic phase. After annealed in air with a CdCl{sub 2} coating layer at 400 °C, the films showed pure hexagonal phase, indicating the phase transition of CdS. It was found that the treatment in air with a CdCl{sub 2} coating layer increased the crystallinity and the mean grain size of CdS film, which are advantageous to the application in solar cells as a window layer material.

  8. Study of electrostatically self-assembled thin films of CdS and ZnS nanoparticle semiconductors

    Science.gov (United States)

    Suryajaya

    In this work, CdS and ZnS semiconducting colloid nanoparticles coated with organic shell, containing either SO[3-] or NH[2+] groups, were deposited as thin films using the technique of electrostatic self-assembly. The films produced were characterized with UV-vis spectroscopy and spectroscopic ellipsometry - for optical properties; atomic force microscopy (AFM) - for morphology study; mercury probe - for electrical characterisation; and photon counter - for electroluminescence study. UV-vis spectra show a substantial blue shift of the main absorption band of both CdS and ZnS, either in the form of solutions or films, with respect to the bulk materials. The calculation of nanoparticles' radii yields the value of about 1.8 nm for both CdS and ZnS.The fitting of standard ellipsometry data gave the thicknesses (d) of nanoparticle layers of around 5 nm for both CdS and ZnS which corresponds well to the size of particles evaluated from UV-vis spectral data if an additional thickness of the organic shell is taken into account. The values of refractive index (n) and extinction coefficient (k) obtained were about 2.28 and 0.7 at 633 nm wavelength, for both CdS and ZnS.Using total internal reflection (TIRE), the process of alternative deposition of poly-allylamine hydrochloride (PAH) and CdS (or ZnS) layers could be monitored in-situ. The dynamic scan shows that the adsorption kinetic of the first layer of PAH or nanoparticles was slower than that of the next layer. The fitting of TIRE spectra gavethicknesses of about 7 nm and 12 nm for CdS and ZnS, respectively. It supports the suggestion of the formation of three-dimensional aggregates of semiconductor nanoparticles intercalated with polyelectrolyte.AFM images show the formation of large aggregates of nanoparticles, about 40-50 nm, for the films deposited from original colloid solutions, while smaller aggregates, about 12-20 nm, were obtained if the colloid solutions were diluted.Current-voltage (I-V) and capacitance

  9. Characterization of nanostructured photosensitive cadmium sulphide thin films grown by SILAR deposition technique

    International Nuclear Information System (INIS)

    Ubale, A.U.; Bargal, A.N.

    2010-01-01

    This paper reports the preparation of photosensitive nanostructured CdS thin films by successive ionic layer adsorption and reaction (SILAR) method at room temperature. To obtain good quality CdS thin films, preparative conditions such as concentration of cationic and anionic precursors, adsorption and rinsing time durations etc. are optimized. The structural, optical and electrical characterizations of the as-deposited and annealed CdS thin films were carried out using X-ray diffraction, scanning electron microscopy, optical absorption and electrical resistivity methods. The photoconductivity studies showed that the annealed films are more than that photosensitive. The TEP measurement shows that deposited films are of n-type. (author)

  10. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  11. Investigations on structural, vibrational, morphological and optical properties of CdS and CdS/Co films by ultrasonic spray pyrolysis

    International Nuclear Information System (INIS)

    Aksay, S.; Polat, M.; Ozer, T.; Koese, S.; Guerbuez, G.

    2011-01-01

    CdS and CdS/Co films have been deposited on glass substrates by an ultrasonic spray pyrolysis method. The effects of Co incorporation on the structural, optical, morphological, elemental and vibrational properties of these films were investigated. XRD analysis confirmed the hexagonal wurtzite structure of all films and had no impurity phase. While CdS film has (0 0 2) as the preferred orientation, CdS/Co films have (1 1 0) as the preferred orientation. The direct optical band gap was found to decrease from 2.42 to 2.39 eV by Co incorporation. The decrease of the direct energy gaps by increasing Co contents is mainly due to the sp-d exchange interaction between the localized d-electrons of Co 2+ ions and band electrons of CdS. After the optical investigations, it was seen that the transmittance of CdS films decreased by Co content. The Raman measurements revealed two peaks corresponding to the 1LO and 2LO modes of hexagonal CdS. The vibrational modes of Cd-S were obtained in the wavenumber range (590-715 cm -1 ) using Fourier transform infrared spectroscopy (FTIR). The elemental analysis of the film was done by energy dispersive X-ray spectrometry.

  12. Investigations on structural, vibrational, morphological and optical properties of CdS and CdS/Co films by ultrasonic spray pyrolysis

    Science.gov (United States)

    Aksay, S.; Polat, M.; Özer, T.; Köse, S.; Gürbüz, G.

    2011-09-01

    CdS and CdS/Co films have been deposited on glass substrates by an ultrasonic spray pyrolysis method. The effects of Co incorporation on the structural, optical, morphological, elemental and vibrational properties of these films were investigated. XRD analysis confirmed the hexagonal wurtzite structure of all films and had no impurity phase. While CdS film has (0 0 2) as the preferred orientation, CdS/Co films have (1 1 0) as the preferred orientation. The direct optical band gap was found to decrease from 2.42 to 2.39 eV by Co incorporation. The decrease of the direct energy gaps by increasing Co contents is mainly due to the sp-d exchange interaction between the localized d-electrons of Co2+ ions and band electrons of CdS. After the optical investigations, it was seen that the transmittance of CdS films decreased by Co content. The Raman measurements revealed two peaks corresponding to the 1LO and 2LO modes of hexagonal CdS. The vibrational modes of Cd-S were obtained in the wavenumber range (590-715 cm-1) using Fourier transform infrared spectroscopy (FTIR). The elemental analysis of the film was done by energy dispersive X-ray spectrometry.

  13. Interfacial passivation of CdS layer to CdSe quantum dots-sensitized electrodeposited ZnO nanowire thin films

    International Nuclear Information System (INIS)

    Zhang, Jingbo; Sun, Chuanzhen; Bai, Shouli; Luo, Ruixian; Chen, Aifan; Sun, Lina; Lin, Yuan

    2013-01-01

    ZnO porous thin films with nanowire structure were deposited by the one-step electrochemical deposition method. And a CdS layer was coated on the as-deposited ZnO nanowire thin films by successive ionic layer adsorption and reaction (SILAR) method to passivate surface states. Then the films were further sensitized by CdSe quantum dots (QDs) to serve as a photoanode for fabricating quantum dots-sensitized solar cells (QDSSCs). The effect of the CdS interfacial passivation layer on the performance of the QDSSCs was systematically investigated by varying the SILAR cycle number and heating the passivation layer. The amorphous CdS layer with an optimized thickness can effectively suppress the recombination of the injected electrons with holes on QDs and the redox electrolyte. The newly formed CdS layer on the surface of the ZnO nanowire thin film obviously prolongs the electron lifetime in the passivated ZnO nanoporous thin film because of the lower surface trap density in the ZnO nanowires after CdS deposition, which is favorable to the higher short-circuit photocurrent density (J sc ). For the CdSe QDs-sensitized ZnO nanoporous thin film with the interfacial passivation layer, the J sc and conversion efficiency can reach a maximum of 8.36 mA cm −2 and 2.36%, respectively. The conversion efficiency was improved by 83.47% compared with that of the cell based on the CdSe QDs-sensitized ZnO nanoporous thin film without CdS interfacial passivation (0.39%)

  14. Influence of Ag doping concentration on structural and optical properties of CdS thin film

    International Nuclear Information System (INIS)

    Kumar, Pragati; Saxena, Nupur; Gupta, Vinay; Agarwal, Avinash

    2015-01-01

    This work shows the influence of Ag concentration on structural properties of pulsed laser deposited nanocrystalline CdS thin film. X-ray photoelectron spectroscopy (XPS) studies confirm the dopant concentration in CdS films and atomic concentration of elements. XPS studies show that the samples are slightly sulfur deficient. GAXRD scan reveals the structural phase transformation from cubic to hexagonal phase of CdS without appearance of any phase of CdO, Ag 2 O or Ag 2 S suggesting the substitutional doping of Ag ions. Photoluminescence studies illustrate that emission intensity increases with increase in dopant concentration upto 5% and then decreases for higher dopant concentration

  15. Influence of Ag doping concentration on structural and optical properties of CdS thin film

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pragati, E-mail: pkumar.phy@gmail.com [Department of Physics, Bareilly College, Bareilly, 243 005, Uttar Pradesh (India); Department of Physics and Astrophysics, University of Delhi, Delhi, 110 007 (India); Saxena, Nupur; Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi, 110 007 (India); Agarwal, Avinash [Department of Physics, Bareilly College, Bareilly, 243 005, Uttar Pradesh (India)

    2015-05-15

    This work shows the influence of Ag concentration on structural properties of pulsed laser deposited nanocrystalline CdS thin film. X-ray photoelectron spectroscopy (XPS) studies confirm the dopant concentration in CdS films and atomic concentration of elements. XPS studies show that the samples are slightly sulfur deficient. GAXRD scan reveals the structural phase transformation from cubic to hexagonal phase of CdS without appearance of any phase of CdO, Ag{sub 2}O or Ag{sub 2}S suggesting the substitutional doping of Ag ions. Photoluminescence studies illustrate that emission intensity increases with increase in dopant concentration upto 5% and then decreases for higher dopant concentration.

  16. Spin-coating deposition of PbS and CdS thin films for solar cell application

    Energy Technology Data Exchange (ETDEWEB)

    Patel, Jayesh; Mighri, Frej [Laval University, CREPEC, Department of Chemical Engineering, Quebec, QC (Canada); Ajji, Abdellah [Ecole Polytechnique, CREPEC, Chemical Engineering Department, Montreal, QC (Canada); Tiwari, Devendra; Chaudhuri, Tapas K. [Charotar University of Science and Technology (CHARUSAT), Dr. K.C. Patel Research and Development Centre, Anand District, Gujarat (India)

    2014-12-15

    In this work, we describe a simple spin-coating deposition technique for lead sulphide (PbS) and cadmium sulphide (CdS) films from a methanolic metal-thiourea complex. The characterization of the films by X-ray diffraction and X-ray photoelectron spectroscopy techniques revealed that pure cubic phase PbS and CdS layers were formed via this method. As shown by atomic force microscopy and scanning electron microscopy results, both films were homogeneous and presented a smooth surface. Optical properties showed that the energy band gap of PbS and CdS films were around 1.65 and 2.5 eV, respectively. The PbS film is p-type in nature with an electrical conductivity of around 0.8 S/cm. The hole concentration and mobility were 2.35 x 10{sup 18} cm{sup -3} and 2.16 x 10{sup -3} cm{sup 2}/V/s, respectively, as determined from Hall measurement. Both films were used to develop a thin film solar cell device of graphite/PbS/CdS/ITO/glass. Device characterization showed the power conversion efficiency of around 0.24 %. The corresponding open circuit voltage, short circuit current and fill factor were 0.570 V, 1.32 mA/cm{sup 2} and 0.32, respectively. (orig.)

  17. Diffusion mediated agglomeration of CdS nanoparticles via Langmuir–Blodgett technique

    International Nuclear Information System (INIS)

    Das, Nayan Mani; Roy, Dhrubojyoti; Gupta, P.S.

    2013-01-01

    Graphical abstract: - Highlights: • Diffusion mediated agglomeration of CdS nanoparticles are discussed. • Formation of CdS nanoparticles are confirmed by the change of chain length in XRD. • AFM shows the agglomeration of particles with a film swelling of about 5 Å. • UV–vis absorbance suggests that the grown particles show quantum confinement. • Hexagonal form of particle was confirmed by UV–vis reflectivity. - Abstract: We have reported a diffusion mediated agglomeration of cadmium sulphide (CdS) nanoparticles within cadmium arachidate (CdA 2 ) film matrix. The structural morphology and formation of CdS nanoparticles are characterized by X-ray diffraction (XRD), X-ray reflectivity (XRR), atomic force microscopy (AFM) and ultraviolet-visible spectroscopy techniques. X-ray diffraction (XRD) results show a change in bilayer difference from 53.04 Å to 43 Å after the sulphidation. An epitaxial growth of the films by ∼5 Å after sulphidation is confirmed from atomic force microscopy studies. The particle size calculated form UV–vis absorption edges are found to be varying from 2.6 nm to 3.3 nm for the different layers. A lateral dimension of 72–80 nm from AFM measurements and a size of 2.6–3.3 nm have confirmed one side flat pseudo two-dimensional disk-like nanoparticles. UV–vis reflectivity peak at E 1 (A) confirms the formation of hexagonal CdS nanoparticles along the c-axis

  18. CdS-based p-i-n diodes using indium and copper doped CdS films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N; Berrellez-Reyes, F; Mizquez-Corona, R; Ramirez-Esquivel, O; Mejia, I; Quevedo-Lopez, M

    2015-01-01

    In this work we report a method to dope cadmium sulfide (CdS) thin films using pulsed laser deposition. Doping is achieved during film growth at substrate temperatures of 100 °C by sequential deposition of the CdS and the dopant material. Indium sulfide and copper disulfide targets were used as the dopant sources for n-type and p-type doping, respectively. Film resistivities as low as 0.2 and 1 Ω cm were achieved for indium and copper doped films, respectively. Hall effect measurements demonstrated the change in conductivity type from n-type to p-type when the copper dopants are incorporated into the film. The controlled incorporation of indium or copper, in the undoped CdS film, results in substitutional defects in the CdS, which increases the electron and hole concentration up to 4 × 10 18 cm −3 and 3 × 10 20 cm −3 , respectively. The results observed with CdS doping can be expanded to other chalcogenides material compounds by just selecting different targets. With the optimized doped films, CdS-based p-i-n diodes were fabricated yielding an ideality factor of 4, a saturation current density of 2 × 10 −6 A cm −2 and a rectification ratio of three orders of magnitude at ±3 V. (paper)

  19. Optical and AFM study of electrostatically assembled films of CdS and ZnS colloid nanoparticles

    International Nuclear Information System (INIS)

    Suryajaya; Nabok, A.; Davis, F.; Hassan, A.; Higson, S.P.J.; Evans-Freeman, J.

    2008-01-01

    CdS and ZnS semiconducting colloid nanoparticles coated with the organic shell, containing either SO 3 - or NH 2 + groups, were prepared using the aqueous phase synthesis. The multilayer films of CdS (or ZnS) were deposited onto glass, quartz and silicon substrates using the technique of electrostatic self-assembly. The films produced were characterized with UV-vis spectroscopy, spectroscopic ellipsometry and atomic force microscopy. A substantial blue shift of the main absorption band with respect to the bulk materials was found for both CdS and ZnS films. The Efros equation in the effective mass approximation (EMA) theoretical model allowed the evaluation of the nanoparticle radius of 1.8 nm, which corresponds well to the ellipsometry results. AFM shows the formation of larger aggregates of nanoparticles on solid surfaces

  20. Size-dependent photodegradation of CdS particles deposited onto TiO2 mesoporous films by SILAR method

    International Nuclear Information System (INIS)

    Ahmed, Rasin; Will, Geoffrey; Bell, John; Wang Hongxia

    2012-01-01

    The particle size, size distribution and photostability of CdS nanoparticles incorporated onto mesoporous TiO 2 films by a successive ionic layer adsorption and reaction (SILAR) method were investigated by Raman spectroscopy, UV–Visible spectroscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). High-resolution TEM indicated that the synthesized CdS particles were hexagonal phase and the particle sizes were less than 5 nm for up to nine SILAR deposition cycles. Quantum size effect was found with the CdS-sensitized TiO 2 films prepared with up to nine SILAR cycles. The band gap of CdS nanoparticles decreased from 2.65 to 2.37 eV with the increase of the SILAR cycles from 1 to 11. The investigation of the stability of the CdS/TiO 2 films in air under illumination (440.6 μW/cm 2 ) showed that the photodegradation rate was up to 85 % per day for the sample prepared with three SILAR cycles. XPS analysis indicated that the photodegradation was due to the oxidation of CdS, leading to the transformation from sulphide to sulphate (CdSO 4 ). Furthermore, the degradation rate was strongly dependent upon the particle size of CdS. Smaller particles showed faster degradation rate. The size-dependent photo-induced oxidization was rationalized with the variation of size-dependent distribution of surface atoms of CdS particles. Molecular dynamics-based theoretical calculation has indicated that the surface sulphide anion of a large CdS particle such as CdS made with 11 cycles (CdS × 11, average particle size = 5.6 nm) accounts for 9.6 % of the material whereas this value is increased to 19.2 % for (CdS × 3)-based smaller particles (average particle size = 2.7 nm). The photostability of CdS nanoparticles was significantly enhanced when coated with ZnS particles deposited with four SILAR cycles. The growth mechanism of ZnS upon CdS nanoparticles was discussed.

  1. Sequential electro-deposition of Bi{sub 2}S{sub 3}/CdS films as co-sensitizer photoanodes for liquid junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Jana, Atanu; Hazra, Prasenjit; Hazra, Mukul; Datta, Jayati, E-mail: jayati_datta@rediffmail.com

    2016-11-01

    In this investigation multilayered conjugate films are formulated with Bi{sub 2}S{sub 3} and CdS nanoparticles (NPs) on FTO glass substrate. Thin layer Bi{sub 2}S{sub 3} was deposited and subsequently covered with various levels of CdS coating. Optical properties and XRD analysis of the films show existence of both the compound phases. The morphology of the films studied through electron microscopy reveals coverage of spherical CdS NPs on the network of Bi{sub 2}S{sub 3} NPs. The electrochemical impedance records and performances output characteristics of the n-type films show that the most efficient co-sensitizer matrix is produced with deep coating of CdS on thin layer of Bi{sub 2}S{sub 3}. - Highlights: • Multilayered Bi{sub 2}S{sub 3}/CdS conjugate films are formulated on FTO glass substrate. • Photo-degradation of Bi{sub 2}S{sub 3} is restricted by the coating of CdS layer. • High level of Cd coating on thin layer of Bi{sub 2}S{sub 3} have shown appreciable photocurrent output. • Photo-conversion efficiency of 0.93% is observed for the best conjugate film.

  2. Subatomic deformation driven by vertical piezoelectricity from CdS ultrathin films.

    Science.gov (United States)

    Wang, Xuewen; He, Xuexia; Zhu, Hongfei; Sun, Linfeng; Fu, Wei; Wang, Xingli; Hoong, Lai Chee; Wang, Hong; Zeng, Qingsheng; Zhao, Wu; Wei, Jun; Jin, Zhong; Shen, Zexiang; Liu, Jie; Zhang, Ting; Liu, Zheng

    2016-07-01

    Driven by the development of high-performance piezoelectric materials, actuators become an important tool for positioning objects with high accuracy down to nanometer scale, and have been used for a wide variety of equipment, such as atomic force microscopy and scanning tunneling microscopy. However, positioning at the subatomic scale is still a great challenge. Ultrathin piezoelectric materials may pave the way to positioning an object with extreme precision. Using ultrathin CdS thin films, we demonstrate vertical piezoelectricity in atomic scale (three to five space lattices). With an in situ scanning Kelvin force microscopy and single and dual ac resonance tracking piezoelectric force microscopy, the vertical piezoelectric coefficient (d 33) up to 33 pm·V(-1) was determined for the CdS ultrathin films. These findings shed light on the design of next-generation sensors and microelectromechanical devices.

  3. Synthesis of CdS nanocrystals in polymeric films studied by in-situ GID and GISAXS

    KAUST Repository

    Di Luccio, Tiziana; Carbone, Dina; Masala, Silvia; Ramachandran, Karthik; Kornfield, Julie

    2015-01-01

    In this work, we describe the synthesis of CdS nanocrystals in thin polymeric films by in-situ Grazing Incidence Diffraction (GID) and Grazing Incidence Small Angle Scattering (GISAXS). The 2D GISAXS patterns indicate how the precursor structure is altered as the temperature is varied from 25°C to 300°C. At 150°C, the CdS nanocrystals start to arrange themselves in a hexagonal lattice with a lattice parameter of 27 A. The diffraction intensity from the hexagonal lattice reaches a maximum at 170"C and decreases steadily upon further heating above 220°C indicating loss of symmetry. Correspondingly, the GID scans at 170°C show strong crystalline peaks from cubic CdS nanocrystals that are about 2 nm size. The results indicate that a temperature of 170°C is sufficient to synthesize CdS nanocrystals without degradation of the polymer matrix (Topas) in thin films (about 30nm). © 2015 Materials Research Society.

  4. Synthesis of CdS nanocrystals in polymeric films studied by in-situ GID and GISAXS

    KAUST Repository

    Di Luccio, Tiziana

    2015-07-07

    In this work, we describe the synthesis of CdS nanocrystals in thin polymeric films by in-situ Grazing Incidence Diffraction (GID) and Grazing Incidence Small Angle Scattering (GISAXS). The 2D GISAXS patterns indicate how the precursor structure is altered as the temperature is varied from 25°C to 300°C. At 150°C, the CdS nanocrystals start to arrange themselves in a hexagonal lattice with a lattice parameter of 27 A. The diffraction intensity from the hexagonal lattice reaches a maximum at 170"C and decreases steadily upon further heating above 220°C indicating loss of symmetry. Correspondingly, the GID scans at 170°C show strong crystalline peaks from cubic CdS nanocrystals that are about 2 nm size. The results indicate that a temperature of 170°C is sufficient to synthesize CdS nanocrystals without degradation of the polymer matrix (Topas) in thin films (about 30nm). © 2015 Materials Research Society.

  5. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  6. Deuterium markers in CdS and Zn(O,S) buffer layers deposited by solution growth for Cu(In,Ga)Se{sub 2} thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Eicke, Axel; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), Stuttgart (Germany); Souza, Roger A. de; Martin, Manfred [Institute of Physical Chemistry, RWTH Aachen University (Germany)

    2017-12-15

    This contribution describes an easy and cheap approach to introduce deuterium (D) as an isotopic marker into the commonly used buffer layer materials CdS and Zn(O,S) for Cu(In,Ga)Se{sub 2} (CIGS) thin-film solar cells. D was successfully incorporated during the growth of Zn(O,S) and CdS buffer layers by chemical bath deposition (CBD) with D{sub 2}O. CIGS solar cells prepared with D-containing buffers grown by CBD exhibit power conversion efficiencies above 16%, that is, the D content has no detrimental effect on the performance or other solar cell parameters of the devices. With depth profiles obtained by time-of-flight secondary ion mass spectrometry (ToF-SIMS) we clearly detect the intentionally incorporated D within the solution-grown Zn(O,S) buffer. Assuming that D is present as OD, we compare the amount of OD within the Zn(O,S) layer with the amount of OH on the surface of the subsequent sputtered (Zn,Mg)O layer. Possible applications and future experiments of the method inserting isotopic markers such as D in functional layers of chalcopyrite-type thin-film solar cells and beyond are discussed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Improved electrical stability of CdS thin film transistors through Hydrogen-based thermal treatments

    KAUST Repository

    Salas Villaseñor, Ana L.

    2014-06-01

    Thin film transistors (TFTs) with a bottom-gate configuration were fabricated using a photolithography process with chemically bath deposited (CBD) cadmium sulfide (CdS) films as the active channel. Thermal annealing in hydrogen was used to improve electrical stability and performance of the resulting CdS TFTs. Hydrogen thermal treatments results in significant V T instability (V T shift) improvement while increasing the I on/I off ratio without degrading carrier mobility. It is demonstrated that after annealing V T shift and I on/I off improves from 10 V to 4.6 V and from 105 to 10 9, respectively. Carrier mobility remains in the order of 14.5 cm2 V s-1. The reduced V T shift and performance is attributed to a reduction in oxygen species in the CdS after hydrogen annealing, as evaluated by Fourier transform infrared spectroscopy (FTIR). © 2014 IOP Publishing Ltd.

  8. Improved electrical stability of CdS thin film transistors through Hydrogen-based thermal treatments

    KAUST Repository

    Salas Villaseñ or, Ana L.; Mejia, Israel I.; Sotelo-Lerma, Mé rida; Guo, Zaibing; Alshareef, Husam N.; Quevedo-Ló pez, Manuel Angel Quevedo

    2014-01-01

    Thin film transistors (TFTs) with a bottom-gate configuration were fabricated using a photolithography process with chemically bath deposited (CBD) cadmium sulfide (CdS) films as the active channel. Thermal annealing in hydrogen was used to improve electrical stability and performance of the resulting CdS TFTs. Hydrogen thermal treatments results in significant V T instability (V T shift) improvement while increasing the I on/I off ratio without degrading carrier mobility. It is demonstrated that after annealing V T shift and I on/I off improves from 10 V to 4.6 V and from 105 to 10 9, respectively. Carrier mobility remains in the order of 14.5 cm2 V s-1. The reduced V T shift and performance is attributed to a reduction in oxygen species in the CdS after hydrogen annealing, as evaluated by Fourier transform infrared spectroscopy (FTIR). © 2014 IOP Publishing Ltd.

  9. Size-dependent photodegradation of CdS particles deposited onto TiO{sub 2} mesoporous films by SILAR method

    Energy Technology Data Exchange (ETDEWEB)

    Ahmed, Rasin; Will, Geoffrey; Bell, John; Wang Hongxia, E-mail: hx.wang@qut.edu.au [Queensland University of Technology, School of Chemistry, Physics and Mechanical Engineering (Australia)

    2012-09-15

    The particle size, size distribution and photostability of CdS nanoparticles incorporated onto mesoporous TiO{sub 2} films by a successive ionic layer adsorption and reaction (SILAR) method were investigated by Raman spectroscopy, UV-Visible spectroscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). High-resolution TEM indicated that the synthesized CdS particles were hexagonal phase and the particle sizes were less than 5 nm for up to nine SILAR deposition cycles. Quantum size effect was found with the CdS-sensitized TiO{sub 2} films prepared with up to nine SILAR cycles. The band gap of CdS nanoparticles decreased from 2.65 to 2.37 eV with the increase of the SILAR cycles from 1 to 11. The investigation of the stability of the CdS/TiO{sub 2} films in air under illumination (440.6 {mu}W/cm{sup 2}) showed that the photodegradation rate was up to 85 % per day for the sample prepared with three SILAR cycles. XPS analysis indicated that the photodegradation was due to the oxidation of CdS, leading to the transformation from sulphide to sulphate (CdSO{sub 4}). Furthermore, the degradation rate was strongly dependent upon the particle size of CdS. Smaller particles showed faster degradation rate. The size-dependent photo-induced oxidization was rationalized with the variation of size-dependent distribution of surface atoms of CdS particles. Molecular dynamics-based theoretical calculation has indicated that the surface sulphide anion of a large CdS particle such as CdS made with 11 cycles (CdS Multiplication-Sign 11, average particle size = 5.6 nm) accounts for 9.6 % of the material whereas this value is increased to 19.2 % for (CdS Multiplication-Sign 3)-based smaller particles (average particle size = 2.7 nm). The photostability of CdS nanoparticles was significantly enhanced when coated with ZnS particles deposited with four SILAR cycles. The growth mechanism of ZnS upon CdS nanoparticles was discussed.

  10. Dense CdS thin films on fluorine-doped tin oxide coated glass by high-rate microreactor-assisted solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Su, Yu-Wei, E-mail: suyuweiwayne@gmail.com [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ramprasad, Sudhir [Energy Processes and Materials Division, Pacific Northwest National Laboratory, Corvallis, OR 9730 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Han, Seung-Yeol; Wang, Wei [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Ryu, Si-Ok [School of Display and Chemical Engineering, Yeungnam University, 214-1 Dae-dong, Gyeonsan, Gyeongbuk 712-749 (Korea, Republic of); Palo, Daniel R. [Barr Engineering Co., Hibbing, MN 55747 (United States); Paul, Brian K. [School of Mechanical, Industrial and Manufacturing Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States); Chang, Chih-hung [School of Chemical, Biological and Environmental Engineering, Oregon State University, Corvallis, OR 97330 (United States); Microproducts Breakthrough Institute and Oregon Process Innovation Center, Corvallis, Oregon 97330 (United States)

    2013-04-01

    Continuous microreactor-assisted solution deposition is demonstrated for the deposition of CdS thin films on fluorine-doped tin oxide (FTO) coated glass. The continuous flow system consists of a microscale T-junction micromixer with the co-axial water circulation heat exchanger to control the reacting chemical flux and optimize the heterogeneous surface reaction. Dense, high quality nanocrystallite CdS thin films were deposited at an average rate of 25.2 nm/min, which is significantly higher than the reported growth rate from typical batch chemical bath deposition process. Focused-ion-beam was used for transmission electron microscopy specimen preparation to characterize the interfacial microstructure of CdS and FTO layers. The band gap was determined at 2.44 eV by UV–vis absorption spectroscopy. X-ray photon spectroscopy shows the binding energies of Cd 3d{sub 3/2}, Cd 3d{sub 5/2}, S 2P{sub 3/2} and S 2P{sub 1/2} at 411.7 eV, 404.8 eV, 162.1 eV and 163.4 eV, respectively. - Highlights: ► CdS films deposited using continuous microreactor-assisted solution deposition (MASD) ► Dense nanocrystallite CdS films can be reached at a rate of 25.2 [nm/min]. ► MASD can approach higher film growth rate than conventional chemical bath deposition.

  11. Chemical synthesis of CdS onto TiO2 nanorods for quantum dot sensitized solar cells

    Science.gov (United States)

    Pawar, Sachin A.; Patil, Dipali S.; Lokhande, Abhishek C.; Gang, Myeng Gil; Shin, Jae Cheol; Patil, Pramod S.; Kim, Jin Hyeok

    2016-08-01

    A quantum dot sensitized solar cell (QDSSC) is fabricated using hydrothermally grown TiO2 nanorods and successive ionic layer adsorption and reaction (SILAR) deposited CdS. Surface morphology of the TiO2 films coated with different SILAR cycles of CdS is examined by Scanning Electron Microscopy which revealed aggregated CdS QDs coverage grow on increasing onto the TiO2 nanorods with respect to cycle number. Under AM 1.5G illumination, we found the TiO2/CdS QDSSC photoelectrode shows a power conversion efficiency of 1.75%, in an aqueous polysulfide electrolyte with short-circuit photocurrent density of 4.04 mA/cm2 which is higher than that of a bare TiO2 nanorods array.

  12. Characterisation of nanocrystalline CdS thin films deposited by CBD

    International Nuclear Information System (INIS)

    Devi, R.; Sarma, B.K.

    2006-01-01

    Nanocrystalline thin films of CdS are deposited on glass substrates by chemical bath deposition using polyvinyl alcohol (PVA) matrix solution. Crystallite sizes of the films are determined from X-ray diffraction and are found to vary from 5.4 nm to 7 nm. The band gaps of the nanocrystalline material is determined from the U-V spectrograph and are found to be within the range from 2.6 eV to 2.8 eV as grain size decreases. The band gaps are also determined from the dependence of electrical conductivity of the films with temperature. An increase of molarity decreases the grain size which in turn increases the band gap. (author)

  13. Photovoltaic and impedance characteristics of modified SILAR grown CdS quantum dot sensitized solar cell

    International Nuclear Information System (INIS)

    Fatehmulla, Amanullah; Farooq, W. A.; Aslam, M.; Atif, M.; Ali, S.M.; Al-Dhafir, A. M.; Yakuphanoglu, F.; Yahia, I.S.

    2014-01-01

    Cadmium Sulphide (CdS) quantum dots (QDs) were deposited on nanostructured TiO 2 film using a modified Successive Ionic Layer Adsorption and Reaction (SILAR) method. Nanostructured TiO 2 on FTO glass and Platinum on FTO are used as photoelectrode and Counter electrode respectively. High resolution Transmission Electron Microscopy (HRT EM) image revealed CdS QDs adsorbed on nanostructured TiO 2 . The photovoltaic characteristics and impedance spectroscopy properties of CdS quantum dot sensitized solar cell (QDSSC) were analyzed under air mass 1.5 illuminations. At the SILAR adsorption time of 2 min (10 cycles), the QDSSC measured a short circuit current density of 2 mA/cm 2 and an open circuit voltage of 0.45 V under air mass 1.5. In a widespread frequency range, the capacitance – voltage, the conductance – voltage, the series resistance - voltage measurements were carried out for the QDSSC applications. A conduct of positive to negative capacitance was observed from the measured characteristics of capacitance - voltage which is attributed to the injection of electrons from FTO electrode into TiO 2 . Key words: Nanostructured TiO 2 , CdS QDSSC, SILAR method, photovoltaic measurements, impedance characteristic

  14. Correlation between surface phonon mode and luminescence in nanocrystalline CdS thin films: An effect of ion beam irradiation

    International Nuclear Information System (INIS)

    Kumar, Pragati; Agarwal, Avinash; Saxena, Nupur; Singh, Fouran; Gupta, Vinay

    2014-01-01

    The influence of swift heavy ion irradiation (SHII) on surface phonon mode (SPM) and green emission in nanocrystalline CdS thin films grown by chemical bath deposition is studied. The SHII of nanocrystalline CdS thin films is carried out using 70 MeV Ni ions. The micro Raman analysis shows that asymmetry and broadening in fundamental longitudinal optical (LO) phonon mode increases systematically with increasing ion fluence. To analyze the role of phonon confinement, spatial correlation model (SCM) is fitted to the experimental data. The observed deviation of SCM to the experimental data is further investigated by fitting the micro Raman spectra using two Lorentzian line shapes. It is found that two Lorentzian functions (LFs) provide better fitting than SCM fitting and facilitate to identify the contribution of SPM in the observed distortion of LO mode. The behavior of SPM as a function of ion fluence is studied to correlate the observed asymmetry (Γ a /Γ b ) and full width at half maximum of LO phonon mode and to understand the SHII induced enhancement of SPM. The ion beam induced interstitial and surface state defects in thin films, as observed by photoluminescence (PL) spectroscopy studies, may be the underlying reason for enhancement in SPM. PL studies also show enhancement in green luminescence with increase in ion fluence. PL analysis reveals that the variation in population density of surface state defects after SHII is similar to that of SPM. The correlation between SPM and luminescence and their dependence on ion irradiation fluence is explained with the help of thermal spike model.

  15. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  16. Improving the optical and crystalline properties on CdS thin films growth on small and large area by using CBD technique

    Energy Technology Data Exchange (ETDEWEB)

    Albor A, M. L.; Flores M, J. M.; Hernandez V, C.; Contreras P, G.; Mejia G, C.; Rueda M, G. [IPN, Escuela Superior de Fisica y Matematicas, Departamento de Fisica, Unidad Profesional Adolfo Lopez Mateos, Zacatenco, 07738 Ciudad de Mexico (Mexico); Gonzalez T, M. A. [IPN, Escuela Superior de Computo, Departamento de Formacion Basica, Unidad Profesional Adolfo Lopez Mateos, 07738 Ciudad de Mexico (Mexico)

    2016-11-01

    CdS polycrystalline thin films have been used as window layer in solar cells; the optical and crystalline quality of the CdS-partner plays and important role in the photovoltaic device performance. CdS thin films were deposited by using Chemical Bath Deposition. The SnO{sub 2}:F substrates used were chemically treated with HCl (0.1 M) and others were thermally annealed in different atmospheres (Ar and O{sub 2}). The physical properties of CdS thin films were influenced by the HCl treatment, position, size and the substrates movement inside the reaction beaker. The CdS samples were deposited in areas of 4 cm{sup 2}, 50 cm{sup 2} and 100 cm{sup 2}. Finally CdS thin films with thickness of 35-300 nm with good optical and crystalline quality on a uniform morphology were obtained. Transmittance values were obtained for all samples about 85-90 % with an average of gap energy of 2.5 eV. The structural characteristics of the samples were determined by the X-ray diffraction patterns, by means of a D-500 Siemens X-ray system. (Author)

  17. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  18. Enhanced charge collection and photocatalysis performance of CdS and PbS nanoclusters co-sensitized TiO{sub 2} porous film

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Miao; Xu, Yanyan; Gong, Zezhou; Tao, Jiajia [School of Physics & Material Science, Anhui University, Hefei 230601 (China); Sun, Zhaoqi, E-mail: szq@ahu.edu.cn [School of Physics & Material Science, Anhui University, Hefei 230601 (China); Lv, Jianguo [School of Electronic & Information Engineering, Hefei Normal University, Hefei, 230601 (China); National Laboratory for Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083 (China); Chen, Xiaoshuang [National Laboratory for Infrared Physics, Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083 (China); Jiang, Xishun [School of Physics & Material Science, Anhui University, Hefei 230601 (China); School of Mechanical & Electronic Engineering, Chuzhou University, Chuzhou, 239000 (China); He, Gang; Wang, Peihong; Meng, Fanming [School of Physics & Material Science, Anhui University, Hefei 230601 (China)

    2015-11-15

    A novel translucent TiO{sub 2} porous film was prepared through etched method. The CdS, PbS and CdS/PbS nanoclusters were imbedded on TiO{sub 2} porous film by successive ionic layer adsorption and reaction method. Microstructure, morphology, optical and photoelectron-chemical properties of the as-synthesized thin films were investigated systematically. XRD and morphology analysis showed that PbS or CdS nanoclusters have been attached to the TiO{sub 2} porous films. It was found that the energy band gap of TiO{sub 2} porous film decreased from 3.46 to 3.2 eV after sensitized with nanoclusters. The photocurrent density of ITO/TiO{sub 2} photoelectrode increased from 0.017 to 0.28 mA/cm{sup 2} after co-sensitized with CdS and PbS nanoclusters. Besides, the photoelectrode sensitized with two sorts of nanoclusters showed evident higher photocurrent density than which sensitized just one sort of nanoclusters. The photocurrent density of ITO/TiO{sub 2}/PbS and TO/TiO{sub 2}/CdS photoelectrode was 0.11 mA/cm{sup 2} and 0.22 mA/cm{sup 2} respectively. 0.28 mA/cm{sup 2} can be obtained by ITO/TiO{sub 2}/CdS/PbS photoelectrode. The results showed that the optical and photoelectrochemistry properties and phtotcatalysis performance of TiO{sub 2} porous film were greatly improved by co-sensitized with CdS and PbS nanoclusters. - Graphical abstract: When CdS and PbS were brought in the cascade structure, such a Fermi level alignment causes upward and downward shifts of the band edges for PbS and CdS, respectively. Therefore the resulting band edges for the ITO/TiO{sub 2}/CdS/PbS devices are inferred to have a stepwise structure. The elevated conduction band edge of PbS provides a higher driving force for the injection of photogenerated electrons from PbS to CdS as well as the injection of excited holes from CdS to PbS. Such a structure offers efficient separation and transport of the excited electrons and holes. - Highlights: • Ti films were obtained from direct current

  19. Chemical bath deposition of CdS thin films doped with Zn and Cu

    Indian Academy of Sciences (India)

    Abstract. Zn- and Cu-doped CdS thin films were deposited onto glass substrates by the chemical bath technique. ... Cadmium sulfide; chemical bath deposition; doping; optical window. 1. ..... at low temperature (10 K), finding similar trends than.

  20. Effect of chlorine doping on the structural, morphological, optical and electrical properties of spray deposited CdS thin films

    Directory of Open Access Journals (Sweden)

    T. Sivaraman

    2015-10-01

    Full Text Available CdS and chlorine doped CdS (CdS:Cl thin films with different Cl-doping levels (0, 2, 4, 6 and 8 at% have been deposited on glass substrates by a spray pyrolysis technique using a perfume atomizer. The effect of Cl doping on the structural, morphological, optical and electrical properties of the films was investigated. XRD patterns revealed that all the films exhibit hexagonal crystal structure with a preferential orientation along the (0 0 2 plane irrespective of the Cl doping level. The particle size value decreases from 22.03 nm to 18.12 nm with increase in Cl concentration. Optical band gap is blue-shifted from 2.48 eV to 2.73 eV with increase in Cl doping concentration. All the films have resistivity in the order of 104 Ω cm. The obtained results confirm that chlorine as an anionic dopant material can enhance the physical properties of CdS thin films to a large extent.

  1. Flexible pressure sensor based on graphene aerogel microstructures functionalized with CdS nanocrystalline thin film

    Science.gov (United States)

    Plesco, Irina; Dragoman, Mircea; Strobel, Julian; Ghimpu, Lidia; Schütt, Fabian; Dinescu, Adrian; Ursaki, Veaceslav; Kienle, Lorenz; Adelung, Rainer; Tiginyanu, Ion

    2018-05-01

    In this paper, we report on functionalization of graphene aerogel with a CdS thin film deposited by magnetron sputtering and on the development of flexible pressure sensors based on ultra-lightweight CdS-aerogel nanocomposite. Analysis by scanning electron microscopy, transmission electron microscopy and energy dispersive X-ray analysis disclose the uniform deposition of nanocrystalline CdS films with quasi-stoichiometric composition. The piezoresistive response of the aforementioned nanocomposite in the pressure range from 1 to 5 atm is found to be more than one order of magnitude higher than that inherent to suspended graphene membranes, leading to an average sensitivity as high as 3.2 × 10-4 kPa-1.

  2. Correlation between surface phonon mode and luminescence in nanocrystalline CdS thin films: An effect of ion beam irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pragati, E-mail: pkumar.phy@gmail.com; Agarwal, Avinash [Department of Physics, Bareilly College, Bareilly 243 005, Uttar Pradesh (India); Saxena, Nupur; Singh, Fouran [Inter University Accelerator Centre, Aruna Asaf Ali Marg, New Delhi 110 067 (India); Gupta, Vinay [Department of Physics and Astrophysics, University of Delhi, Delhi 110 007 (India)

    2014-07-28

    The influence of swift heavy ion irradiation (SHII) on surface phonon mode (SPM) and green emission in nanocrystalline CdS thin films grown by chemical bath deposition is studied. The SHII of nanocrystalline CdS thin films is carried out using 70 MeV Ni ions. The micro Raman analysis shows that asymmetry and broadening in fundamental longitudinal optical (LO) phonon mode increases systematically with increasing ion fluence. To analyze the role of phonon confinement, spatial correlation model (SCM) is fitted to the experimental data. The observed deviation of SCM to the experimental data is further investigated by fitting the micro Raman spectra using two Lorentzian line shapes. It is found that two Lorentzian functions (LFs) provide better fitting than SCM fitting and facilitate to identify the contribution of SPM in the observed distortion of LO mode. The behavior of SPM as a function of ion fluence is studied to correlate the observed asymmetry (Γ{sub a}/Γ{sub b}) and full width at half maximum of LO phonon mode and to understand the SHII induced enhancement of SPM. The ion beam induced interstitial and surface state defects in thin films, as observed by photoluminescence (PL) spectroscopy studies, may be the underlying reason for enhancement in SPM. PL studies also show enhancement in green luminescence with increase in ion fluence. PL analysis reveals that the variation in population density of surface state defects after SHII is similar to that of SPM. The correlation between SPM and luminescence and their dependence on ion irradiation fluence is explained with the help of thermal spike model.

  3. Photovoltammetric behavior and photoelectrochemical determination of p-phenylenediamine on CdS quantum dots and graphene hybrid film

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Yuhan; Yan, Kai; Liu, Yong; Zhang, Jingdong, E-mail: zhangjd@mail.hust.edu.cn

    2015-07-16

    Highlights: • Photovoltammetric behavior of PPD on CdS–GS hybrid film was studied. • GS doped in CdS greatly improved the photoelectrochemical response of PPD. • CV of PPD on CdS–GS film became a sigmoidal shape under photoirradiation. • Novel photoelectrochemical strategy for PPD determination was developed. - Abstract: A photoelectroactive film composed of CdS quantum dots and graphene sheets (GS) was coated on F-doped SnO{sub 2} (FTO) conducting glass for studying the electrochemical response of p-phenylenediamine (PPD) under photoirradiation. The result indicated that the cyclic voltammogram of PPD on CdS–GS hybrid film became sigmoidal in shape after exposed under visible light, due to the photoelectrocatalytic reaction. Such a photovoltammetric response was used to rapidly optimize the photoelectrocatalytic activity of hybrid films composed of different ratios of CdS to GS toward PPD. The influences of scan rate and pH on the photovoltammetric behavior of PPD on CdS–GS film revealed that although the controlled step for electrochemical process was not changed under photoirradiation, more electrons than protons might participate the photoelectrocatalytic process. Furthermore, the photoelectroactive CdS–GS hybrid film was explored for PPD determination based on the photocurrent response of film toward PPD. Under optimal conditions, the photocurrent signal on CdS–GS film was linearly proportional to the concentration of PPD ranging from 1.0 × 10{sup −7} to 3.0 × 10{sup −6} mol L{sup −1}, with a detection limit (3S/N) of 4.3 × 10{sup −8} mol L{sup −1}. Our work based on CdS–GS hybrid film not only demonstrated a new facile photovoltammetric way to study the photoinduced electron transfer process of PPD, but also developed a sensitive photoelectrochemical strategy for PPD determination.

  4. Single layer porous gold films grown at different temperatures

    International Nuclear Information System (INIS)

    Zhang Renyun; Hummelgard, Magnus; Olin, Hakan

    2010-01-01

    Large area porous gold films can be used in several areas including electrochemical electrodes, as an essential component in sensors, or as a conducting material in electronics. Here, we report on evaporation induced crystal growth of large area porous gold films at 20, 40 and 60 deg. C. The gold films were grown on liquid surface at 20 deg. C, while the films were grown on the wall of beakers when temperature increased to 40 and 60 deg. C. The porous gold films consisted of a dense network of gold nanowires as characterized by TEM and SEM. TEM diffraction results indicated that higher temperature formed larger crystallites of gold wires. An in situ TEM imaging of the coalescence of gold nanoparticles mimicked the process of the growth of these porous films, and a plotting of the coalescence time and the neck radius showed a diffusion process. The densities of these gold films were also characterized by transmittance, and the results showed film grown at 20 deg. C had the highest density, while the film grown at 60 deg. C had the lowest consistent with SEM and TEM characterization. Electrical measurements of these gold films showed that the most conductive films were the ones grown at 40 deg. C. The conductivities of the gold films were related to the amount of contamination, density and the diameter of the gold nanowires in the films. In addition, a gold film/gold nanoparticle hybrid was made, which showed a 10% decrease in transmittance during hybridization, pointing to applications as chemical and biological sensors.

  5. Pulsed laser deposition of semiconductor-ITO composite films on electric-field-applied substrates

    International Nuclear Information System (INIS)

    Narazaki, Aiko; Sato, Tadatake; Kawaguchi, Yoshizo; Niino, Hiroyuki; Yabe, Akira; Sasaki, Takeshi; Koshizaki, Naoto

    2002-01-01

    The DC electric-field effect on the crystallinity of II-VI semiconductor in composite systems has been investigated for CdS-ITO films fabricated via alternative pulsed laser deposition (PLD) of CdS and indium tin oxide (ITO) on electric-field-applied substrates. The alternative laser ablation was performed under irradiation of ArF excimer laser in mixture gas of helium and oxygen. The application of electric-field facilitated the preferential crystal-growth of CdS in nanometer scale at low pressure, whereas all the films grown without the field were amorphous. There is a large difference in the crystallization between the films grown on field-applied and heated substrates; the latter showed the crystal-growth with random orientations. This difference indicates that the existence of electric-field has an influence on the transformation from amorphous to crystalline phase of CdS. The driving force for the field-induced crystallization is also discussed in the light of the Joule heat

  6. Structural and optical properties of Ni-doped CdS thin films prepared by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Premarani, R. [Arumugam Pillai SeethaiAmmal College, Thiruppattur-630211 (India); Saravanakumar, S., E-mail: sarophy84@gmail.com; Chandramohan, R. [SreeSevuganAnnamalai College, Devakottai-630303 (India); Mahalingam, T. [Department of Electrical and Computer Engineering, Ajou University, Suwon 443-749 (Korea, Republic of)

    2015-06-24

    The structural and optical behavior of undoped Cadmiun Sulphide (CdS) and Ni-doped CdS thinfilms prepared by Chemical Bath Deposition (CBD) technique is reported. The crystallite sizes of the thinfilms have been characterized by X-ray diffraction pattern (XRD). The particle sizes increase with the increase of Ni content in the CdS thinfilms. Scanning Electron Microscope (SEM) results indicated that CdS thinfilms is made up of aggregate of spherical-like particles. The composition was estimated by Energy Dispersive Analysis of X-ray (EDX) and reported. Spectroscopic studies revealed considerable improvement in transmission and the band gap of the films changes with addition of Ni dopant that is associated with variation in crystallite sizes in the nano regime.

  7. Effect of complexing agent on the photoelectrochemical properties of bath deposited CdS thin films

    International Nuclear Information System (INIS)

    Patil, S.B.; Singh, A.K.

    2010-01-01

    In the present paper photoelectrochemical (PEC) performance of bath deposited CdS thin films based on complexing agents i.e. ammonia and triethanolamine (TEA) has been discussed. Effect of annealing has also been analyzed. The as-deposited and annealed (at 523 K for 1 h in air) films were characterized by X-ray diffraction (XRD), ultraviolet-visible (UV-vis) absorption spectroscopy, SEM, electrochemical impedance spectroscopy (EIS), and PEC properties. XRD studies revealed that the films were nanocrystalline in nature with mixed hexagonal and cubic phases. TEA complex resulted in better crystallinity. Further improvement in the crystallinity of the films was observed after air annealing. The marigold flower-like structure, in addition to flakes morphology, was observed with TEA complex, whereas for ammonia complex only flakes morphology was observed. The UV-vis absorption studies revealed that the optical absorption edge for the films with ammonia and TEA complex was around 475 nm and 500 nm, respectively. Annealing of the films resulted in red shift in the UV-vis absorption. The PEC cell performance of CdS films was found to be strongly affected by crystallinity and morphology of the films resulted due to complexing agent and annealing. The air annealed film deposited using TEA complex showed maximum short circuit current density (J sc ) and open circuit voltage (V oc ) i.e. 99 μA/cm 2 and 376 mV respectively, under 10 mW/cm 2 of illumination. The films deposited using TEA complex showed good stability under PEC cell conditions.

  8. Investigations on microstructural and optical properties of CdS films fabricated by a low-cost, simplified spray technique using perfume atomizer for solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K.; Philominathan, P. [PG and Research Department of Physics, AVVM, Sri Pushpam College, Poondi, Thanjavur District, Tamil Nadu (India)

    2008-11-15

    Good quality CdS films were fabricated by employing a simplified spray pyrolysis technique using perfume atomizer. CdS films have been deposited from aqueous solutions of sulphur and cadmium, keeping the molar concentrations of S:Cd = 0.01:0.01, 0.02:0.02, 0.04:0.04 and 0.06:0.06 in the starting solutions. The structural studies reveal that the S:Cd concentration has a strong influence on the microstructural characteristics of the sprayed CdS films. It was found that there is a transition in the preferred orientation from (0 0 2) plane to (1 0 1) plane when S:Cd molar concentration increases. The SEM images depict that the films are uniform and homogeneous. All the films have high optical transmittance (>80%) in the visible range. The optical band gap values are found to be in the range of 2.46-2.52 eV. CdS films fabricated by this simple and economic spray technique without using any carrier gas are found to be good in structural and optical properties which are desirable for photovoltaic applications. Hence, this simplified version of spray technique can be considered as an economic alternative to conventional spray pyrolysis (using carrier gas), for the mass production of low-cost, large area CdS coatings for solar cell applications. (author)

  9. A comparative study on CdS: PEO and CdS: PMMA nanocomposite solid films

    Energy Technology Data Exchange (ETDEWEB)

    Padmaja, S. [Thin film centre, PSG College of Technology, Coimbatore (India); Jayakumar, S., E-mail: s_jayakumar_99@yahoo.com [Department of Physics, PSG Institute of Technology and Applied Research, Coimbatore (India); Balaji, R.; Vaideki, K. [Thin film centre, PSG College of Technology, Coimbatore (India)

    2016-08-15

    Cadmium Sulphide (CdS) nanoparticles were reinforced in Poly(ethylene Oxide) (PEO) and Poly(methyl methacrylate) (PMMA) matrices by in situ technique. The presence of CdS in PEO and PMMA matrix was confirmed using X-ray photoelectron spectroscopy (XPS). Fourier Transform Infrared spectroscopy (FTIR) analysis disclosed the co-ordination of CdS in the matrices. Thermal analysis of the nanocomposites was carried out using Differential Scanning calorimetric studies (DSC). The optical studies using UV–vis spectroscopy were carried out to find the band gap of the materials and the absorption onset. The CdS particle size in the matrices was found by Effective Mass Approximation (EMA) model using the band gap values and was confirmed by TEM studies. The surface trapped emissions of the nanocomposites were observed from the photoluminescence (PL) spectra. The distribution of CdS particles in the polymer matrices were presented by Atomic force microscopic studies (AFM).

  10. A comparative study on CdS: PEO and CdS: PMMA nanocomposite solid films

    International Nuclear Information System (INIS)

    Padmaja, S.; Jayakumar, S.; Balaji, R.; Vaideki, K.

    2016-01-01

    Cadmium Sulphide (CdS) nanoparticles were reinforced in Poly(ethylene Oxide) (PEO) and Poly(methyl methacrylate) (PMMA) matrices by in situ technique. The presence of CdS in PEO and PMMA matrix was confirmed using X-ray photoelectron spectroscopy (XPS). Fourier Transform Infrared spectroscopy (FTIR) analysis disclosed the co-ordination of CdS in the matrices. Thermal analysis of the nanocomposites was carried out using Differential Scanning calorimetric studies (DSC). The optical studies using UV–vis spectroscopy were carried out to find the band gap of the materials and the absorption onset. The CdS particle size in the matrices was found by Effective Mass Approximation (EMA) model using the band gap values and was confirmed by TEM studies. The surface trapped emissions of the nanocomposites were observed from the photoluminescence (PL) spectra. The distribution of CdS particles in the polymer matrices were presented by Atomic force microscopic studies (AFM).

  11. Sulfonsuccinate (AOT Capped Pure and Mn-Doped CdS Nanoparticles

    Directory of Open Access Journals (Sweden)

    D. Venkatesan

    2012-01-01

    Full Text Available CdS nanoparticles and thin films are well known for their excellent semiconducting properties. When transition metal ions are doped into the CdS, it exhibits magnetic properties in addition to semiconducting properties and they are termed as dilute magnetic semiconductors (DMSs. In this paper, we discuss the preparation of sodium bis(2-ethylhexyl sulfonsuccinate (AOT capped CdS nanoparticles and thin films doped with magnetic impurity Mn. Sodium bis(2-ethulexyl sulfonsuccinate (AOT, capping agent promotes the uniform formation of nanoparticles. Optical characterizations are made using the UV-Vis spectrometer, PL, and FTIR. XRD shows the hexagonal structure of the CdS. SEM images and EDS measurements were made for the thin films. EPR shows the clear hyperfine lines corresponding to Mn2+ ion in the CdS nanoparticles.

  12. Photoelectrochemical and Raman characterization of In2O3 mesoporous films sensitized by CdS nanoparticles

    Directory of Open Access Journals (Sweden)

    Mikalai V. Malashchonak

    2013-04-01

    Full Text Available The method of successive ion layer adsorption and reaction was applied for the deposition of CdS nanoparticles onto a mesoporous In2O3 substrate. The filling of the nanopores in In2O3 films with CdS particles mainly occurs during the first 30 cycles of the SILAR deposition. The surface modification of In2O3 with CdS nanoparticles leads to the spectral sensitization of photoelectrochemical processes that manifests itself in a red shift of the long-wavelength edge in the photocurrent spectrum by 100–150 nm. Quantum-confinement effects lead to an increase of the bandgap from 2.49 to 2.68 eV when decreasing the number of SILAR cycles from 30 to 10. The spectral shift and the widening of the Raman line belonging to CdS evidences the lattice stress on the CdS/In2O3 interfaces and confirms the formation of a close contact between the nanoparticles.

  13. Phase transformation synthesis of TiO2/CdS heterojunction film with high visible-light photoelectrochemical activity

    Science.gov (United States)

    Liu, Canjun; Yang, Yahui; Li, Jie; Chen, Shu

    2018-06-01

    CdS/TiO2 heterojunction film used as a photoanode has attracted much attention in the past few years due to its good visible light photocatalytic activity. However, CdS/TiO2 films prepared by conventional methods (successive ionic layer adsorption and reaction, chemical bath deposition and electrodeposition) show numerous grain boundaries in the CdS layer and an imperfect contact at the heterojunction interface. In this study, we designed a phase transformation method to fabricate CdS/TiO2 nanorod heterojunction films. The characterization results showed that the CdS layer with fewer grain boundaries was conformally coated on the TiO2 nanorod surface and the formation mechanism has been explained in this manuscript. Moreover, the prepared CdS/TiO2 films show a high photocatalytic activity and the photocurrent density is as high as 9.65 mA cm‑2 at 0.80 V versus RHE. It may be attributed to fewer grain boundaries and a compact heterojunction contact, which can effectively improve charge separation and transportation.

  14. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    Energy Technology Data Exchange (ETDEWEB)

    Ravichandran, K., E-mail: kkr1365@yahoo.com [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Senthamilselvi, V. [P.G. and Research Department of Physics, AVVM Sri Pushpam College (Autonomous), Poondi, Thanjavur-613 503, Tamil Nadu (India); Department of Physics, Kunthavai Naachiyaar Government College for Women (Autonomous), Thanjavur-613 007, Tamil Nadu (India)

    2013-04-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  15. Effect of indium doping level on certain physical properties of CdS films deposited using an improved SILAR technique

    International Nuclear Information System (INIS)

    Ravichandran, K.; Senthamilselvi, V.

    2013-01-01

    The influence of indium (In) doping levels (0, 2, …, 8 at.%) on certain physical properties of cadmium sulphide (CdS) thin films deposited using an improved successive ionic layer adsorption and reaction (ISILAR) method has been studied. In this improved SILAR technique, a fresh anionic solution was introduced after a particular number of dipping cycles in order to achieve good stoichiometry. All the deposited films exhibited cubic phase with (1 1 1) plane as preferential orientation. The calculated crystallite size values are found to be decreased from 54.80 nm to 23.65 nm with the increase in In doping level. The optical study confirmed the good transparency (80%) of the film. A most compact and pinhole free smooth surface was observed for the CdS films with 8 at.% of In doping level. The perceived photoluminescence (PL) bands endorsed the lesser defect crystalline nature of the obtained CdS:In films. The chemical composition analysis (EDAX) showed the near stoichiometric nature of this ISILAR deposited CdS:In films.

  16. Use of CdS quantum dot-functionalized cellulose nanocrystal films for anti-counterfeiting applications

    Science.gov (United States)

    Chen, L.; Lai, C.; Marchewka, R.; Berry, R. M.; Tam, K. C.

    2016-07-01

    Structural colors and photoluminescence have been widely used for anti-counterfeiting and security applications. We report for the first time the use of CdS quantum dot (QD)-functionalized cellulose nanocrystals (CNCs) as building blocks to fabricate nanothin films via layer-by-layer (LBL) self-assembly for anti-counterfeiting applications. Both negatively- and positively-charged CNC/QD nanohybrids with a high colloidal stability and a narrow particle size distribution were prepared. The controllable LBL coating process was characterized by scanning electron microscopy and ellipsometry. The rigid structure of CNCs leads to nanoporous structured films on poly(ethylene terephthalate) (PET) substrates with high transmittance (above 70%) over the entire range of visible light and also resulted in increased hydrophilicity (contact angles of ~40 degrees). Nanothin films on PET substrates showed good flexibility and enhanced stability in both water and ethanol. The modified PET films with structural colors from thin-film interference and photoluminescence from QDs can be used in anti-counterfeiting applications.Structural colors and photoluminescence have been widely used for anti-counterfeiting and security applications. We report for the first time the use of CdS quantum dot (QD)-functionalized cellulose nanocrystals (CNCs) as building blocks to fabricate nanothin films via layer-by-layer (LBL) self-assembly for anti-counterfeiting applications. Both negatively- and positively-charged CNC/QD nanohybrids with a high colloidal stability and a narrow particle size distribution were prepared. The controllable LBL coating process was characterized by scanning electron microscopy and ellipsometry. The rigid structure of CNCs leads to nanoporous structured films on poly(ethylene terephthalate) (PET) substrates with high transmittance (above 70%) over the entire range of visible light and also resulted in increased hydrophilicity (contact angles of ~40 degrees). Nanothin films

  17. In situ, real-time thickness measurement techniques for bath-deposited CdS thin films on Cu(In,Ga)Se2

    International Nuclear Information System (INIS)

    Mann, Jonathan R.; Noufi, Rommel

    2012-01-01

    A technique has been developed that can measure the thickness of a 30–70 nm thin film of cadmium sulfide on a Cu(In,Ga)Se 2 substrate, in real time, as it grows in a chemical bath. The technique does not damage the film, and can be used to monitor batch depositions and roll-to-roll depositions with equal accuracy. The technique is based on reflectance spectroscopy through the chemical bath. - Highlights: ► Reflection spectra were collected during the chemical bath deposition of CdS. ► Two algorithms were generated to extract film thickness from each spectrum. ► Two conventional techniques were used to independently verify CdS film thicknesses. ► The accuracies of the algorithms are within 7% of the actual thicknesses. ► The algorithms offer in situ, real time thicknesses through the chemical bath.

  18. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    International Nuclear Information System (INIS)

    Gonzalez, G.; Krishnan, B.; Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K.; Shaji, S.

    2011-01-01

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  19. Modification of optical and electrical properties of chemical bath deposited CdS using plasma treatments

    Energy Technology Data Exchange (ETDEWEB)

    Gonzalez, G. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G. Alan; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, San Nicolas de los Garza, Nuevo Leon, C.P 66450 (Mexico); CIIDIT, Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2011-08-31

    Cadmium sulphide (CdS) is a well known n-type semiconductor that is widely used in solar cells. Here we report preparation and characterization of chemical bath deposited CdS thin films and modification of their optical and electrical properties using plasma treatments. CdS thin films were prepared from a chemical bath containing Cadmium chloride, Triethanolamine and Thiourea under various deposition conditions. Good quality thin films were obtained during deposition times of 5, 10 and 15 min. CdS thin films prepared for 10 min. were treated using a glow discharge plasma having nitrogen and argon carrier gases. The changes in morphology, optical and electrical properties of these plasma treated CdS thin films were analyzed in detail. The results obtained show that plasma treatment is an effective technique in modification of the optical and electrical properties of chemical bath deposited CdS thin films.

  20. Thermoelectric properties of ZnSb films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Venkatasubramanian, R; Watko, E; Colpitts, T

    1997-07-01

    The thermoelectric properties of ZnSb films grown by metallorganic chemical vapor deposition (MOCVD) are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the thicker ZnSb films offer improved carrier mobilities and lower free-carrier concentration levels. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 C. The thicker films, due to the lower doping levels, indicate higher Seebeck coefficients between 25 to 200 C. A short annealing of the ZnSb film at temperatures of {approximately}200 C results in reduced free-carrier level. Thermal conductivity measurements of ZnSb films using the 3-{omega} method are also presented.

  1. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  2. Effect of the cadmium ion source on the structural and optical properties of chemical bath deposited CdS thin films

    Science.gov (United States)

    Rami, M.; Benamar, E.; Fahoume, M.; Chraibi, F.; Ennaoui, A.

    1999-06-01

    The chemical bath deposition (CBD) technique has been successfully used to deposit cadmium sulphide from cadmium chloride and cadmium acetate as the cadmium ion source and thiourea as the sulphur source on both glass microscope slide and indium tin oxide coated glass substrates. Various properties of the films such as surface morphology, crystallinity, optical properties and resistivitiy have been investigated. XRD patterns reveal that the CdS films deposited from cadmium chloride have an hexagonal structure. Their preferential orientation changes from (002) to (100) with the thermal annealing. Films deposited from cadmium acetate are amorphous but improve their crystallinity with annealing. SEM analysis shows that the grains of the as deposited films are randomly shaped and appear to be bigger in the case of the CdS prepared from cadmium chloride. The optical transmission of the layers are in the 70-80 % range for wavelength above the band gap absorption which makes them more appropriate as window material in heterojunction solar cells.

  3. Effect of the cadmium ion source on the structural and optical properties of chemical bath deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rami, M.; Benamar, E.; Fahoume, M.; Chraibi, F.; Ennaoui, A. [University Mohamed V, Laboratory of Materials Physics, Dept., Faculty of Sciences, Rabat (Morocco)

    1999-06-01

    The chemical bath deposition (CBD) technique has been successfully used to deposit cadmium sulphide from cadmium chloride and cadmium acetate as the cadmium ion source and thiourea as the sulphur source on both glass microscope slide and indium tin oxide coated glass substrates. Various properties of the films such as surface morphology, crystallinity, optical properties and resistivity have been investigated. XRD patterns reveal that the CdS films deposited from cadmium chloride have an hexagonal structure. Their preferential orientation changes from (002) to (100) with the thermal annealing. Films deposited from cadmium acetate are amorphous but improve their crystallinity with annealing. SEM analysis shows that the grains of the as deposited films are randomly shaped and appear to be bigger in the case of the CdS prepared from cadmium chloride. The optical transmission of the layers are in the 70-80% range for wavelength above the band gap absorption which makes them more appropriate as window material in heterojunction solar cells. (authors)

  4. Analysis of the electrodeposition and surface chemistry of CdTe, CdSe, and CdS thin films through substrate-overlayer surface-enhanced Raman spectroscopy.

    Science.gov (United States)

    Gu, Junsi; Fahrenkrug, Eli; Maldonado, Stephen

    2014-09-02

    The substrate-overlayer approach has been used to acquire surface enhanced Raman spectra (SERS) during and after electrochemical atomic layer deposition (ECALD) of CdSe, CdTe, and CdS thin films. The collected data suggest that SERS measurements performed with off-resonance (i.e. far from the surface plasmonic wavelength of the underlying SERS substrate) laser excitation do not introduce perturbations to the ECALD processes. Spectra acquired in this way afford rapid insight on the quality of the semiconductor film during the course of an ECALD process. For example, SERS data are used to highlight ECALD conditions that yield crystalline CdSe and CdS films. In contrast, SERS measurements with short wavelength laser excitation show evidence of photoelectrochemical effects that were not germane to the intended ECALD process. Using the semiconductor films prepared by ECALD, the substrate-overlayer SERS approach also affords analysis of semiconductor surface adsorbates. Specifically, Raman spectra of benzenethiol adsorbed onto CdSe, CdTe, and CdS films are detailed. Spectral shifts in the vibronic features of adsorbate bonding suggest subtle differences in substrate-adsorbate interactions, highlighting the sensitivity of this methodology.

  5. Defects in Cu(InGa)Se2/CdS heterostructure films induced by hydrogen ion implantation

    International Nuclear Information System (INIS)

    Yakushev, M.V.; Tomlinson, R.D.; Hill, A.E.; Pilkington, R.D.; Mudryi, A.V.; Bondar, I.V.; Victorov, I.A.; Gremenok, V.F.; Shakin, I.A.; Patuk, A.I.

    1999-01-01

    The influence of H + ion implantation on the photoluminescence properties of Cu(InGa)Se 2 /CdS heterostructures has been studied. This treatment was found to increase the photoluminescence intensity of donor-acceptor band at 1.13 eV because of the passivation by hydrogen atoms of the non-radiative recombination centers on the boundary of Cu(InGa)Se 2 and CdS layers. Two broad bands peaks at 0.96 eV and at 0.82 eV in photoluminescence spectra of ion-implanted Cu(InGa)Se 2 films have been found. The tentative model to explain the origin of the broad photoluminescence bands has been discussed

  6. Physical properties of Bi doped CdTe thin films grown by CSVT and their influence on the CdS/CdTe solar cells PV-properties

    International Nuclear Information System (INIS)

    Vigil-Galan, O.; Sanchez-Meza, E.; Ruiz, C.M.; Sastre-Hernandez, J.; Morales-Acevedo, A.; Cruz-Gandarilla, F.; Aguilar-Hernandez, J.; Saucedo, E.; Contreras-Puente, G.; Bermudez, V.

    2007-01-01

    The physical properties of Bi doped CdTe films, grown on glass substrates by the Closed Space Transport Vapour (CSVT) method, from different Bi doped CdTe powders are presented. The CdTe:Bi films were characterized using Photoluminescence, Hall effect, X-Ray diffraction, SEM and Photoconductivity measurements. Moreover, CdS/CdTe:Bi solar cells were made and their characteristics like short circuit current density (J sc ), open circuit voltage (V OC ), fill factor (FF) and efficiency (η) were determined. These devices were fabricated from Bi doped CdTe layers deposited on CdS with the same growth conditions than those used for the single CdTe:Bi layers. A correlation between the CdS/CdTe:Bi solar cell characteristics and the physical properties of the Bi doped CdTe thin films are presented and discussed

  7. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  8. Electrical properties of ZnO thin films grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Pagni, O. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Somhlahlo, N.N. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Weichsel, C. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, P.O. Box 77000, Port Elizabeth 6031 (South Africa)]. E-mail: andrew.leitch@nmmu.ac.za

    2006-04-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies.

  9. Electrical properties of ZnO thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Pagni, O.; Somhlahlo, N.N.; Weichsel, C.; Leitch, A.W.R.

    2006-01-01

    We report on the electrical characterization of ZnO films grown by MOCVD on glass and sapphire substrates. After correcting our temperature variable Hall measurements by applying the standard two-layer model, which takes into account an interfacial layer, scattering mechanisms in the ZnO films were studied as well as donor activation energies determined. ZnO films grown at different oxygen partial pressures indicated the importance of growth conditions on the defect structure by means of their conductivities and conductivity activation energies

  10. Atomically flat platinum films grown on synthetic mica

    Science.gov (United States)

    Tanaka, Hiroyuki; Taniguchi, Masateru

    2018-04-01

    Atomically flat platinum thin films were heteroepitaxially grown on synthetic fluorophlogopite mica [KMg3(AlSi3O10)F2] by van der Waals epitaxy. Platinum films deposited on a fluorophlogopite mica substrate by inductively coupled plasma-assisted sputtering with oxygen introduction on a synthetic mica substrate resulted in the growth of twin single-crystalline epitaxial Pt(111) films.

  11. Confocal Raman and PL, AFM, and X-ray diffraction studies of CdS:O thin films

    International Nuclear Information System (INIS)

    Akinori, Suzuki; Kazuki, Wakita; YongGu, Shim; Nazim, Mamedov; Ayaz, Bayramov; Emil, Huseynov

    2010-01-01

    Full text : CdS has much attention as a window material of thin-film solar cells, for example a CdTe solar cell. In this case, increasing band gap of CdS films leads to rise of conversion efficiency of a solar cell. Recently, it was reported that CdS:O films deposited by rf magnetron sputtering consist of nano-crystals of CdS resulting in increasing the band gap. This work reports confocal Raman and photoluminescence (PL), atomic force microscopy (AFM), and X-ray diffraction studies of CdS:O films deposited by cathode sputtering for formation of nano-crystal of CdS. It was shown that AFM image of CdS:O films annealed at 300, 400 and 500 degrees Celsium. The height of peak and dip on the surface is in the range of 5 and 20 nm in the samples annealed at less than 400 degrees Celsium, while the clear crystalline shape appears in the sample annealed at 500 degrees Celsium. There is also shown X-ray diffraction pattern of CdS:O films. As grown film shows amorphous structure of CdS. On the other hand, the samples annealed at 400 and 500 degrees Celsium display obvious crystalline pattern. The crystal radius of the samples annealed at 300, 400, and 500 degrees Celsium were estimated to be 20, 27, and 37 nm, respectively, according to Scherrers formula. Other results related with the confocal spectroscopy will be also presented.

  12. Improved growth of solution-deposited thin films on polycrystalline Cu(In,Ga)Se{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), 70565, Stuttgart (Germany); Abou-Ras, Daniel [Helmholtz-Zentrum Berlin fuer Materialien und Energie, 14109, Berlin (Germany)

    2016-04-15

    CdS and Zn(O,S) grown by chemical bath deposition (CBD) are well established buffer materials for Cu(In,Ga)Se{sub 2} (CIGS) solar cells. As recently reported, a non-contiguous coverage of CBD buffers on CIGS grains with {112} surfaces can be detected, which was explained in terms of low surface energies of the {112} facets, leading to deteriorated wetting of the chemical solution on the CIGS surface. In the present contribution, we report on the effect of air annealing of CIGS thin films prior to the CBD of CdS and Zn(O,S) layers. In contrast to the growth on the as-grown CIGS layers, these buffer lay- ers grow densely on the annealed CIGS layer, even on grains with {112} surfaces. We explain the different growth behavior by increased surface energies of CIGS grains due to the annealing step, i.e., due to oxidation of the CIGS surface. Reference solar cells were processed and completed by i-ZnO/ZnO:Al layers for CdS and by (Zn,Mg)O/ZnO:Al for Zn(O,S) buffers. For solar cells with both, CdS and Zn(O,S) buffers, air-annealed CIGS films with improved buffer coverage resulted in higher power-conversion efficiencies, as compared with the devices containing as-grown CIGS layers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  13. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  14. Investigation of ZnTe thin films grown by Pulsed Laser Deposition method

    International Nuclear Information System (INIS)

    Kotlyarchuk, B.; Savchuk, V.

    2007-01-01

    This paper is devoted to optimization of the Pulsed Laser Deposition (PLD) growth condition of ZnTe films on various substrates and subsequent investigation of relevant parameters of growth process, structural, optical and electrical properties of grown films. Studies of the effect of growth parameters on the structural quality and properties of grown films were carried out. X-ray diffraction measurements showed that the ZnTe films, which have been deposited at optimal substrate temperatures, were characterized by a (111) preferred orientation with large average grain size. The optical transmission and reflectance in the energy range 1.5-5.5 eV for films grown at various substrate temperatures were measured. We calculated the variation in the absorption coefficient with the photon energy from the transmittance spectrum for samples grown at various substrate temperatures. Obtained data were analyzed and the value of the absorption coefficient, for allowed direct transitions, has been determined as a function of photon energy. We found that the undoped ZnTe films, which were grown by the PLD method, are typically p-type and possess resistivity in the range of 10 3 Ωcm at room temperature. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  15. Solar cells based on electrodeposited thin films of ZnS, CdS, CdSSe and CdTe

    Science.gov (United States)

    Weerasinghe, Ajith R.

    The motivations of this research were to produce increased efficiency and low-cost solar cells. The production efficiency of Si solar cells has almost reached their theoretical limit, and reducing the manufacturing cost of Si solar cells is difficult to achieve due to the high-energy usage in material purifying and processing stages. Due to the low usage of materials and input energy, thin film solar cells have the potential to reduce the costs. CdS/CdTe thin film solar cells are already the cheapest on $/W basis. The cost of CdTe solar cells can be further reduced if all the semiconducting layers are fabricated using the electrodeposition (ED) method. ED method is scalable, low in the usage of energy and raw materials. These benefits lead to the cost effective production of semiconductors. The conventional method of fabricating CdS layers produces Cd containing waste solutions routinely, which adds to the cost of solar cells.ZnS, CdS and CdS(i-X)Sex buffer and window layers and CdTe absorber layers have been successfully electrodeposited and explored under this research investigation. These layers were fully characterised using complementary techniques to evaluate the material properties. Photoelectrochemical (PEC) studies, optical absorption, X-ray diffraction (XRD), X-ray fluorescence (XRF), scanning electron microscopy (SEM), energy-dispersive X-ray (EDX) spectroscopy, atomic force microscopy (AFM) and Raman spectroscopy were utilised to evaluate the material properties of these solid thin film layers. ZnS and CdS thin film layers were electrodeposited from Na-free chemical precursors to avoid the group I element (Na) to reduce deterioration of CdTe devices. Deposition parameters such as, growth substrates, temperature, pH, growth cathodic voltage, stirring rate, time and chemical concentrations were identified to fabricate the above semiconductors. To further optimise these layers, a heat treatment process specific to the material was developed. In addition

  16. Post-annealing effects on pulsed laser deposition-grown GaN thin films

    International Nuclear Information System (INIS)

    Cheng, Yu-Wen; Wu, Hao-Yu; Lin, Yu-Zhong; Lee, Cheng-Che; Lin, Ching-Fuh

    2015-01-01

    In this work, the post-annealing effects on gallium nitride (GaN) thin films grown from pulsed laser deposition (PLD) are investigated. The as-deposited GaN thin films grown from PLD are annealed at different temperatures in nitrogen ambient. Significant changes of the GaN crystal properties are observed. Raman spectroscopy is used to observe the crystallinity, the change of residual stress, and the thermal decomposition of the annealed GaN thin films. X-ray diffraction is also applied to identify the crystal phase of GaN thin films, and the surface morphology of GaN thin films annealed at different temperatures is observed by scanning electron microscopy. Through the above analyses, the GaN thin films grown by PLD undergo three stages: phase transition, stress alteration, and thermal decomposition. At a low annealing temperature, the rock salt GaN in GaN films is transformed into wurtzite. The rock salt GaN diminishes with increasing annealing temperature. At a medium annealing temperature, the residual stress of the film changes significantly from compressive strain to tensile strain. As the annealing temperature further increases, the GaN undergoes thermal decomposition and the surface becomes granular. By investigating the annealing temperature effects and controlling the optimized annealing temperature of the GaN thin films, we are able to obtain highly crystalline and strain-free GaN thin films by PLD. - Highlights: • The GaN thin film is grown on sapphire by pulsed laser deposition. • The GaN film undergoes three stages with increasing annealing temperature. • In the first stage, the film transfers from rock salt to wurtzite phase. • In the second stage, the stress in film changes from compressive to tensile. • In the final stage, the film thermally decomposes and becomes granular

  17. Enhanced photoelectrochemical activity of electro-synthesized CdS-Bi2S3 composite films grown with self-designed cross-linked structure

    International Nuclear Information System (INIS)

    Jana, A.; Bhattacharya, C.; Datta, J.

    2010-01-01

    In the present investigation thin semiconductor films of CdS, Bi 2 S 3 and their intermixed composite films have been electro-synthesized onto conducting glass substrate from nonaqueous bath containing various levels of the precursor salts of Cd 2+ and Bi 3+ . Spectrophotometric measurements determine the band gap energies of the composite films at ∼2.53 eV and ∼1.37 eV corresponding to the binary systems CdS and Bi 2 S 3 , respectively. The film matrices exhibit a unique structure of cross-linked nanoporous Bi 2 S 3 mesh containing spherical shaped CdS crystals distributed uniformly on the top of the surface as detected from the morphological studies through scanning electron microscopy and transmission electron microscopy. X-ray diffraction studies show crystalline structure of the films of which the chemical compositions were determined through energy dispersive analysis of X-ray. The film matrices enriched with Cd exhibit high dielectric property as obtained from the capacitance measurement and substantial thermal stability derived from thermogravimetry and differential thermal analysis. These films are found to be highly fluorescent in nature when subjected to spectrofluorimetric analysis. The Raman spectral data exhibit characteristic peaks that are associated with Cd-S and Bi-S bonds as well as the defects created by metal oxides. The spectrum also demonstrates that the changes in the relative position of the overtone bands are associated with compositional variation of the film surface. The study of electrochemical polarization of different films, derives the inherent stability of the matrices towards dissolution. This was followed by anodic stripping voltammetry to estimate the dissolved cations during polarization. Photoelectrochemical measurements demonstrate n-type semiconductivity of the films with high order of donor density and reasonable photoactivity under illuminated condition. It may be summarized that the blended intermix of CdS-Bi 2 S 3

  18. Conformity and structure of titanium oxide films grown by atomic layer deposition on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jogi, Indrek [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)], E-mail: indrek.jogi@ut.ee; Paers, Martti; Aarik, Jaan; Aidla, Aleks [University of Tartu, Institute of Physics, Riia 142, 51014, Tartu (Estonia); Laan, Matti [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia); Sundqvist, Jonas; Oberbeck, Lars; Heitmann, Johannes [Qimonda Dresden GmbH and Co. OHG, Koenigsbruecker Strasse 180, 01099, Dresden (Germany); Kukli, Kaupo [University of Tartu, Institute of Experimental Physics and Technology, Taehe 4, 51010, Tartu (Estonia)

    2008-06-02

    Conformity and phase structure of atomic layer deposited TiO{sub 2} thin films grown on silicon substrates were studied. The films were grown using TiCl{sub 4} and Ti(OC{sub 2}H{sub 5}){sub 4} as titanium precursors in the temperature range from 125 to 500 {sup o}C. In all cases perfect conformal growth was achieved on patterned substrates with elliptical holes of 7.5 {mu}m depth and aspect ratio of about 1:40. Conformal growth was achieved with process parameters similar to those optimized for the growth on planar wafers. The dominant crystalline phase in the as-grown films was anatase, with some contribution from rutile at relatively higher temperatures. Annealing in the oxygen ambient resulted in (re)crystallization whereas the effect of annealing depended markedly on the precursors used in the deposition process. Compared to films grown from TiCl{sub 4}, the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} were transformed into rutile in somewhat greater extent, whereas in terms of step coverage the films grown from Ti(OC{sub 2}H{sub 5}){sub 4} remained somewhat inferior compared to the films grown from TiCl{sub 4}.

  19. Band alignment at the Cu{sub 2}ZnSn(S{sub x}Se{sub 1-x}){sub 4}/CdS interface

    Energy Technology Data Exchange (ETDEWEB)

    Haight, Richard; Barkhouse, Aaron; Gunawan, Oki; Shin, Byungha; Copel, Matt; Hopstaken, Marinus; Mitzi, David B [IBM TJ Watson Research Center, P.O. Box 218, Yorktown Hts., New York 10598 (United States)

    2011-06-20

    Energy band alignments between CdS and Cu{sub 2}ZnSn(S{sub x}Se{sub 1-x}){sub 4} (CZTSSe) grown via solution-based and vacuum-based deposition routes were studied as a function of the [S]/[S+Se] ratio with femtosecond laser ultraviolet photoelectron spectroscopy, photoluminescence, medium energy ion scattering, and secondary ion mass spectrometry. Band bending in the underlying CZTSSe layer was measured via pump/probe photovoltage shifts of the photoelectron spectra and offsets were determined with photoemission under flat band conditions. Increasing the S content of the CZTSSe films produces a valence edge shift to higher binding energy and increases the CZTSSe band gap. In all cases, the CdS conduction band offsets were spikes.

  20. Effects of ultraviolet light on B-doped CdS thin films prepared by spray pyrolysis method using perfume atomizer

    Science.gov (United States)

    Novruzov, V. D.; Keskenler, E. F.; Tomakin, M.; Kahraman, S.; Gorur, O.

    2013-09-01

    Boron doped CdS thin films were deposited by spray pyrolysis method using perfume atomizer. The effects of ultraviolet light on the structural, optical and electrical properties of B-doped CdS thin films were investigated as a function of dopant concentration (B/Cd). X-ray diffraction studies showed that all samples were polycrystalline nature with hexagonal structure. It was determined that the preferred orientation of non-illuminated samples changes from (1 0 1) to (0 0 2) with B concentration. The c lattice constant of films decreases from 6.810 Å to 6.661 Å with boron doping. The XRD peak intensity increased with the illumination for almost all the samples. The lattice parameters of B-doped samples remained nearly constant after illumination. It was found that the optical transmittance, photoluminescence spectra, resistivity and carrier concentration of the B-doped samples are stable after the illumination with UV light. Also the effects of UV light on B-doped CdS/Cu2S solar cell were investigated and it was determined that photoelectrical parameters of B-doped solar cell were more durable against the UV light.

  1. Effects of ultraviolet light on B-doped CdS thin films prepared by spray pyrolysis method using perfume atomizer

    Energy Technology Data Exchange (ETDEWEB)

    Novruzov, V.D. [Department of Physics, Recep Tayyip Erdogan University, Rize (Turkey); Keskenler, E.F., E-mail: keskenler@gmail.com [Department of Nanotechnology Engineering, Recep Tayyip Erdogan University, Rize (Turkey); Tomakin, M. [Department of Physics, Recep Tayyip Erdogan University, Rize (Turkey); Kahraman, S. [Department of Physics, Mustafa Kemal University, Hatay (Turkey); Gorur, O. [Department of Physics, Abant Izzet Baysal University, Bolu (Turkey)

    2013-09-01

    Boron doped CdS thin films were deposited by spray pyrolysis method using perfume atomizer. The effects of ultraviolet light on the structural, optical and electrical properties of B-doped CdS thin films were investigated as a function of dopant concentration (B/Cd). X-ray diffraction studies showed that all samples were polycrystalline nature with hexagonal structure. It was determined that the preferred orientation of non-illuminated samples changes from (1 0 1) to (0 0 2) with B concentration. The c lattice constant of films decreases from 6.810 Å to 6.661 Å with boron doping. The XRD peak intensity increased with the illumination for almost all the samples. The lattice parameters of B-doped samples remained nearly constant after illumination. It was found that the optical transmittance, photoluminescence spectra, resistivity and carrier concentration of the B-doped samples are stable after the illumination with UV light. Also the effects of UV light on B-doped CdS/Cu{sub 2}S solar cell were investigated and it was determined that photoelectrical parameters of B-doped solar cell were more durable against the UV light.

  2. Structural and morphological characterizations of ZnO films grown on GaAs substrates by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Agouram, S.; Zuniga Perez, J.; Munoz-Sanjose, V. [Universitat de Valencia, Departamento de Fisica Aplicada y Electromagnetismo, Burjassot (Spain)

    2007-07-15

    ZnO films were grown on GaAs(100), GaAs(111)A and GaAs(111)B substrates by metal organic chemical vapour deposition (MOCVD). Diethylzinc (DEZn) and tertiarybutanol (t-butanol) were used as Zn and O precursors, respectively. The influence of the growth temperature and GaAs substrate orientation on the crystalline orientation and morphology of the ZnO grown films has been analysed. Crystallinity of grown films was studied by X-ray diffraction (XRD); thickness and morphology of ZnO films were investigated by scanning electron microscopy (SEM). SEM results reveal significant differences between morphologies depending on growth temperature but not significant differences were detected on the texture of grown films. (orig.)

  3. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  4. Formation of CdS thin films in a chemical bath environment under the action of an external magnetic field

    International Nuclear Information System (INIS)

    Vaskes-Luna, Kh.G.; Zekhe, A.; Nhukhil'o-Garsiya, M.P.; Starostenko, O.

    2000-01-01

    The effect of external magnetic field on obtaining thin CdS films on glass sub layers through the method of chemical deposition from the cadmium chloride aqueous solution is studied. The intensity and direction of the magnetic field during deposition obviously affect the number of physical properties of polycrystalline films: thickness, grain size and optical quality. The films characteristics are studied through an atomic-power microscope, light absorption spectroscopy and conductometry in darkness. The results obtained are interpreted on the basis of notions on the cadmium and sulfur specific interaction in the chemical bath with a magnetic field [ru

  5. CdS nanoparticle sensitized titanium dioxide decorated graphene for enhancing visible light induced photoanode

    International Nuclear Information System (INIS)

    Yousefzadeh, S.; Faraji, M.; Nien, Y.T.; Moshfegh, A.Z.

    2014-01-01

    Highlights: • CdS nanoparticles were deposited on TiO 2 /graphene film by different SILAR cycles. • The visible light absorption increased due to graphene and CdS nanoparticles. • The highest photocurrent density was achieved for nanocomposite with 30 CdS cycles. • A mechanism has been suggested for nanocomposite photoanodes, significantly. - Abstract: CdS/TiO 2 /graphene (CTG) nanocomposite thin films were synthesized by a facile production route. The TiO 2 /graphene (TG) nanocomposite was initially fabricated by sol-gel method in such a way that TiO 2 nanoparticles loaded on graphene oxide (GO) sheet via photocatalytic process. Then, CdS nanoparticles were deposited on the TG thin film by successive ion layer adsorption and reaction process (SILAR) approach. Based on atomic force microscopy (AFM), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses, the TG thin film possessed a larger surface area as compared with the pure TiO 2 thin film due to presence of graphene sheet. UV/visible spectroscopy exhibited that visible absorption of the CTG samples increased with increasing CdS SILAR deposition cycle (n). Enhanced photocurrent response of the CTG(n) photoanodes measured as compared with the TG and T photoanodes due to good electrical conductivity and large surface area of graphene as well as the visible light-harvesting ability of CdS nanoparticles. Maximum photocurrent density of about 4.5 A/m 2 and electron life time of about 5 s was measured for the CTG(30) photoanodes

  6. CdS nanoparticle sensitized titanium dioxide decorated graphene for enhancing visible light induced photoanode

    Energy Technology Data Exchange (ETDEWEB)

    Yousefzadeh, S.; Faraji, M. [Physics Department, Sharif University of Technology, P.O. Box 11155-9161, Tehran (Iran, Islamic Republic of); Nien, Y.T. [Department of Materials Science and Engineering, National Formosa University, Taiwan (China); Moshfegh, A.Z., E-mail: moshfegh@sharif.edu [Physics Department, Sharif University of Technology, P.O. Box 11155-9161, Tehran (Iran, Islamic Republic of); Institute for Nanoscience and Nanotechnology, Sharif University of Technology, P.O. Box 14588-89694, Tehran (Iran, Islamic Republic of)

    2014-11-30

    Highlights: • CdS nanoparticles were deposited on TiO{sub 2}/graphene film by different SILAR cycles. • The visible light absorption increased due to graphene and CdS nanoparticles. • The highest photocurrent density was achieved for nanocomposite with 30 CdS cycles. • A mechanism has been suggested for nanocomposite photoanodes, significantly. - Abstract: CdS/TiO{sub 2}/graphene (CTG) nanocomposite thin films were synthesized by a facile production route. The TiO{sub 2}/graphene (TG) nanocomposite was initially fabricated by sol-gel method in such a way that TiO{sub 2} nanoparticles loaded on graphene oxide (GO) sheet via photocatalytic process. Then, CdS nanoparticles were deposited on the TG thin film by successive ion layer adsorption and reaction process (SILAR) approach. Based on atomic force microscopy (AFM), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) analyses, the TG thin film possessed a larger surface area as compared with the pure TiO{sub 2} thin film due to presence of graphene sheet. UV/visible spectroscopy exhibited that visible absorption of the CTG samples increased with increasing CdS SILAR deposition cycle (n). Enhanced photocurrent response of the CTG(n) photoanodes measured as compared with the TG and T photoanodes due to good electrical conductivity and large surface area of graphene as well as the visible light-harvesting ability of CdS nanoparticles. Maximum photocurrent density of about 4.5 A/m{sup 2} and electron life time of about 5 s was measured for the CTG(30) photoanodes.

  7. Influence of wide band gap oxide substrates on the photoelectrochemical properties and structural disorder of CdS nanoparticles grown by the successive ionic layer adsorption and reaction (SILAR) method.

    Science.gov (United States)

    Malashchonak, Mikalai V; Mazanik, Alexander V; Korolik, Olga V; Streltsov, Еugene А; Kulak, Anatoly I

    2015-01-01

    The photoelectrochemical properties of nanoheterostructures based on the wide band gap oxide substrates (ZnO, TiO2, In2O3) and CdS nanoparticles deposited by the successive ionic layer adsorption and reaction (SILAR) method have been studied as a function of the CdS deposition cycle number (N). The incident photon-to-current conversion efficiency (IPCE) passes through a maximum with the increase of N, which is ascribed to the competition between the increase in optical absorption and photocarrier recombination. The maximal IPCE values for the In2O3/CdS and ZnO/CdS heterostructures are attained at N ≈ 20, whereas for TiO2/CdS, the appropriate N value is an order of magnitude higher. The photocurrent and Raman spectroscopy studies of CdS nanoparticles revealed the occurrence of the quantum confinement effect, demonstrating the most rapid weakening with the increase of N in ZnO/CdS heterostructures. The structural disorder of CdS nanoparticles was characterized by the Urbach energy (E U), spectral width of the CdS longitudinal optical (LO) phonon band and the relative intensity of the surface optical (SO) phonon band in the Raman spectra. Maximal values of E U (100-120 meV) correspond to СdS nanoparticles on a In2O3 surface, correlating with the fact that the CdS LO band spectral width and intensity ratio for the CdS SO and LO bands are maximal for In2O3/CdS films. A notable variation in the degree of disorder of CdS nanoparticles is observed only in the initial stages of CdS growth (several tens of deposition cycles), indicating the preservation of the nanocrystalline state of CdS over a wide range of SILAR cycles.

  8. Influence of wide band gap oxide substrates on the photoelectrochemical properties and structural disorder of CdS nanoparticles grown by the successive ionic layer adsorption and reaction (SILAR method

    Directory of Open Access Journals (Sweden)

    Mikalai V. Malashchonak

    2015-11-01

    Full Text Available The photoelectrochemical properties of nanoheterostructures based on the wide band gap oxide substrates (ZnO, TiO2, In2O3 and CdS nanoparticles deposited by the successive ionic layer adsorption and reaction (SILAR method have been studied as a function of the CdS deposition cycle number (N. The incident photon-to-current conversion efficiency (IPCE passes through a maximum with the increase of N, which is ascribed to the competition between the increase in optical absorption and photocarrier recombination. The maximal IPCE values for the In2O3/CdS and ZnO/CdS heterostructures are attained at N ≈ 20, whereas for TiO2/CdS, the appropriate N value is an order of magnitude higher. The photocurrent and Raman spectroscopy studies of CdS nanoparticles revealed the occurrence of the quantum confinement effect, demonstrating the most rapid weakening with the increase of N in ZnO/CdS heterostructures. The structural disorder of CdS nanoparticles was characterized by the Urbach energy (EU, spectral width of the CdS longitudinal optical (LO phonon band and the relative intensity of the surface optical (SO phonon band in the Raman spectra. Maximal values of EU (100–120 meV correspond to СdS nanoparticles on a In2O3 surface, correlating with the fact that the CdS LO band spectral width and intensity ratio for the CdS SO and LO bands are maximal for In2O3/CdS films. A notable variation in the degree of disorder of CdS nanoparticles is observed only in the initial stages of CdS growth (several tens of deposition cycles, indicating the preservation of the nanocrystalline state of CdS over a wide range of SILAR cycles.

  9. Nano-architecture based photoelectrochemical water oxidation efficiency enhancement by CdS photoanodes

    Science.gov (United States)

    Pareek, Alka; Kim, Hyun Gyu; Paik, Pradip; Joardar, Joydip; Borse, Pramod H.

    2017-02-01

    In the present work, 2D nanostructuring has been utilized to impart an efficiency improvement to the hexagonal phase CdS films for the photoelectrochemical (PEC) cells those were deposited by spray pyrolysis technique. By controlling the aerosol droplet- size, population and impingement time during the spray pyrolysis deposition, various nano-features viz. randomly aligned nanorods, nanotubes and nanowires of CdS has been demonstrated for the first time. A growth mechanism has been proposed to predict the temporal evolution of the nanostructures. The prominent nanoscale structures show improved optical properties in the visible range of solar spectrum. The structural studies validate the morphological differences of nanostructures in terms of the texture coefficient analysis as well as 2D micro x-ray diffraction imaging. Electrochemical characterization is carried out to understand the effect of nanostructuring on the PEC performance of the CdS photoanodes in the sulphide (0.1 M Na2S  +  0.02 M Na2SO3) electrolyte at applied bias of 0.2 V (versus SCE). The evolution of morphology from randomly aligned rods to nanowire is responsible for improved photocurrent (3.5 times). CdS film morphology can be tuned to nanotubes, nano- rose buds and nanorod bunches even by doping Zn2+ ions in CdS lattice. Nano-structuring of doped CdS has shown enhanced performance of the photoanodes. The nanotubes structures yielded highest photocurrent density of 1.6 mA cm-2. Whereas modifying the 2D-nanostructured CdS film by simple MoO3 spray coating yields the photocurrent enhancement to 2.1 mA cm-2.

  10. Nanocrystalline magnetite thin films grown by dual ion-beam sputtering

    International Nuclear Information System (INIS)

    Prieto, Pilar; Ruiz, Patricia; Ferrer, Isabel J.; Figuera, Juan de la; Marco, José F.

    2015-01-01

    Highlights: • We have grown tensile and compressive strained nanocrystalline magnetite thin films by dual ion beam sputtering. • The magnetic and thermoelectric properties can be controlled by the deposition conditions. • The magnetic anisotropy depends on the crystalline grain size. • The thermoelectric properties depend on the type of strain induced in the films. • In plane uniaxial magnetic anisotropy develops in magnetite thin films with grain sizes ⩽20 nm. - Abstract: We have explored the influence of an ion-assisted beam in the thermoelectric and magnetic properties of nanocrystalline magnetite thin films grown by ion-beam sputtering. The microstructure has been investigated by XRD. Tensile and compressive strained thin films have been obtained as a function of the parameters of the ion-assisted beam. The evolution of the in-plane magnetic anisotropy was attributed to crystalline grain size. In some films, magneto-optical Kerr effect measurements reveal the existence of uniaxial magnetic anisotropy induced by the deposition process related with a small grain size (⩽20 nm). Isotropic magnetic properties have observed in nanocrystalline magnetite thin film having larger grain sizes. The largest power factor of all the films prepared (0.47 μW/K 2 cm), obtained from a Seebeck coefficient of −80 μV/K and an electrical resistivity of 13 mΩ cm, is obtained in a nanocrystalline magnetite thin film with an expanded out-of-plane lattice and with a grain size ≈30 nm

  11. Morphology and photoresponse of crystalline antimony film grown on mica by physical vapor deposition

    Directory of Open Access Journals (Sweden)

    Shafa Muhammad

    2016-09-01

    Full Text Available Antimony is a promising material for the fabrication of photodetectors. This study deals with the growth of a photosensitive thin film by the physical vapor deposition (PVD of antimony onto mica surface in a furnace tube. The geometry of the grown structures was studied via scanning electron microscopy (SEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDX and elemental diffraction analysis. XRD peaks of the antimony film grown on mica mostly matched with JCPDF Card. The formation of rhombohedral crystal structures in the film was further confirmed by SEM micrographs and chemical composition analysis. The Hall measurements revealed good electrical conductivity of the film with bulk carrier concentration of the order of 1022 Ω·cm-3 and mobility of 9.034 cm2/Vs. The grown film was successfully tested for radiation detection. The photoresponse of the film was evaluated using its current-voltage characteristics. These investigations revealed that the photosensitivity of the antimony film was 20 times higher than that of crystalline germanium.

  12. Soft-template synthesis of single-crystalline CdS dendrites.

    Science.gov (United States)

    Niu, Haixia; Yang, Qing; Tang, Kaibin; Xie, Yi; Zhu, Yongchun

    2006-01-01

    The single-crystalline CdS dendrites have been fabricated from the reaction of CdCl2 and thiourea at 180 degrees C, in which glycine was employed as a soft template. The obtained products were explored by X-ray diffraction, scanning electron microscopy, transmission electron microscopy, and selected area electronic diffraction. The optical properties of CdS dendrites have been investigated by ultraviolet and visible light (UV-vis) and photoluminescence techniques. The investigations indicated that the dendrites were grown due to the anisotropic properties enhanced by the use of Glycine in the route.

  13. Enhanced Photovoltaic Properties of the Solar Cells Based on Cosensitization of CdS and Hydrogenation

    Directory of Open Access Journals (Sweden)

    Hongcai He

    2015-01-01

    Full Text Available The hydrogenated TiO2 porous nanocrystalline film is modified with CdS quantum dots by successive ionic layer adsorption and reaction (SILAR method to prepare the cosensitized TiO2 solar cells by CdS quantum dots and hydrogenation. The structure and topography of the composite photoanode film were confirmed by X-ray diffraction and scanning electron microscopy. With deposited CdS nanoparticles, UV absorption spectra of H:TiO2 photoanode film indicated a considerably enhanced absorption in the visible region. The cosensitized TiO2 solar cell by CdS quantum dots and hydrogenation presents much better photovoltaic properties than either CdS sensitized TiO2 solar cells or hydrogenated TiO2 solar cells, which displays enhanced photovoltaic performance with power conversion efficiency (η of 1.99% (Jsc=6.26 mA cm−2, Voc=0.65 V, and FF = 0.49 under full one-sun illumination. The reason for the enhanced photovoltaic performance of the novel cosensitized solar cell is primarily explained by studying the Nyquist spectrums, IPCE spectra, dark current, and photovoltaic performances.

  14. Photosensitivity of nanocrystalline ZnO films grown by PLD

    International Nuclear Information System (INIS)

    Ayouchi, R.; Bentes, L.; Casteleiro, C.; Conde, O.; Marques, C.P.; Alves, E.; Moutinho, A.M.C.; Marques, H.P.; Teodoro, O.; Schwarz, R.

    2009-01-01

    We have studied the properties of ZnO thin films grown by laser ablation of ZnO targets on (0 0 0 1) sapphire (Al 2 O 3 ), under substrate temperatures around 400 deg. C. The films were characterized by different methods including X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and atomic force microscopy (AFM). XPS analysis revealed that the films are oxygen deficient, and XRD analysis with θ-2θ scans and rocking curves indicate that the ZnO thin films are highly c-axis oriented. All the films are ultraviolet (UV) sensitive. Sensitivity is maximum for the films deposited at lower temperature. The films deposited at higher temperatures show crystallite sizes of typically 500 nm, a high dark current and minimum photoresponse. In all films we observe persistent photoconductivity decay. More densely packed crystallites and a faster decay in photocurrent is observed for films deposited at lower temperature

  15. High quality TmIG films with perpendicular magnetic anisotropy grown by sputtering

    Science.gov (United States)

    Wu, C. N.; Tseng, C. C.; Yeh, S. L.; Lin, K. Y.; Cheng, C. K.; Fanchiang, Y. T.; Hong, M.; Kwo, J.

    Ferrimagnetic thulium iron garnet (TmIG) films grown on gadolinium gallium garnet substrates recently showed stress-induced perpendicular magnetic anisotropy (PMA), attractive for realization of quantum anomalous Hall effect (QAHE) of topological insulator (TI) films via the proximity effect. Moreover, current induced magnetization switching of Pt/TmIG has been demonstrated for the development of room temperature (RT) spintronic devices. In this work, high quality TmIG films (about 25nm) were grown by sputtering at RT followed by post-annealing. We showed that the film composition is tunable by varying the growth parameters. The XRD results showed excellent crystallinity of stoichiometric TmIG films with an out-of-plane lattice constant of 1.2322nm, a narrow film rocking curve of 0.017 degree, and a film roughness of 0.2 nm. The stoichiometric films exhibited PMA and the saturation magnetization at RT was 109 emu/cm3 (RT bulk value 110 emu/cm3) with a coercive field of 2.7 Oe. In contrast, TmIG films of Fe deficiency showed in-plane magnetic anisotropy. The high quality sputtered TmIG films will be applied to heterostructures with TIs or metals with strong spin-orbit coupling for novel spintronics.

  16. On the doping problem of CdTe films: The bismuth case

    Energy Technology Data Exchange (ETDEWEB)

    Vigil-Galan, O. [Escuela Superior de Fisica y Matematicas del IPN, Edif. 9, UPALM, 07738 Mexico, D. F. (Mexico); Brown, M. [Department of Physics and Astronomy, The University of Toledo, 43606 Toledo, OH (United States); Ruiz, C.M. [Depto. Fisica de Materiales, Universidad Autonoma de Madrid, 28049 Madrid (Spain); Vidal-Borbolla, M.A. [Instituto de Investigacion en Comunicacion Optica, Av. Karakorum 1470, Lomas 4a. Secc., 78210 San Luis Potosi, SLP (Mexico); Ramirez-Bon, R. [CINVESTAV-IPN, U. Queretaro, Libramiento Norponiente No. 2000, Fracc. Real de Juriquilla, 76230 Santiago de Queretaro, Qro. (Mexico); Sanchez-Meza, E. [Escuela Superior de Fisica y Matematicas del IPN, Edif. 9, UPALM, 07738 Mexico, D. F. (Mexico); Tufino-Velazquez, M. [Escuela Superior de Fisica y Matematicas del IPN, Edif. 9, UPALM, 07738 Mexico, D. F. (Mexico)], E-mail: mtufinovel@yahoo.com.mx; Calixto, M. Estela [Escuela Superior de Fisica y Matematicas del IPN, Edif. 9, UPALM, 07738 Mexico, D. F. (Mexico); Compaan, A.D. [Department of Physics and Astronomy, The University of Toledo, 43606 Toledo, OH (United States); Contreras-Puente, G. [Escuela Superior de Fisica y Matematicas del IPN, Edif. 9, UPALM, 07738 Mexico, D. F. (Mexico)

    2008-08-30

    The controlled increase of hole concentration is an important issue and still an unsolved problem for polycrystalline CdTe-based solar cells. The typical hole concentration of as-grown CdTe thin-films goes up to 10{sup 13} cm{sup -3}, depending on the specific growth technique. The highest electron concentration obtained for CdS, the suitable window partner material of CdTe, is around 10{sup 15} cm{sup -3}. Thus, the PV-performance of a CdS/CdTe device can be optimized if the hole concentration in CdTe is increased. We have faced up this problem by studying the electrical properties of two types of CdTe films: CdTe films grown by Close Space Vapor Transport using a CdTe:Bi powder as the starting material and CdTe sputtered films doped by implantation with different Bi-doses. Temperature-dependent resistivity and Hall effect measurements and a discussion on the efficiency of both doping processes are presented.

  17. On the doping problem of CdTe films: The bismuth case

    International Nuclear Information System (INIS)

    Vigil-Galan, O.; Brown, M.; Ruiz, C.M.; Vidal-Borbolla, M.A.; Ramirez-Bon, R.; Sanchez-Meza, E.; Tufino-Velazquez, M.; Calixto, M. Estela; Compaan, A.D.; Contreras-Puente, G.

    2008-01-01

    The controlled increase of hole concentration is an important issue and still an unsolved problem for polycrystalline CdTe-based solar cells. The typical hole concentration of as-grown CdTe thin-films goes up to 10 13 cm -3 , depending on the specific growth technique. The highest electron concentration obtained for CdS, the suitable window partner material of CdTe, is around 10 15 cm -3 . Thus, the PV-performance of a CdS/CdTe device can be optimized if the hole concentration in CdTe is increased. We have faced up this problem by studying the electrical properties of two types of CdTe films: CdTe films grown by Close Space Vapor Transport using a CdTe:Bi powder as the starting material and CdTe sputtered films doped by implantation with different Bi-doses. Temperature-dependent resistivity and Hall effect measurements and a discussion on the efficiency of both doping processes are presented

  18. Novel patterning of CdS / CdTe thin film with back contacts for photovoltaic application

    Science.gov (United States)

    Ilango, Murugaiya Sridar; Ramasesha, Sheela K.

    2018-04-01

    The heterostructure of patterned CdS / CdTe thin films with back contact have been devised with electron beam lithography and fabricated using sputter deposition technique. The metallic contacts for n-CdS and p-CdTe are patterned such that both are placed at the bottom of the cell. This avoids losses due to contact shading and increases absorption in the window layer. Patterning of the device surface helps in increasing the junction area which can modulate the absorption of more number of photons due to total internal reflection. Computing the surface area between a planar and a patterned device has revealed 133% increase in the junction area. The physical and optical properties of the sputter-deposited CdS / CdTe layers are also presented. J- V characteristics of the solar cell showed the fill factor to be 25.9%, open circuit voltage to be 17 mV and short-circuit current density to be 113.68 A/m2. The increase in surface area is directly related to the increase in the short circuit current of the photovoltaic cell, which is observed from the results of simulated model in Atlas / Silvaco.

  19. Structural analysis of CdS thin films obtained by multiple dips of oscillating chemical bath

    Energy Technology Data Exchange (ETDEWEB)

    Gutierrez Lazos, C.D. [Seccion de Electronica del Estado Solido, Centro de Investigacion y de Estudios Avanzados, Av. Instituto Politecnico Nacional 2508, Col. San Pedro Zacatenco, 07360 Mexico, D.F. (Mexico); Rosendo, E., E-mail: erosendo@siu.buap.m [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur y San Claudio, Col. San Manuel, C.P. 72570, Puebla (Mexico); Ortega, M. [Seccion de Electronica del Estado Solido, Centro de Investigacion y de Estudios Avanzados, Av. Instituto Politecnico Nacional 2508, Col. San Pedro Zacatenco, 07360 Mexico, D.F. (Mexico); Oliva, A.I. [Departamento de Fisica Aplicada, Centro de Investigacion y de Estudios Avanzados, Unidad Merida, A.P. 73 Cordemex, 97310 Merida, Yucatan (Mexico); Tapia, O.; Diaz, T.; Juarez, H.; Garcia, G. [Centro de Investigacion en Dispositivos Semiconductores, Universidad Autonoma de Puebla, 14 Sur y San Claudio, Col. San Manuel, C.P. 72570, Puebla (Mexico); Rubin, M. [Facultad de Ciencias de la Computacion, 14 Sur y San Claudio, Col. San Manuel, C.P. 72570, Puebla (Mexico)

    2009-11-25

    Highly oriented CdS thin films with thicknesses greater than 1 mum were deposited by multiple dips, using oscillating chemical bath deposition (OCBD) at the bath temperature of 75 deg. C, and deposition time ranging from 15 to 75 min for a single dip. Samples with different thickness were prepared by repeating the deposition process for two and three times. The films deposited by a single dip have the alpha-greenockite structure showing the (0 0 2) as preferred orientation, as indicated by the X-ray diffraction measurements. This notable characteristic is preserved in the samples obtained from two or three dips. The crystallite size for the samples deposited by a single dip depends on the deposition time, because it varied from 23 to 37 nm as the deposition time increased. Nevertheless for samples deposited by two and three dips, the grain size shows no noticeable change, being about 22 nm.

  20. Yttria and ceria doped zirconia thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saporiti, F.; Juarez, R. E., E-mail: cididi@fi.uba.ar [Grupo de Materiales Avanzados, Facultad de Ingenieria, Universidad de Buenos Aires (Argentina); Audebert, F. [Consejo Nacional de Investigaciones Cientificas y Tecnicas (CONICET) (Argentina); Boudard, M. [Laboratoire des Materiaux et du Genie Physique (CNRS), Grenoble (France)

    2013-11-01

    The Yttria stabilized Zirconia (YSZ) is a standard electrolyte for solid oxide fuel cells (SOFCs), which are potential candidates for next generation portable and mobile power sources. YSZ electrolyte thin films having a cubic single phase allow reducing the SOFC operating temperature without diminishing the electrochemical power density. Films of 8 mol% Yttria stabilized Zirconia (8YSZ) and films with addition of 4 weight% Ceria (8YSZ + 4CeO{sub 2}) were grown by pulsed laser deposition (PLD) technique using 8YSZ and 8YSZ + 4CeO{sub 2} targets and a Nd-YAG laser (355 nm). Films have been deposited on Soda-Calcia-Silica glass and Si(100) substrates at room temperature. The morphology and structural characteristics of the samples have been studied by means of X-ray diffraction and scanning electron microscopy. Films of a cubic-YSZ single phase with thickness in the range of 1-3 Micro-Sign m were grown on different substrates (author)

  1. Characterization of nanostructured photosensitive (NiS){sub x}(CdS){sub (1-x)} composite thin films grown by successive ionic layer adsorption and reaction (SILAR) route

    Energy Technology Data Exchange (ETDEWEB)

    Ubale, A.U., E-mail: ashokuu@yahoo.com [Nanostructured Thin Film Materials Laboratory, Department of Physics, Govt. Vidarbha Institute of Science and Humanities, Amravati 444604, Maharashtra (India); Bargal, A.N. [Nanostructured Thin Film Materials Laboratory, Department of Physics, Govt. Vidarbha Institute of Science and Humanities, Amravati 444604, Maharashtra (India)

    2011-07-15

    Highlights: {yields} Thin films of (NiS){sub x}(CdS){sub (1-x)} with variable composition (x = 1 to 0) were deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. {yields} The structural, surface morphological and electrical characterizations of the as deposited and annealed films were studied. {yields} The bandgap and activation energy of annealed (NiS){sub x}(CdS){sub (1-x)} film decrease with improvement in photosensitive nature. -- Abstract: Recently ternary semiconductor nanostructured composite materials have attracted the interest of researchers because of their photovoltaic applications. Thin films of (NiS){sub x}(CdS){sub (1-x)} with variable composition (x = 1-0) had been deposited onto glass substrates by the successive ionic layer adsorption and reaction (SILAR) method. As grown and annealed films were characterised by X-ray diffraction, scanning electron microscopy and EDAX to investigate structural and morphological properties. The (NiS){sub x}(CdS){sub (1-x)} films were polycrystalline in nature having mixed phase of rhombohedral and hexagonal crystal structure due to NiS and CdS respectively. The optical and electrical properties of (NiS){sub x}(CdS){sub (1-x)} thin films were studied to determine compsition dependent bandgap, activation energy and photconductivity. The bandgap and activation energy of annealed (NiS){sub x}(CdS){sub (1-x)} film decrease with improvement in photosensitive nature.

  2. Local electrical properties of thermally grown oxide films formed on duplex stainless steel surfaces

    Science.gov (United States)

    Guo, L. Q.; Yang, B. J.; He, J. Y.; Qiao, L. J.

    2018-06-01

    The local electrical properties of thermally grown oxide films formed on ferrite and austenite surfaces of duplex stainless steel at different temperatures were investigated by Current sensing atomic force microscopy, X-ray Photoelectron Spectroscopy (XPS) and Auger Electron Spectroscopy (AES). The current maps and XPS/AES analyses show that the oxide films covering austenite and ferrite surfaces formed at different temperatures exhibit different local electrical characteristics, thickness and composition. The dependence of electrical conductivity of oxide films covering austenite and ferrite surface on the formation temperature is attributed to the film thickness and semiconducting structures, which is intrinsically related to thermodynamics and kinetics process of film grown at different temperature. This is well elucidated by corresponding semiconductor band structures of oxide films formed on austenite and ferrite phases at different temperature.

  3. Alkaline-doped manganese perovskite thin films grown by MOCVD

    International Nuclear Information System (INIS)

    Bibes, M.; Gorbenko, O.; Martinez, B.; Kaul, A.; Fontcuberta, J.

    2000-01-01

    We report on the preparation and characterization of La 1-x Na x MnO 3 thin films grown by MOCVD on various single-crystalline substrates. Under appropriate conditions epitaxial thin films have been obtained. The Curie temperatures of the films, which are very similar to those of bulk samples of similar composition, reflect the residual strain caused by the substrate. The anisotropic magnetoresistance AMR of the films has been analyzed in some detail, and it has been found that it has a two-fold symmetry at any temperature. Its temperature dependence mimics that of the electrical resistivity and magnetoresistance measured at similar fields, thus suggesting that the real structure of the material contributes to the measured AMR besides the intrinsic component

  4. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  5. Structure and magnetism of ultrathin Co and Fe films epitaxially grown on Pd/Cu(0 0 1)

    International Nuclear Information System (INIS)

    Lu, Y.F.; Przybylski, M.; Yan, L.; Barthel, J.; Meyerheim, H.L.; Kirschner, J.

    2005-01-01

    A contribution originating from the Co/Pd and Fe/Pd interfaces to the magneto-optical Kerr effect (MOKE) rotation is analyzed for Co and/or Fe films grown on a Pd-buffer-monolayer on Cu(0 0 1). A clear increase of the MOKE signal in comparison to the Co(Fe) films grown directly on Cu(0 0 1) is detected. An interpretation is supported by similar observations for Co films grown on Pd(1 1 0) and Pd(0 0 1). In particular, the sign reversal of the Kerr loops with increasing thickness of the Co(Fe) films is discussed. Magneto-optical effects are separated from the real magnetization and its dependence on the film thickness

  6. Planar heterojunction perovskite solar cell based on CdS electron transport layer

    KAUST Repository

    Abulikemu, Mutalifu

    2017-07-02

    We report on planar heterojunction perovskite solar cells employing a metal chalcogenide (CdS) electron transport layer with power conversion efficiency up to 10.8%. The CdS layer was deposited via solution-process chemical bath deposition at low-temperature (60°C). Pinhole-free and uniform thin films were obtained with good structural, optical and morphological properties. An optimal layer thickness of 60nm yielded an improved open-circuit voltage and fill factor compared to the standard TiO2-based solar cells. Devices showed a higher reproducibility of the results compared to TiO2-based ones. We also tested the effect of annealing temperature on the CdS film and the effect of CdCl2 treatment followed by high temperature annealing (410°C) that is expected to passivate the surface, thus eliminating eventual trap-states inducing recombination.

  7. Planar heterojunction perovskite solar cell based on CdS electron transport layer

    KAUST Repository

    Abulikemu, Mutalifu; Barbe, Jeremy; El Labban, Abdulrahman; Eid, Jessica; Del Gobbo, Silvano

    2017-01-01

    We report on planar heterojunction perovskite solar cells employing a metal chalcogenide (CdS) electron transport layer with power conversion efficiency up to 10.8%. The CdS layer was deposited via solution-process chemical bath deposition at low-temperature (60°C). Pinhole-free and uniform thin films were obtained with good structural, optical and morphological properties. An optimal layer thickness of 60nm yielded an improved open-circuit voltage and fill factor compared to the standard TiO2-based solar cells. Devices showed a higher reproducibility of the results compared to TiO2-based ones. We also tested the effect of annealing temperature on the CdS film and the effect of CdCl2 treatment followed by high temperature annealing (410°C) that is expected to passivate the surface, thus eliminating eventual trap-states inducing recombination.

  8. Enhanced Performance of DSSCs Based on the Insertion Energy Level of CdS Quantum Dots

    Directory of Open Access Journals (Sweden)

    Xiaoping Zou

    2014-01-01

    Full Text Available Cadmium sulfide (CdS quantum dots (QDs are assembled onto the TiO2 films by chemical bath deposition method (CBD. And the QDs size is controlled by the times of CBD cycles. They are characterized by UV-visible absorption. To avoid the photo corrosion and electrolyte corrosion, CdS and N719 are sequentially assembled onto the nanocrystalline TiO2 films to prepare a CdS/N719 cosensitized photo electrode for the dye-sensitized solar cells. In the structure of TiO2/CdS/N719 electrode, the reorganization of energy levels between CdS and N719 forms a stepwise structure of band-edge levels which is advantageous to the electron injection and hole recovery of CdS and N719 QDs. The open circuit voltage (Voc, short circuit current density (Jsc, and efficiency are increased.

  9. Thermoelectric properties of ZnSb films grown by MOCVD

    International Nuclear Information System (INIS)

    Venkatasubramanian, R.; Watko, E.; Colpitts, T.

    1997-04-01

    The thermoelectric properties of metallorganic chemical vapor deposited (MOCVD) ZnSb films are reported. The growth conditions necessary to obtain stoichiometric ZnSb films and the effects of various growth parameters on the electrical conductivity and Seebeck coefficients of the films are described. The as-grown ZnSb films are p-type. It was observed that the growth of thicker ZnSb films lead to improved carrier mobilities and lower free-carrier concentrations. The Seebeck coefficient of ZnSb films was found to rise rapidly at approximately 160 to 170 C, with peak Seebeck coefficients as high as 470 microV/K at 220 C. The various growth conditions, including the use of intentional dopants, to improve the Seebeck coefficients at room temperature and above, are discussed. A short annealing of the ZnSb films at temperatures of ∼ 200 C resulted in reduced free-carrier levels and higher Seebeck coefficients at 300 K. Finally, ZT values based on preliminary thermal conductivity measurements using the 3-ω method are reported

  10. Crystalline thin films of transition metal hexacyanochromates grown under Langmuir monolayer

    International Nuclear Information System (INIS)

    Bagkar, Nitin; Choudhury, Sipra; Kim, Kyung-Hee; Chowdhury, Prasanta; Lee, Sung-Ik; Yakhmi, J.V.

    2006-01-01

    Crystalline films of cobalt, nickel and iron hexacyanochromates (analogues of Prussian blue) were grown at air-water interface using a surfactant monolayer as a template. These films were transferred on suitable substrates and characterized by X-ray diffraction (XRD), cyclic voltammetry and magnetization measurements. XRD patterns confirmed the formation of oriented crystals in {100} direction for all these films. Magnetization data on nickel and iron hexacyanochromate films indicated ferromagnetic behaviour below Curie temperatures of 72 and 21 K, respectively. The methodology adopted by us to grow crystalline films is useful in obtaining magnetic thin films of analogues of Prussian blue with interesting magnetic properties with respect to transition temperatures and nature of magnetic ordering

  11. Photoemission electronic states of epitaxially grown magnetite films

    International Nuclear Information System (INIS)

    Zalecki, R.; Kolodziejczyk, A.; Korecki, J.; Spiridis, N.; Zajac, M.; Kozlowski, A.; Kakol, Z.; Antolak, D.

    2007-01-01

    The valence band photoemission spectra of epitaxially grown 300 A single crystalline magnetite films were measured by the angle-resolved ultraviolet photoemission spectroscopy (ARUPS) at 300 K. The samples were grown either on MgO(0 0 1) (B termination) or on (0 0 1) Fe (iron-rich A termination), thus intentionally presenting different surface stoichiometry, i.e. also different surface electronic states. Four main features of the electron photoemission at about -1.0, -3.0, -5.5 and -10.0 eV below a chemical potential show systematic differences for two terminations; this difference depends on the electron outgoing angle. Our studies confirm sensitivity of angle resolved PES technique on subtleties of surface states

  12. Structural and optical properties of ZnO films grown on silicon and ...

    Indian Academy of Sciences (India)

    TECS

    Abstract. Photoluminescence (PL) properties of undoped ZnO thin films grown by rf magnetron sputtering on silicon .... voluted O1 s and (c) typical Zr 3d spectra of ZrO2/ZnO/Si film. .... strate doping concentration (NB) of ≈ 2⋅5 × 1015 cm–3 is.

  13. Investigation into CdS nanocrystal growth regularities in silicate glass and in the thin films SiO{sub 2} at the initial stages of solid solution phase decomposi8tion; Issledovanie zakonomernostej rosta nanokristalov CdS v silikatnom stekle i v tonkikh plenkakh SiO{sub 2} na nachal`nykh stadiyakh fazovogo raspada tverdogo rastvora

    Energy Technology Data Exchange (ETDEWEB)

    Gurevich, S A; Ekimov, A I; Kudryavtsev, I A [AN SSSR, Leningrad (Russian Federation). Fiziko-Tekhnicheskij Inst.

    1994-05-01

    Regularities of CdS semiconductor hanocrystal growth in amorphous media (silicate glasses and SiO{sub 2} thin films) are investigated. Dependences of crystal mean dimension on the annealing time show that in accordance with the theory of phase decomposition the crystal growth has the successive stages of nuclei formation and diffusion growth. By means of the nuclei mean radius dependences on the annealing temperature are determined the temperatures of CdS solubility in the matrix material. Effect of the annealing atmosphere composition on the growth and optical properties of CdS nanocrystals is shown.

  14. Effects of substrate material on carbon films grown by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Liu, M.; Xu, X.Y.; Man, B.Y.; Kong, D.M.; Xu, S.C.

    2012-01-01

    Highlights: ► We prepared tri-layers by laser molecular beam epitaxy (LMBE) on sapphire substrate. ► We found that the formation of the graphene film has a strong relation to the structure and properties of the substrate. ► The different carbon film formation mechanism of the buffer layers can affect the morphology of the film. - Abstract: The carbon thin films were grown on different substrates with different buffer layers by laser molecular beam epitaxy (LMBE) with a high purity graphite carbon target. A UV pulsed KrF excimer laser with a wavelength of 248 nm was used as laser source. The structure, surface morphology and other properties of the carbon thin films were characterized by Raman spectroscopy, transmission electron microscopy (TEM), selected area electron diffraction (SAED) and atomic force microscopy (AFM). The results show that the properties of the carbon thin films and the formation of the graphene film have a strong relation to the structure and properties of the substrate. The substrate with a hexagonal wurtzite structure which is similar to the hexagonal honeycomb structure of the carbon atoms arranged in the graphene is more beneficial for the formation of the graphene thin film. In our experiment conditions, the carbon films grown on sapphire substrates with different buffer layers have an ordered structure and a smooth surface, and form high quality tri-layer graphene films.

  15. Photovoltaic performance enhancement of CdS quantum dot-sensitized TiO2 photoanodes with plasmonic gold nanoparticles

    International Nuclear Information System (INIS)

    Liu, Aiping; Ren, Qinghua; Zhao, Ming; Xu, Tao; Yuan, Ming; Zhao, Tingyu; Tang, Weihua

    2014-01-01

    Highlights: • CdS QD-sensitized TiO 2 porous photoanode with plasmonic gold. • A prominent light absorption enhancement of hybrid was attained by gold plasmon. • The photovoltaic response of hybrid was tunable by CdS amount. • The Au/TiO 2 /CdS hybrid had a potential application in energy conversion devices. -- Abstract: The CdS quantum dot-sensitized TiO 2 films with plasmonic gold nanoparticles were designed as photoanodes by the electrodeposition of gold combined with the “successive ionic layer adsorption and reaction” (SILAR) method for CdS deposition on porous TiO 2 films. A prominent enhancement in light absorption of Au/TiO 2 /CdS hybrid was attained by efficient light scattering of gold plasmons as sub-wavelength antennas and concentrators. The photogenerated electron formed in the near-surface region of TiO 2 and CdS were facilitated to transfer to the plasmonic gold, resulting in the enhancement of photocurrent and incident photon-to-current conversion efficiency of hybrid photoanode upon photoirradiation. Furthermore, the photovoltaic response of hybrid was highly tunable with respect to the number of SILAR cycles applied to deposit CdS. The thicker absorber layer with less porous structure and larger CdS crystals might limit the electrolyte diffusion into the hybrid electrode and impose a barrier for electron tunneling and transferring. The highly versatile and tunable properties of Au/TiO 2 /CdS photoanodes demonstrated their potential application in energy conversion devices

  16. MOVPE of InN films on GaN templates grown on sapphire and silicon(111) substrates

    International Nuclear Information System (INIS)

    Jamil, Muhammad; Arif, Ronald A.; Ee, Yik-Khoon; Tong, Hua; Tansu, Nelson; Higgins, John B.

    2008-01-01

    This paper reports the study of MOVPE of InN on GaN templates grown on sapphire and silicon(111) substrates. Thermodynamic analysis of MOVPE of InN performed using NH 3 as nitrogen source and the experimental findings support the droplet-free epitaxial growth of InN under high V/III ratios of input precursors. At a growth pressure of 500 Torr, the optimum growth temperature and V/III ratio of the InN film are 575-650 C and >3 x 10 5 , respectively. The surface RMS roughness of InN film grown GaN/sapphire template is ∝0.3 nm on 2 μm x 2 μm area, while the RMS roughness of the InN film grown on GaN/Si(111) templates is found as ∝0.7 nm. The X-ray diffraction (XRD) measurement reveals the (0002) texture of the InN film on GaN/sapphire template with a FWHM of 281 arcsec of the InN(0002) ω rocking curve. For the film grown on GaN/Si template under identical growth conditions, the XRD measurements show the presence of metallic In, in addition to the (0002) orientation of InN layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. Studies on structural, optical, and photoelectric properties of CdS{sub 1-x}Se{sub x} films fabricated by selenization of chemical bath deposited CdS films

    Energy Technology Data Exchange (ETDEWEB)

    Lu, Tianyu; Gu, Han; Ge, Zhenhua; Zhang, Lei; Wang, Zhicheng; Fang, Yong; Han, Zhida; Qian, Bin; Jiang, Xuefan [Department of Physics, Changshu Institute of Technology, Changshu (China); Wu, Wangping [School of Mechanical Engineering, Changzhou University, Changzhou (China)

    2017-02-15

    In this paper, high-photosensitive CdS{sub 1-x}Se{sub x} films are synthesized by a two-step technique, which includes the chemical bath deposition of CdS films and a following selenization process. The structural, optical, and photoelectric properties of the CdS{sub 1-x}Se{sub x} films were investigated. With the substitution of selenium for sulfur atoms, grain sizes of the as-prepared CdS{sub 1-x}Se{sub x} films are effectively enlarged and reach the scales of the films thickness when the selenization temperature exceeds 450 C. With increasing the selenization temperature from 350 to 550 C, the band gaps of CdS{sub 1-x}Se{sub x} films gradually decrease from 2.37 to 1.82 eV. Under the co-action of the grain-size enlargement and band-gap decrease, the CdS{sub 1-x}Se{sub x} films fabricated at 450 C show very pronounced photosensitivity. Noteworthy, the ratio of photo to dark conductivity of the CdS{sub 1-x}Se{sub x} film selenized at 450 C reaches 1.1 x 10{sup 5}, suggesting a promising application potential in the photoelectric devices. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  19. Europium and samarium doped calcium sulfide thin films grown by PLD

    International Nuclear Information System (INIS)

    Christoulakis, S.; Suchea, M; Katsarakis, N.; Koudoumas, E

    2007-01-01

    Europium and samarium doped calcium sulfide thin films (CaS:Eu,Sm) with different thickness were prepared by the pulsed laser deposition technique using sintered targets. A typical homemade deposition chamber and XeCl excimer laser (308 nm) were employed and the films were deposited in helium atmosphere onto silicon and corning glass substrates. Structural investigations carried out by X-ray diffraction and atomic force microscopy showed a strong influence of the deposition parameters on the film properties. The films grown had an amorphous or polycrystalline structure depending on growth temperature and the number of pulses used, the same parameters affecting the film roughness, the grain shape and dimensions, the film thickness and the optical transmittance. This work indicates that pulsed laser deposition can be a suitable technique for the preparation of CaS:Eu,Sm thin films, the film characteristics being controlled by the growth conditions

  20. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  1. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  2. Photovoltaic performance enhancement of CdS quantum dot-sensitized TiO{sub 2} photoanodes with plasmonic gold nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Aiping, E-mail: liuaiping1979@gmail.com [Center for Optoelectronics Materials and Devices, Zhejiang Sci-Tech University, Hangzhou 310018 (China); State Key Laboratory of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Ren, Qinghua; Zhao, Ming; Xu, Tao; Yuan, Ming; Zhao, Tingyu [Center for Optoelectronics Materials and Devices, Zhejiang Sci-Tech University, Hangzhou 310018 (China); Tang, Weihua [State Key Laboratory of Information Photonics and Optical Communication, Beijing University Posts and Telecommunications, Beijing 100876 (China)

    2014-03-15

    Highlights: • CdS QD-sensitized TiO{sub 2} porous photoanode with plasmonic gold. • A prominent light absorption enhancement of hybrid was attained by gold plasmon. • The photovoltaic response of hybrid was tunable by CdS amount. • The Au/TiO{sub 2}/CdS hybrid had a potential application in energy conversion devices. -- Abstract: The CdS quantum dot-sensitized TiO{sub 2} films with plasmonic gold nanoparticles were designed as photoanodes by the electrodeposition of gold combined with the “successive ionic layer adsorption and reaction” (SILAR) method for CdS deposition on porous TiO{sub 2} films. A prominent enhancement in light absorption of Au/TiO{sub 2}/CdS hybrid was attained by efficient light scattering of gold plasmons as sub-wavelength antennas and concentrators. The photogenerated electron formed in the near-surface region of TiO{sub 2} and CdS were facilitated to transfer to the plasmonic gold, resulting in the enhancement of photocurrent and incident photon-to-current conversion efficiency of hybrid photoanode upon photoirradiation. Furthermore, the photovoltaic response of hybrid was highly tunable with respect to the number of SILAR cycles applied to deposit CdS. The thicker absorber layer with less porous structure and larger CdS crystals might limit the electrolyte diffusion into the hybrid electrode and impose a barrier for electron tunneling and transferring. The highly versatile and tunable properties of Au/TiO{sub 2}/CdS photoanodes demonstrated their potential application in energy conversion devices.

  3. Interfacial Properties of CZTS Thin Film Solar Cell

    Directory of Open Access Journals (Sweden)

    N. Muhunthan

    2014-01-01

    Full Text Available Cu-deficient CZTS (copper zinc tin sulfide thin films were grown on soda lime as well as molybdenum coated soda lime glass by reactive cosputtering. Polycrystalline CZTS film with kesterite structure was produced by annealing it at 500°C in Ar atmosphere. These films were characterized for compositional, structural, surface morphological, optical, and transport properties using energy dispersive X-ray analysis, glancing incidence X-ray diffraction, Raman spectroscopy, scanning electron microscopy, atomic force microscopy, UV-Vis spectroscopy, and Hall effect measurement. A CZTS solar cell device having conversion efficiency of ~0.11% has been made by depositing CdS, ZnO, ITO, and Al layers over the CZTS thin film deposited on Mo coated soda lime glass. The series resistance of the device was very high. The interfacial properties of device were characterized by cross-sectional SEM and cross-sectional HRTEM.

  4. Use of carbon dots to enhance UV-blocking of transparent nanocellulose films.

    Science.gov (United States)

    Feng, Xin; Zhao, Yafei; Jiang, Yaoquan; Miao, Miao; Cao, Shaomei; Fang, Jianhui

    2017-04-01

    High-efficient transparent UV-blocking nanocellulose (NC) films were successfully assembled by pressured-extrusion of the composites of carbon dots (CDs), 2,2,6,6-tetramethyl-1-piperidinyloxy (TEMPO) radical mediated oxidized nanocellulose (ONC) and ZnO nanostructures. ONC nanofibrils were firstly extracted from bamboo fibers and subsequently prepared by applying TEMPO oxidation. The as-obtained CDs-ONC-ZnO films exhibited high visible light transparency, excellent thermal stability and enhanced UV-blocking properties. Compared to the previously designed NC-ZnO films, CDs-ONC-ZnO films presented significant increase of UV-blocking ratio (UVR) with the same amounts of ZnO. Moreover, the UVR of CDs-ONC-s-ZnO film with 4wt% sheet-like ZnO (s-ZnO) at 300nm and 225nm is 92.74% and 98.99%, better than the same condition of CDs-ONC-b-ZnO film added with belt-like ZnO (b-ZnO) and CDs-ONC-p-ZnO film added with commercial particulate ZnO (p-ZnO). An interesting discovery is that when adding 4wt% p-ZnO, the UVR of CDs-ONC-p-ZnO film is very close to the value of NC-s-ZnO film with the same amount of s-ZnO. Copyright © 2017 Elsevier Ltd. All rights reserved.

  5. Synthesis and crystalline properties of CdS incorporated polyvinylidene fluoride (PVDF) composite film

    Science.gov (United States)

    Patel, Arunendra Kumar; Sunder, Aishwarya; Mishra, Shweta; Bajpai, Rakesh

    2018-05-01

    This paper gives an insight on the synthesis and crystalline properties of Polyvinylidene Fluoride (PVDF) (host matrix) composites impregnated with Cadmium Sulphide (CdS) using Dimethyl formamide (DMF) as the base, prepared by the well known solvent casting technique. The effect of doping concentration of CdS in to the PVDF matrix was studied using X-ray diffraction technique. The structural properties like crystallinity Cr, interplanar distance d, average size of the crystalline region (D), and average inter crystalline separation (R) have been estimated for the developed composite. The crystallinity index, crystallite size and inter crystalline separation is increasing with increase in the concentration of CdS in to the PVDF matrix while the interplanar distance d is decreasing.

  6. Band-gap and sub-band-gap photoelectrochemical processes at nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Malashchonak, M.V.; Streltsov, E.A.; Mazanik, A.V.; Kulak, A.I.; Poznyak, S.K.; Stroyuk, O.L.; Kuchmiy, S.Ya.; Gaiduk, P.I.

    2015-01-01

    Cadmium sulfide nanoparticle (NP) deposition by the successive ionic layer adsorption and reaction (SILAR) method on the surface of mesoporous ZnO micro-platelets with a large specific surface area (110 ± 10 m 2 g −1 ) results in the formation of ZnO/CdS heterostructures exhibiting a high incident photon-to-current conversion efficiency (Y) not only within the region of CdS fundamental absorption (Y max = 90%; 0.1 M Na 2 S + 0.1 M Na 2 SO 3 ), but also in the sub-band-gap (SBG) range (Y max = 25%). The onset potentials of SBG photoelectrochemical processes are more positive than the band-gap (BG) onset potential by up to 100 mV. A maximum incident photon-to-current conversion efficiency value for SBG processes is observed at larger amount of deposited CdS in comparison with the case of BG ones. The Urbach energy (E U ) of CdS NPs determined from the photocurrent spectra reaches a maximal value on an early deposition stage (E U = 93 mV at SILAR cycle number N = 5), then lowers somewhat (E U = 73 mV at N = 10) and remains steady in the range of N from 20 to 300 (E U = 67 ± 1 mV). High efficiency of the photoelectrochemical SBG processes are interpreted in terms of light scattering in the ZnO/CdS heterostructures. - Highlights: • ZnO/CdS films demonstrate high quantum efficiency (25%) for sub-band-gap transitions. • Onset photocurrent potentials for sub-band-gap processes differ than those for band-gap ones. • Sub-band-gap transitions are caused by band-tail states in CdS nanoparticles

  7. Band-gap and sub-band-gap photoelectrochemical processes at nanocrystalline CdS grown on ZnO by successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Malashchonak, M.V., E-mail: che.malasche@gmail.com [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Streltsov, E.A., E-mail: streltea@bsu.by [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Mazanik, A.V. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Kulak, A.I., E-mail: kulak@igic.bas-net.by [Institute of General and Inorganic Chemistry, National Academy of Sciences of Belarus, Surganova str., 9/1, Minsk 220072 (Belarus); Poznyak, S.K. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus); Stroyuk, O.L., E-mail: stroyuk@inphyschem-nas.kiev.ua [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 prosp. Nauky, 03028 Kyiv (Ukraine); Kuchmiy, S.Ya. [L.V. Pysarzhevsky Institute of Physical Chemistry of National Academy of Sciences of Ukraine, 31 prosp. Nauky, 03028 Kyiv (Ukraine); Gaiduk, P.I. [Belarusian State University, Nezalezhnastsi Av. 4, Minsk 220030 (Belarus)

    2015-08-31

    Cadmium sulfide nanoparticle (NP) deposition by the successive ionic layer adsorption and reaction (SILAR) method on the surface of mesoporous ZnO micro-platelets with a large specific surface area (110 ± 10 m{sup 2}g{sup −1}) results in the formation of ZnO/CdS heterostructures exhibiting a high incident photon-to-current conversion efficiency (Y) not only within the region of CdS fundamental absorption (Y{sub max} = 90%; 0.1 M Na{sub 2}S + 0.1 M Na{sub 2}SO{sub 3}), but also in the sub-band-gap (SBG) range (Y{sub max} = 25%). The onset potentials of SBG photoelectrochemical processes are more positive than the band-gap (BG) onset potential by up to 100 mV. A maximum incident photon-to-current conversion efficiency value for SBG processes is observed at larger amount of deposited CdS in comparison with the case of BG ones. The Urbach energy (E{sub U}) of CdS NPs determined from the photocurrent spectra reaches a maximal value on an early deposition stage (E{sub U} = 93 mV at SILAR cycle number N = 5), then lowers somewhat (E{sub U} = 73 mV at N = 10) and remains steady in the range of N from 20 to 300 (E{sub U} = 67 ± 1 mV). High efficiency of the photoelectrochemical SBG processes are interpreted in terms of light scattering in the ZnO/CdS heterostructures. - Highlights: • ZnO/CdS films demonstrate high quantum efficiency (25%) for sub-band-gap transitions. • Onset photocurrent potentials for sub-band-gap processes differ than those for band-gap ones. • Sub-band-gap transitions are caused by band-tail states in CdS nanoparticles.

  8. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  9. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  10. Nano-Crystalline Diamond Films with Pineapple-Like Morphology Grown by the DC Arcjet vapor Deposition Method

    Science.gov (United States)

    Li, Bin; Zhang, Qin-Jian; Shi, Yan-Chao; Li, Jia-Jun; Li, Hong; Lu, Fan-Xiu; Chen, Guang-Chao

    2014-08-01

    A nano-crystlline diamond film is grown by the dc arcjet chemical vapor deposition method. The film is characterized by scanning electron microscopy, high-resolution transmission electron microscopy (HRTEM), x-ray diffraction (XRD) and Raman spectra, respectively. The nanocrystalline grains are averagely with 80 nm in the size measured by XRD, and further proven by Raman and HRTEM. The observed novel morphology of the growth surface, pineapple-like morphology, is constructed by cubo-octahedral growth zones with a smooth faceted top surface and coarse side surfaces. The as-grown film possesses (100) dominant surface containing a little amorphous sp2 component, which is far different from the nano-crystalline film with the usual cauliflower-like morphology.

  11. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  12. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Science.gov (United States)

    Ojima, T.; Tainosho, T.; Sharmin, S.; Yanagihara, H.

    2018-04-01

    Real-time in situ reflection high energy electron diffraction (RHEED) observations of Fe3O4, γ-Fe2O3, and (Co,Fe)3O4 films on MgO(001) substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE) and pulsed laser deposition (PLD) experiments. This suggests that the layer-by-layer growth of spinel ferrite (001) films is general in most physical vapor deposition (PVD) processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  13. RHEED oscillations in spinel ferrite epitaxial films grown by conventional planar magnetron sputtering

    Directory of Open Access Journals (Sweden)

    T. Ojima

    2018-04-01

    Full Text Available Real-time in situ reflection high energy electron diffraction (RHEED observations of Fe3O4, γ-Fe2O3, and (Co,Fe3O4 films on MgO(001 substrates grown by a conventional planar magnetron sputtering was studied. The change in periodical intensity of the specular reflection spot in the RHEED images of three different spinel ferrite compounds grown by two different sputtering systems was examined. The oscillation period was found to correspond to the 1/4 unit cell of each spinel ferrite, similar to that observed in molecular beam epitaxy (MBE and pulsed laser deposition (PLD experiments. This suggests that the layer-by-layer growth of spinel ferrite (001 films is general in most physical vapor deposition (PVD processes. The surfaces of the films were as flat as the surface of the substrate, consistent with the observed layer-by-layer growth process. The observed RHEED oscillation indicates that even a conventional sputtering method can be used to control film thickness during atomic layer depositions.

  14. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  15. Effect of Ag film thickness on the optical and the electrical properties in CuAlO2/Ag/CuAlO2 multilayer films grown on glass substrates

    International Nuclear Information System (INIS)

    Oh, Dohyun; No, Young Soo; Kim, Su Youn; Cho, Woon Jo; Kwack, Kae Dal; Kim, Tae Whan

    2011-01-01

    Research highlights: The CuAlO 2 /Ag/CuAlO 2 multilayer films were grown on glass substrates using radio-frequency magnetron sputtering at room temperature. Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. The morphology Ag films with a thickness of 8 nm was uniform. The morphology of the Ag films inserted in the CuAlO 2 films significantly affected the optical transmittance and the resistivity of the CuAlO 2 films deposited on glass substrates. The maximum transmittance of the CuAlO 2 /Ag/CuAlO 2 multilayer films with a thickness of 8 nm was 89.16%. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films with an Ag film thickness of 18 nm was as small as about 2.8 x 10 -5 Ω cm. The resistivity of the CuAlO 2 /Ag/CuAlO 2 multilayer films was decreased as a result of the thermal annealing treatment. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as TCO films in solar cells. - Abstract: Effects of Ag film thickness on the optical and the electrical properties in CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates were investigated. Atomic force microscopy images showed that Ag films with a thickness of a few nanometers had island structures. X-ray diffraction patterns showed that the phase of the CuAlO 2 layer was amorphous. The resistivity of the 40 nm-CuAlO 2 /18 nm-Ag/40 nm-CuAlO 2 multilayer films was 2.8 x 10 -5 Ω cm, and the transmittance of the multilayer films with an Ag film thickness of 8 nm was approximately 89.16%. These results indicate that CuAlO 2 /Ag/CuAlO 2 multilayer films grown on glass substrates hold promise for potential applications as

  16. Annealing Effect on the Structural and Optical Properties of Sputter-Grown Bismuth Titanium Oxide Thin Films

    Directory of Open Access Journals (Sweden)

    José E. Alfonso

    2014-04-01

    Full Text Available The aim of this work is to assess the evolution of the structural and optical properties of BixTiyOz films grown by rf magnetron sputtering upon post-deposition annealing treatments in order to obtain good quality films with large grain size, low defect density and high refractive index similar to that of single crystals. Films with thickness in the range of 220–250 nm have been successfully grown. After annealing treatment at 600 °C the films show excellent transparency and full crystallization. It is shown that to achieve larger crystallite sizes, up to 17 nm, it is better to carry the annealing under dry air than under oxygen atmosphere, probably because the nucleation rate is reduced. The refractive index of the films is similar under both atmospheres and it is very high (n =2.5 at 589 nm. However it is still slightly lower than that of the single crystal value due to the polycrystalline morphology of the thin films.

  17. Characterization of ZnO thin films grown on different p-Si substrate elaborated by solgel spin-coating method

    Energy Technology Data Exchange (ETDEWEB)

    Chebil, W., E-mail: Chbil.widad@live.fr [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-chimie des Matériaux, Unité de Service Commun de Recherche “High resolution X-ray diffractometer”, Département de Physique, Université de Monastir, Faculté des Sciences de Monastir, Avenue de l’Environnement, 5019 Monastir (Tunisia); Institut Supérieur des Sciences Appliquées et de Technologie de Sousse, Université de Sousse (Tunisia); Fargi, A. [Laboratoire de Microélectronique et Instrumentation, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l’environnement, 5019 Monastir (Tunisia); Azeza, B.; Zaaboub, Z. [Laboratoire Micro-Optoélectroniques et Nanostructures, Faculté des Sciences de Monastir, Université de Monastir, Avenue de l' environnement, 5019 Monastir (Tunisia); and others

    2015-10-15

    Highlights: • High quality ZnO thin films grown on different p-Si substrates were successful obtained by sol–gel process. • PL measurement revealed that ZnO thin film grown on porous Si has the better optical quality. • I–V characteristics for all heterojunctions exhibit successful diode formation. • The diode ZnO/PSi shows a better photovoltaic effect under illumination with a maximum {sub Voc} of 0.2 V. - Abstract: In this study, ZnO thin films are deposited by sol–gel technique on p-type crystalline silicon (Si) with [100] orientation, etched silicon and porous silicon. The structural analyses showed that the obtained thin films were polycrystalline with a hexagonal wurtzite structure and preferentially oriented along the c-axis direction. Morphological study revealed the presence of rounded and facetted grains irregularly distributed on the surface of all samples. PL spectra at room temperature revealed that ZnO thin film grown on porous Si has a strong UV emission with low defects in the visible region comparing with ZnO grown on plat Si and etched Si surface. The heterojunction parameters were evaluated from the (I–V) under dark and illumination at room temperature. The ideality factor, barrier height and series resistance of heterojunction grown on different p-Si substrates are determined by using different methods. Best electrical properties are obtained for ZnO layer deposited on porous silicon.

  18. Optical characterization of a-Si:H thin films grown by Hg-Photo-CVD

    International Nuclear Information System (INIS)

    Barhdadi, A.; Karbal, S.; M'Gafad, N.; Benmakhlouf, A.; Chafik El Idrissi, M.; Aka, B.M.

    2006-08-01

    Mercury-Sensitized Photo-Assisted Chemical Vapor Deposition (Hg-Photo-CVD) technique opens new possibilities for reducing thin film growth temperature and producing novel semiconductor materials suitable for the future generation of high efficiency thin film solar cells onto low cost flexible plastic substrates. This paper provides some experimental data resulting from the optical characterization of hydrogenated amorphous silicon thin films grown by this deposition technique. Experiments have been performed on both as-deposited layers and thermal annealed ones. (author) [fr

  19. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  20. Effect of growth temperature on defects in epitaxial GaN film grown by plasma assisted molecular beam epitaxy

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2014-02-01

    Full Text Available We report the effect of growth temperature on defect states of GaN epitaxial layers grown on 3.5 μm thick GaN epi-layer on sapphire (0001 substrates using plasma assisted molecular beam epitaxy. The GaN samples grown at three different substrate temperatures at 730, 740 and 750 °C were characterized using atomic force microscopy and photoluminescence spectroscopy. The atomic force microscopy images of these samples show the presence of small surface and large hexagonal pits on the GaN film surfaces. The surface defect density of high temperature grown sample is smaller (4.0 × 108 cm−2 at 750 °C than that of the low temperature grown sample (1.1 × 109 cm−2 at 730 °C. A correlation between growth temperature and concentration of deep centre defect states from photoluminescence spectra is also presented. The GaN film grown at 750 °C exhibits the lowest defect concentration which confirms that the growth temperature strongly influences the surface morphology and affects the optical properties of the GaN epitaxial films.

  1. Photovoltaic and Impedance Spectroscopy Study of Screen-Printed TiO₂ Based CdS Quantum Dot Sensitized Solar Cells.

    Science.gov (United States)

    Atif, M; Farooq, W A; Fatehmulla, Amanullah; Aslam, M; Ali, Syed Mansoor

    2015-01-19

    Cadmium sulphide (CdS) quantum dot sensitized solar cells (QDSSCs) based on screen-printed TiO₂ were assembled using a screen-printing technique. The CdS quantum dots (QDs) were grown by using the Successive Ionic Layer Adsorption and Reaction (SILAR) method. The optical properties were studied by UV-Vis absorbance spectroscopy. Photovoltaic characteristics and impedance spectroscopic measurements of CdS QDSSCs were carried out under air mass 1.5 illuminations. The experimental results of capacitance against voltage indicate a trend from positive to negative capacitance because of the injection of electrons from the Fluorine doped tin oxide (FTO) electrode into TiO₂.

  2. Photoluminescence properties of ZnO thin films grown by using the hydrothermal technique

    International Nuclear Information System (INIS)

    Sahoo, Trilochan; Jang, Leewoon; Jeon, Juwon; Kim, Myoung; Kim, Jinsoo; Lee, Inhwan; Kwak, Joonseop; Lee, Jaejin

    2010-01-01

    The photoluminescence properties of zinc-oxide thin films grown by using the hydrothermal technique have been investigated. Zinc-oxide thin films with a wurtzite symmetry and c-axis orientation were grown in aqueous solution at 90 .deg. C on sapphire substrates with a p-GaN buffer layer by using the hydrothermal technique. The low-temperature photoluminescence analysis revealed a sharp bound-exciton-related luminescence peak at 3.366 eV with a very narrow peak width. The temperature-dependent variations of the emission energy and of the integrated intensity were studied. The activation energy of the bound exciton complex was calculated to be 7.35 ± 0.5 meV from the temperature dependent quenching of the integral intensities.

  3. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    Science.gov (United States)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  4. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  5. Physical properties of SnS thin films grown by hot wall deposition

    International Nuclear Information System (INIS)

    Gremenok, V.; Ivanov, V.; Bashkirov, S.; Unuchak, D.; Lazenka, V.; Bente, K.; Tashlykov, I.; Turovets, A.

    2010-01-01

    Full text : Recently, considerable effort has been invested to gain a better and deeper knowledge of structural and physical properties of metal chalcogenide semiconductors because of their potential application in electrical and photonic devices. Among them, tin sulphide (SnS) has attracted attention because of band gap of 1.3 eV and an absorption coefficient greater than 10 4 cm - 1. Additionally, by using tin sulfide compounds for photovoltaic devices, the production costs are decreased, because these materials are cheap and abundant in nature. For the sythesis of SnS thin films by hot wall deposition, SnS ingots were used as the source materials synthesized from high purity elements (99.999 percent). The thin films were grown onto glass at substrate temperatures between 220 and 380 degrees Celsium. The thickness of the films was in the range of 1.0 - 2.5 μm. The crystal structure and crystalline phases of the materials were studied by XRD using a Siemens D-5000 diffractometer with CuK α (λ = 1.5418 A) radiation. In order to consider instrumental error, the samples were coated by Si powder suspended in acetone. The composition and surface morphology of thin films were investigated by electron probe microanalysis (EPMA) using a CAMECA SX-100, a scanning electron microscope JEOL 6400 and an atomic force microscope (AFM, Model: NT 206), respectively. Depth profiling was performed by Auger electron spectroscopy (AES) using a Perkin Elmer Physical Electronics 590. The electrical resistivity was studied by van der Pauw four-probe technique using silver paste contact. The optical transmittance was carried out using a Varian Cary 50 UV - VIS spectrophotometer in the range 500 - 2000 nm. The as-grown films exhibited a composition with a Sn/S at. percent ratio of 1.06. The AES depth profiles revealed relatively uniform composition through the film thickness. The XRD analysis of the SnS films showed that they were monophase (JCPDS 39-0354), polycrystalline with

  6. Peeling off effects in vertically aligned Fe3C filled carbon nanotubes films grown by pyrolysis of ferrocene

    Science.gov (United States)

    Boi, Filippo S.; Medranda, Daniel; Ivaturi, Sameera; Wang, Jiayu; Guo, Jian; Lan, Mu; Wen, Jiqiu; Wang, Shanling; He, Yi; Mountjoy, Gavin; Willis, Maureen A. C.; Xiang, Gang

    2017-06-01

    We report the observation of an unusual self-peeling effect which allows the synthesis of free standing vertically aligned carbon nanotube films filled with large quantities of Fe3C and small quantities of γ-Fe crystals. We demonstrate that this effect depends on the interplay of three main factors: (1) the physical interactions between the chosen substrate surface and grown carbon nanotubes (CNTs), which is fixed by the composition of the used substrate (111 SiO2/Si or quartz), (2) the CNT-CNT Van der Waals interactions, and (3) the differential thermal contraction between the grown CNT film and the used substrate, which is fixed by the cooling rate differences between the grown film and the used quartz or Si/SiO2 substrates. The width and stability of these films are then further increased to cm-scale by addition of small quantities of toluene to the ferrocene precursor.

  7. Observation of melting in 30 angstrom diameter CdS nanocrystals

    International Nuclear Information System (INIS)

    Goldstein, A.N.; Colvin, V.L.; Alivisatos, A.P.

    1991-01-01

    In this paper temperature dependent electron diffraction studies on 30 Angstrom diameter CdS nanocrystals are described. The linear thermal expansion coefficient of the nanocrystals is 2.75 * 10 -5 Angstrom/K, and the melting point is 575 K. These data are in contrast to bulk CdS which has a melting point of 1750 K and a linear expansion coefficient of 5.5 * 10 -6 Angstrom/K. The observed depression in the melting point of these semiconductor clusters is similar to effects observed in metals and molecular crystals, indicating that the phenomenon of reduced melting point in small systems is a general one regardless of the type of material. The observation of melting point depression in these clusters also has far reaching implications for the preparation of highly crystalline clusters of CdS, as well as for the use of these nanocrystals as precursors to thin films

  8. The α-particle excited scintillation response of YAG:Ce thin films grown by liquid phase epitaxy

    International Nuclear Information System (INIS)

    Prusa, Petr; Nikl, Martin; Mares, Jiri A.; Nitsch, Karel; Beitlerova, Alena; Kucera, Miroslav

    2009-01-01

    Y 3 Al 5 O 12 :Ce (YAG:Ce) thin films were grown from PbO-,BaO-, and MoO 3 -based fluxes using the liquid phase epitaxy (LPE) method. Photoelectron yield, its time dependence within 0.5-10 μs shaping time, and energy resolution of these samples were measured under α-particle excitation. For comparison a sample of the Czochralski grown bulk YAG:Ce single crystal was measured as well. Photoelectron yield values of samples grown from the BaO-based flux were found superior to other LPE films and comparable with that of the bulk single crystal. The same is valid also for the time dependence of photoelectron yield. Obtained results are discussed taking into account the influence of the flux and technology used. Additionally, α particle energy deposition in very thin films is modelled and discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Electrophysical properties of CdS layers obtained by pulverization method and annealed in hydrogen ambience; Proprietati electrofizice ale straturilor de CdS obtinute prin metoda pulverizarii cu tratare termica in hidrogen

    Energy Technology Data Exchange (ETDEWEB)

    Botnariuc, Vasile; Gorceac, Leonid; Coval, Andrei; Cinic, Boris; Chetrus, Petru; Raevschi, Semion; Banu, Semion [Univ. de Stat din Moldova, Chisinau (Moldova, Republic of)

    2012-07-15

    CdS layers were grown from the aqueous solution of CdCl{sub 2}/(NH{sub 2}){sub 2} CS having a molarity of 0,1 M by pulverization method in the temperature range of (250-450) degrees Celsius. The layers were heat treated in a hydrogen flow during 20 min at the temperatures of 350 degrees Celsius and 450 degrees Celsius. Electro- physical properties and photoluminescence of these layers were studied. For the layers deposited at 450 degrees Celsius a slight decrease of charge carriers concentration is observed with the increase of treatment temperature up to 450 degrees Celsius. The photoluminescence spectrum consists of a large band in the energy interval from 1,6 eV to 2,6 eV. A photoluminescence peak with the energy of 1,95 eV is observed, which is shifting with CdS layer growth temperature increase and if reaches the value of 2,5 eV for the layers grown at the temperature of 450 degrees Celsius. (authors)

  10. As-grown enhancement of spinodal decomposition in spinel cobalt ferrite thin films by Dynamic Aurora pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Debnath, Nipa [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Physics, Jagannath University, Dhaka 1100 (Bangladesh); Kawaguchi, Takahiko; Kumasaka, Wataru [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Das, Harinarayan [Materials Science Division, Atomic Energy Centre, Dhaka 1000 (Bangladesh); Shinozaki, Kazuo [School of Materials and Chemical Technology, Tokyo Institute of Technology, Tokyo 152-8550 (Japan); Sakamoto, Naonori [Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Suzuki, Hisao [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan); Wakiya, Naoki, E-mail: wakiya.naoki@shizuoka.ac.jp [Graduate School of Science and Technology, Shizuoka University, Hamamatsu 432-8561 (Japan); Department of Electronics and Materials Science, Shizuoka University, Hamamatsu 432-8561 (Japan); Research Institute of Electronics, Shizuoka University, Hamamatsu 432-8561 (Japan)

    2017-06-15

    Highlights: • As-grown enhancement of spinodal decomposition (SD) in Co{sub x}Fe{sub 3−x}O{sub 4} film is observed. • Magnetic-field-induced ion-impingement enhances SD without any post-annealing. • The enhancement of SD is independent of the lattice-mismatch-induced strain. • This approach can promote SD in any thin film without post-deposition annealing. - Abstract: Cobalt ferrite Co{sub x}Fe{sub 3−x}O{sub 4} thin films with composition within the miscibility gap were grown using Dynamic Aurora pulsed laser deposition. X-ray diffraction patterns reveal as-grown phase separation to Fe-rich and Co-rich phases with no post-deposition annealing. The interconnected surface microstructure of thin film shows that this phase separation occurs through spinodal decomposition enhanced by magnetic-field-induced ion-impingement. The lattice parameter variation of the thin films with the magnetic field indicates that the composition fluctuations can be enhanced further by increasing the magnetic field. Results show that spinodal decomposition enhancement by magnetic-field-induced ion-impingement is independent of the lattice-mismatch-induced strain. This approach can promote spinodal decomposition in any thin film with no post-deposition annealing process.

  11. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Energy Technology Data Exchange (ETDEWEB)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U., E-mail: usha.philipose@unt.edu [University of North Texas, Department of Physics (United States)

    2016-12-15

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between Sb{sub 2}S{sub 3} and In and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40–60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 10{sup 17} cm{sup −3} and 1000 cm{sup 2} V{sup −1} s{sup −1}, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  12. Hole-dominated transport in InSb nanowires grown on high-quality InSb films

    Science.gov (United States)

    Algarni, Zaina; George, David; Singh, Abhay; Lin, Yuankun; Philipose, U.

    2016-12-01

    We have developed an effective strategy for synthesizing p-type indium antimonide (InSb) nanowires on a thin film of InSb grown on glass substrate. The InSb films were grown by a chemical reaction between S b 2 S 3 and I n and were characterized by structural, compositional, and optical studies. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) studies reveal that the surface of the substrate is covered with a polycrystalline InSb film comprised of sub-micron sized InSb islands. Energy dispersive X-ray (EDX) results show that the film is stoichiometric InSb. The optical constants of the InSb film, characterized using a variable-angle spectroscopic ellipsometer (VASE) shows a maximum value for refractive index at 3.7 near 1.8 eV, and the extinction coefficient (k) shows a maximum value 3.3 near 4.1 eV. InSb nanowires were subsequently grown on the InSb film with 20 nm sized Au nanoparticles functioning as the metal catalyst initiating nanowire growth. The InSb nanowires with diameters in the range of 40-60 nm exhibit good crystallinity and were found to be rich in Sb. High concentrations of anions in binary semiconductors are known to introduce acceptor levels within the band gap. This un-intentional doping of the InSb nanowire resulting in hole-dominated transport in the nanowires is demonstrated by the fabrication of a p-channel nanowire field effect transistor. The hole concentration and field effect mobility are estimated to be ≈1.3 × 1017 cm-3 and 1000 cm2 V-1 s-1, respectively, at room temperature, values that are particularly attractive for the technological implications of utilizing p-InSb nanowires in CMOS electronics.

  13. Solution-Grown Monocrystalline Hybrid Perovskite Films for Hole-Transporter-Free Solar Cells

    KAUST Repository

    Peng, Wei

    2016-03-02

    High-quality perovskite monocrystalline films are successfully grown through cavitation-triggered asymmetric crystallization. These films enable a simple cell structure, ITO/CH3NH3PbBr3/Au, with near 100% internal quantum efficiency, promising power conversion efficiencies (PCEs) >5%, and superior stability for prototype cells. Furthermore, the monocrystalline devices using a hole-transporter-free structure yield PCEs ≈6.5%, the highest among other similar-structured CH3NH3PbBr3 solar cells to date.

  14. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  15. Co-sensitization of ZnO by CdS quantum dots in natural dye-sensitized solar cells with polymeric electrolytes to improve the cell stability

    Energy Technology Data Exchange (ETDEWEB)

    Junhom, W.; Magaraphan, R. [Polymer Processing and Polymer Nanomaterials Research Unit, Petroleum and Petrochemical College, Chulalongkorn University, Bangkok (Thailand)

    2015-05-22

    The CdS quantum dots (QDs) were deposited on ZnO layer by chemical bath deposition method to absorb light in the shorter wavelength region and used as photoanode in the dye sensitized solar cell (DSSCs) with natural dye extracted from Noni leaves. Microstructures of CdS-ZnO from various dipping time were characterized by XRD, FE-SEM and EDX. The results showed that the CdS is hexagonal structure and the amount of CdS increases when the dipping time increases. The maximal conversion efficiency of 0.292% was achieved by the DSSCs based on CdS QDs-sensitized ZnO film obtained from 9 min-dipping time. Furthermore, the stability of DSSCs was improved by using polymeric electrolyte. Poly (acrylic acid) (PAA) and Polyacrylamide (PAM) were introduced to CdS QDs-sensitized ZnO film from 9 min-dipping time. Each polymeric electrolyte was prepared by swelling from 0.1-2.0 %w in H2O. The maximal conversion efficiency of 0.207% was achieved for DSSCs based on CdS QDs-sensitized ZnO film with PAM 1.0% and the conversion efficiency was decreased 25% when it was left for1 hr.

  16. Stoichiometry and characterization of aluminum oxynitride thin films grown by ion-beam-assisted pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zabinski, J.S. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Hu, J.J. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)], E-mail: Jianjun.Hu@WPAFB.AF.MIL; Bultman, J.E. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Pierce, N.A. [Propulsion Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States); Voevodin, A.A. [Materials and Manufacturing Directorate, Air Force Research Laboratory (AFRL), Wright-Patterson Air Force Base, Dayton, Ohio 45433 (United States)

    2008-07-31

    Oxides are inherently stable in air at elevated temperatures and may serve as wear resistant matrices for solid lubricants. Aluminum oxide is a particularly good candidate for a matrix because it has good diffusion barrier properties and modest hardness. Most thin film deposition techniques that are used to grow alumina require high temperatures to impart crystallinity. Crystalline films are about twice as hard as amorphous ones. Unfortunately, the mechanical properties of most engineering steels are degraded at temperatures above 250-350 deg. C. This work is focused on using energetic reactive ion bombardment during simultaneous pulsed laser deposition to enhance film crystallization at low temperatures. Alumina films were grown at several background gas pressures and temperatures, with and without Ar ion bombardment. The films were nearly stoichiometric except for depositions in vacuum. Using nitrogen ion bombardment, nitrogen was incorporated into the films and formed the Al-O-N matrix. Nitrogen concentration could be controlled through selection of gas pressure and ion energy. Crystalline Al-O-N films were grown at 330 deg. C with a negative bias voltage to the substrate, and showed improved hardness in comparison to amorphous films.

  17. Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer deposition

    International Nuclear Information System (INIS)

    Shih, Huan-Yu; Chen, Miin-Jang; Lin, Ming-Chih; Chen, Liang-Yih

    2015-01-01

    The growth of uniform gallium nitride (GaN) thin films was reported on (100) Si substrate by remote plasma atomic layer deposition (RP-ALD) using triethylgallium (TEG) and NH 3 as the precursors. The self-limiting growth of GaN was manifested by the saturation of the deposition rate with the doses of TEG and NH 3 . The increase in the growth temperature leads to the rise of nitrogen content and improved crystallinity of GaN thin films, from amorphous at a low deposition temperature of 200 °C to polycrystalline hexagonal structures at a high growth temperature of 500 °C. No melting-back etching was observed at the GaN/Si interface. The excellent uniformity and almost atomic flat surface of the GaN thin films also infer the surface control mode of the GaN thin films grown by the RP-ALD technique. The GaN thin films grown by RP-ALD will be further applied in the light-emitting diodes and high electron mobility transistors on (100) Si substrate. (paper)

  18. Noncovalent functionalization of graphene by CdS nanohybrids for electrochemical applications

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Li [Chemical Engineering Research Center, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China); Qi, Wei, E-mail: qiwei@tju.edu.cn [Chemical Engineering Research Center, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China); State Key Laboratory of Chemical Engineering, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Membrane Science and Desalination Technology, Tianjin University, Tianjin 300072 (China); Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072 (China); Su, Rongxin [Chemical Engineering Research Center, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China); State Key Laboratory of Chemical Engineering, Tianjin University, Tianjin 300072 (China); Tianjin Key Laboratory of Membrane Science and Desalination Technology, Tianjin University, Tianjin 300072 (China); Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072 (China); He, Zhimin [Chemical Engineering Research Center, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China); State Key Laboratory of Chemical Engineering, Tianjin University, Tianjin 300072 (China); Collaborative Innovation Center of Chemical Science and Engineering, Tianjin 300072 (China)

    2014-10-01

    Graphene–CdS (GR–CdS) nanocomposites were synthesized via a noncovalent functionalization process. To retain the intrinsic electronic and mechanical properties of graphene, the pristine graphene was firstly modified with 1-aminopyrene based on a strong π–π bond between the pyrenyl groups and the carbon rings of the graphene. Then the CdS nanocrystals were uniformly grown on the amino-graphene. The GR–CdS nanocomposites were characterized by UV–vis spectroscopy and scanning electron microscopy. A glucose biosensor was then fabricated based on the as-prepared GR–CdS nanocomposite by immobilizing glucose oxidase (GOD) in a chitosan thin film on a glassy carbon electrode. Direct electron transfer between GOD and the electrode was achieved and the biosensor showed good electrocatalytic activity with glucose ranging from 0.5 to 7.5 mM and a sensitivity of 45.4 μA mM{sup −1} cm{sup −2}. This work provided a simple and nondestructive functionalization strategy to fabricate graphene-based hybrid nanomaterials and it is expected that this composite film may find more potential applications in biosensors and biocatalysis. - Highlights: • A simple noncovalent approach to synthesize graphene–CdS (GR–CdS) nanocomposites • Direct electrochemistry of glucose oxidase based on synergistic effect of GR–CdS • A sensitive glucose biosensor was fabricated based on the GR–CdS hybrids.

  19. Noncovalent functionalization of graphene by CdS nanohybrids for electrochemical applications

    International Nuclear Information System (INIS)

    Wang, Li; Qi, Wei; Su, Rongxin; He, Zhimin

    2014-01-01

    Graphene–CdS (GR–CdS) nanocomposites were synthesized via a noncovalent functionalization process. To retain the intrinsic electronic and mechanical properties of graphene, the pristine graphene was firstly modified with 1-aminopyrene based on a strong π–π bond between the pyrenyl groups and the carbon rings of the graphene. Then the CdS nanocrystals were uniformly grown on the amino-graphene. The GR–CdS nanocomposites were characterized by UV–vis spectroscopy and scanning electron microscopy. A glucose biosensor was then fabricated based on the as-prepared GR–CdS nanocomposite by immobilizing glucose oxidase (GOD) in a chitosan thin film on a glassy carbon electrode. Direct electron transfer between GOD and the electrode was achieved and the biosensor showed good electrocatalytic activity with glucose ranging from 0.5 to 7.5 mM and a sensitivity of 45.4 μA mM −1 cm −2 . This work provided a simple and nondestructive functionalization strategy to fabricate graphene-based hybrid nanomaterials and it is expected that this composite film may find more potential applications in biosensors and biocatalysis. - Highlights: • A simple noncovalent approach to synthesize graphene–CdS (GR–CdS) nanocomposites • Direct electrochemistry of glucose oxidase based on synergistic effect of GR–CdS • A sensitive glucose biosensor was fabricated based on the GR–CdS hybrids

  20. Elastically strained and relaxed La0.67Ca0.33MnO3 films grown on lanthanum aluminate substrates with different orientations

    Science.gov (United States)

    Boikov, Yu. A.; Serenkov, I. T.; Sakharov, V. I.; Claeson, T.

    2016-12-01

    Structure of 40-nm thick La0.67Ca0.33MnO3 (LCMO) films grown by laser evaporation on (001) and (110) LaAlO3 (LAO) substrates has been investigated using the methods of medium-energy ion scattering and X-ray diffraction. The grown manganite layers are under lateral biaxial compressive mechanical stresses. When (110)LAO wafers are used as the substrates, stresses relax to a great extent; the relaxation is accompanied by the formation of defects in a (3-4)-nm thick manganite-film interlayer adjacent to the LCMO-(110)LAO interface. When studying the structure of the grown layers, their electro- and magnetotransport parameters have been measured. The electroresistance of the LCMO films grown on the substrates of both types reached a maximum at temperature T M of about 250 K. At temperatures close to T M magnetoresistance of the LCMO/(110)LAO films exceeds that of the LCMO/(001)LAO films by 20-30%; however, the situation is inverse at low temperatures ( T < 150 K). At T < T M , the magnetotransport in the grown manganite films significantly depends on the spin ordering in ferromagnetic domains, which increase with a decrease in temperature.

  1. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  2. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Karuppasamy, A., E-mail: karuppasamy@psnacet.edu.in

    2015-12-30

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO{sub 3} (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO{sub 3}) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O{sub 2} atmosphere. Ti:WO{sub 3} thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10{sup −3}–5.0 × 10{sup −3} mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm{sup 2}) and tungsten (3 W/cm{sup 2}) were kept constant. Ti:WO{sub 3} films deposited at an oxygen pressure of 5 × 10{sup −3} mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm{sup 2}/C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm{sup 2}, Qa: 17.72 mC/cm{sup 2}), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO{sub 3} films.

  3. Electrochromism and photocatalysis in dendrite structured Ti:WO3 thin films grown by sputtering

    International Nuclear Information System (INIS)

    Karuppasamy, A.

    2015-01-01

    Graphical abstract: - Highlights: • Dendrite structured Ti doped WO 3 (WTO) thin films are grown by co-sputtering. • Sputtering condition influences structure and surface morphology of WTO films. • Titanium doping and annealing lead to dendritic surface structures in WTO films. • Structural, optical, electrochromic and photocatalytic properties of WTO films. • Enhanced electrochromism and photocatalysis in dendrite structured WTO thin films. - Abstract: Titanium doped tungsten oxide (Ti:WO 3 ) thin films with dendrite surface structures were grown by co-sputtering titanium and tungsten in Ar + O 2 atmosphere. Ti:WO 3 thin films were deposited at oxygen flow rates corresponding to pressures in the range 1.0 × 10 −3 –5.0 × 10 −3 mbar. Argon flow rate and sputtering power densities for titanium (2 W/cm 2 ) and tungsten (3 W/cm 2 ) were kept constant. Ti:WO 3 films deposited at an oxygen pressure of 5 × 10 −3 mbar are found to be better electrochromic and photocatalytic. They have high optical modulation (80% at λ = 550 nm), coloration efficiency (60 cm 2 /C at λ = 550 nm), electron/ion storage and removal capacity (Qc: −22.01 mC/cm 2 , Qa: 17.72 mC/cm 2 ), reversibility (80%) and methylene blue decomposition rate (−1.38 μmol/l d). The combined effects of titanium doping, dendrite surface structures and porosity leads to significant enhancement in the electrochromic and photocatalytic properties of Ti:WO 3 films.

  4. Chemical and structural properties of polymorphous silicon thin films grown from dichlorosilane

    Energy Technology Data Exchange (ETDEWEB)

    Álvarez-Macías, C.; Monroy, B.M.; Huerta, L.; Canseco-Martínez, M.A. [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico); Picquart, M. [Departamento de Física, Universidad Autónoma Metropolitana, Iztapalapa, A.P. 55-534, 09340 México, D.F. (Mexico); Santoyo-Salazar, J. [Departamento de Física, CINVESTAV-IPN, A.P. 14-740, C.P. 07000 México, D.F. (Mexico); Sánchez, M.F. García [Unidad Profesional Interdisciplinaria en Ingeniería y Tecnologías Avanzadas, Instituto Politécnico Nacional, Av. I.P.N. 2580, Gustavo A. Madero, 07340 México .D.F. (Mexico); Santana, G., E-mail: gsantana@iim.unam.mx [Instituto de Investigaciones en Materiales, Universidad Nacional Autónoma de México, A.P. 70-360, Coyoacán, C.P. 04510 México, D.F. (Mexico)

    2013-11-15

    We have examined the effects of hydrogen dilution (R{sub H}) and deposition pressure on the morphological, structural and chemical properties of polymorphous silicon thin films (pm-Si:H), using dichlorosilane as silicon precursor in the plasma enhanced chemical vapor deposition (PECVD) process. The use of silicon chlorinated precursors enhances the crystallization process in as grown pm-Si:H samples, obtaining crystalline fractions from Raman spectra in the range of 65–95%. Atomic Force Microscopy results show the morphological differences obtained when the chlorine chemistry dominates the growth process and when the plasma–surface interactions become more prominent. Augmenting R{sub H} causes a considerable reduction in both roughness and topography, demonstrating an enhancement of ion bombardment and attack of the growing surface. X-ray Photoelectron Spectroscopy results show that, after ambient exposure, there is low concentration of oxygen inside the films grown at low R{sub H}, present in the form of Si-O, which can be considered as structural defects. Instead, oxidation increases with deposition pressure and dilution, along with film porosity, generating a secondary SiO{sub x} phase. For higher pressure and dilution, the amount of chlorine incorporated to the film decreases congruently with HCl chlorine extraction processes involving atomic hydrogen interactions with the surface. In all cases, weak silicon hydride (Si-H) bonds were not detected by infrared spectroscopy, while bonding configurations associated to the silicon nanocrystal surface were clearly observed. Since these films are generally used in photovoltaic devices, analyzing their chemical and structural properties such as oxygen incorporation to the films, along with chlorine and hydrogen, is fundamental in order to understand and optimize their electrical and optical properties.

  5. Characterization of homoepitaxial and heteroepitaxial ZnO films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Z.Q. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)]. E-mail: chenzq@taka.jaeri.go.jp; Yamamoto, S. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Kawasuso, A. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Xu, Y. [Japan Atomic Energy Research Institute, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Sekiguchi, T. [National Institute for Materials Science, 1-2-1 Sengen, Tsukuba, Ibaraki 305-0047 (Japan)

    2005-05-15

    Homo- and heteroepitaxial ZnO films were grown on ZnO (0001) and Al{sub 2}O{sub 3} (1-bar 1-bar 2-bar -bar 0) substrates by using pulsed laser deposition. The X-ray diffraction and Raman measurements for these films show good correspondence with the bulk ZnO substrate, which confirms successful growth of c-axis oriented ZnO layer. Strong UV emission was also observed in these films, indicating good optical quality. However, the surface roughness differs very much for the homo- and heteroepitaxial film, that is, much less for the homoepitaxial layer. Positron annihilation measurements reveal a higher vacancy concentration in the homoepitaxial layer.

  6. Characterization of interference thin films grown on stainless steel surface by alternate pulse current in a sulphochromic solution

    Directory of Open Access Journals (Sweden)

    Rosa Maria Rabelo Junqueira

    2008-12-01

    Full Text Available The aim of this work was to characterize thin interference films grown on the surface of AISI 304 stainless steel for decorative purposes. Films were grown in a sulphochromic solution at room temperature by an alternating pulse current method. The morphology and chemical state of the elements in the films were investigated by field emission scanning electron microscopy (FESEM, atomic force microscopy (AFM, glow discharge optical emission spectrometry (GDOES, and infrared Fourier transform spectroscopy (FTIR. Depth-sensing indentation (DSI experiments and wear abrasion tests were employed to assess the mechanical resistance of the films. The coloration process resulted in porous thin films which increased the surface roughness of the substrate. The interference films mainly consisted of hydrated chromium oxide containing iron. Increasing film thickness produced different colors and affected the mechanical properties of the coating-substrate system. Thicker films, such as those producing gold and green colors, were softer but more abrasion resistant.

  7. High efficiency copper indium gallium diselenide (CIGS) thin film solar cells

    Science.gov (United States)

    Rajanikant, Ray Jayminkumar

    pressure of 10-5 mbar. The thickness of the film was kept 1 mum for the solar cell device preparation. Rapid Thermal Annealing (RTA) is carried out of CIGS thin film at 500 °C for 2 minutes in the argon atmosphere. Annealing process mainly improves the grain growth of the CIGS and, hence the surface roughness, which is essential for a multilayered semiconductor structure. Thin layer of n-type highly resistive cadmium sulphide (CdS), generally known as a "buffer" layer, is deposited on CIGS layer by thermal and flash evaporation method at the substrate temperature of 100 °C. The CdS thin film plays a crucial role in the formation of the p-n junction and thus the solar cell device performance. The effect of CdS film substrate temperature ranging from 50 °C to 200 °C is observed. At the 100 °C substrate temperature, CdS thin film shows the near to 85 % of transmission in the visible region and resistivity of the order of greater then 20 x 109 Ocm, which are the essential characteristics of buffer layer. The bi-layer structure of ZnO, containing 70 nm i-ZnO and 500 nm aluminum (Al) doped ZnO, act as a transparent front-contact for CIGS thin film solar cell. These layers were deposited using RF magnetron sputtering. i-ZnO thin film acts as an insulating layer, which prevents the recombination of the photo-generated carries and also minimizes the lattice miss match defects between CdS and Al-ZnO. The resistivity of iZnO and Al-ZnO is of the order of 1012 Ocm and 10-4 Ocm, respectively. Al-ZnO thin films act as transparent conducting top electrode having transparency of about 85 % in the visible region. On Al-ZnO layer the finger-type grid pattern of silver (Ag), 200 nm thick, is deposited for the collection of photo-generated carriers. The thin film based multilayered structure Mo / CIGS / CdS / i-ZnO / Al-ZnO / Ag grid of CIGS solar cell is grown one by one on a single glass substrate. As-prepared CIGS solar cell device shows a minute photovoltaic effect. For the further

  8. CERN Document Server (CDS): Introduction

    CERN Multimedia

    CERN. Geneva; Costa, Flavio

    2017-01-01

    A short online tutorial introducing the CERN Document Server (CDS). Basic functionality description, the notion of Revisions and the CDS test environment. Links: CDS Production environment CDS Test environment  

  9. Thickness dependence of Hall mobility of HWE grown PbTe films

    International Nuclear Information System (INIS)

    Vaya, P.R.; Majhi, J.; Gopalam, B.S.V.; Dattatreyan, C.

    1985-01-01

    Thin epitaxial n-PbTe films of various thicknesses are grown on KCl substrates by hot wall epitaxy (HWE) technique. The X-ray, SEM and TEM studies of these films revealed their single crystalline nature. The Hall mobility (μ/sub H/) of these films is measured by Van der Pauw technique and compared with the numerically calculated values of PbTe. It is observed that μ/sub H/ very strongly depends on thickness for thin films but becomes independent of film thickness beyond 5 μm approaching its bulk value. The constant value of Hall coefficient in the temperature range 77 to 300 K show the extrinsic nature of these films. It is also noticed that the rate of increase of mobility with decreasing temperature becomes higher with film thickness. The diffused scattering mobility due to the size effect is calculated and compared with experimental data. A large discrepancy observed between these two is explained on the basis of the residual mobility contribution. The residual mobility is attributed to overall scattering due to grain boundaries, dislocations, defects, cleavage steps, and other surface effects. (author)

  10. Optical properties of aluminum nitride thin films grown by direct-current magnetron sputtering close to epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Stolz, A. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Soltani, A., E-mail: ali.soltani@iemn.univ-lille1.fr [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Abdallah, B. [Department of Materials Physics, Atomic Energy Commission of Syria, Damascus, P.O. Box 6091 (Syrian Arab Republic); Charrier, J. [Fonctions Optiques pour les Technologies de l' informatiON (FOTON), UMR CNRS 6082, 6, rue de Kerampont CS 80518, 22305 Lannion Cedex (France); Deresmes, D. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France); Jouan, P.-Y.; Djouadi, M.A. [Institut des Matériaux Jean Rouxel – IMN, UMR CNRS 6502, 2, rue de la Houssinère BP 32229, 44322 Nantes (France); Dogheche, E.; De Jaeger, J.-C. [Institut d' Electronique de Microélectronique et de Nanotechnologie (IEMN), UMR CNRS 8520, PRES Lille, Université Nord de France, Avenue Poincaré, 59652 Villeneuve d' Ascq Cedex (France)

    2013-05-01

    Low-temperature Aluminum Nitride (AlN) thin films with a thickness of 3 μm were deposited by Direct-Current magnetron sputtering on sapphire substrate. They present optical properties similar to those of epitaxially grown films. Different characterization methods such as X-Ray Diffraction, Transmission Electron Microscopy and Atomic Force Microscopy were used to determine the structural properties of the films such as its roughness and crystallinity. Newton interferometer was used for stress measurement of the films. Non-destructive prism-coupling technique was used to determine refractive index and thickness homogeneity by a mapping on the whole sample area. Results show that AlN films grown on AlGaN layer have a high crystallinity close to epitaxial films, associated to a low intrinsic stress for low thickness. These results highlight that it is possible to grow thick sample with microstructure and optical properties close to epitaxy, even on a large surface. - Highlights: ► Aluminum Nitride sputtering technique with a low temperature growth process ► Epitaxial quality of two microns sputtered Aluminum Nitride film ► Optics as a non-destructive accurate tool for acoustic wave investigation.

  11. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  12. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    Science.gov (United States)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  13. Comparison of stress states in GaN films grown on different substrates: Langasite, sapphire and silicon

    Science.gov (United States)

    Park, Byung-Guon; Saravana Kumar, R.; Moon, Mee-Lim; Kim, Moon-Deock; Kang, Tae-Won; Yang, Woo-Chul; Kim, Song-Gang

    2015-09-01

    We demonstrate the evolution of GaN films on novel langasite (LGS) substrate by plasma-assisted molecular beam epitaxy, and assessed the quality of grown GaN film by comparing the experimental results obtained using LGS, sapphire and silicon (Si) substrates. To study the substrate effect, X-ray diffraction (XRD), scanning electron microscopy (SEM), Raman spectroscopy and photoluminescence (PL) spectra were used to characterize the microstructure and stress states in GaN films. Wet etching of GaN films in KOH solution revealed that the films deposited on GaN/LGS, AlN/sapphire and AlN/Si substrates possess Ga-polarity, while the film deposited on GaN/sapphire possess N-polarity. XRD, Raman and PL analysis demonstrated that a compressive stress exist in the films grown on GaN/LGS, AlN/sapphire, and GaN/sapphire substrates, while a tensile stress appears on AlN/Si substrate. Comparative analysis showed the growth of nearly stress-free GaN films on LGS substrate due to the very small lattice mismatch ( 3.2%) and thermal expansion coefficient difference ( 7.5%). The results presented here will hopefully provide a new framework for the further development of high performance III-nitride-related devices using GaN/LGS heteroepitaxy.

  14. Improvement of thermoelectric properties of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} films grown on graphene substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Choi, Ji Woon; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kim, Jin-Sang [Center for Electronic Materials, Korea Institute of Science and Technology, Seoul (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-06-15

    A study of substrate effect on the thermoelectric (TE) properties of Bi{sub 2}Te{sub 3} (BT) and Sb{sub 2}Te{sub 3} (ST) thin films grown by plasma-enhanced chemical vapor deposition (PECVD) was performed. Graphene substrates which have small lattice mismatch with BT and ST were used for the preparation of highly oriented BT and ST thin films. Carrier mobility of the epitaxial BT and ST films grown on the graphene substrates increased as the deposition temperature increased, which was not observed in that of SiO{sub 2}/Si substrates. Seebeck coefficients of the as-grown BT and ST films were observed to be maintained even though carrier concentration increased in the epitaxial BT and ST films on graphene substrate. Although Seebeck coefficient was not improved, power factor of the as-grown BT and ST films was considerably enhanced due to the increase of electrical conductivity resulting from the high carrier mobility and moderate carrier concentration in the epitaxial BT and ST films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  16. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  17. Structural properties of In0.53Ga0.47As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Xiaona; Guan, Yunfang; Li, Jingling; Zhang, Shuguang; Li, Guoqiang

    2015-01-01

    In 0.53 Ga 0.47 As epitaxial films are grown on 2-inch diameter Si (111) substrates by growing a low-temperature In 0.4 Ga 0.6 As buffer layer using molecular beam epitaxy. The effect of the buffer layer thickness on the as-grown In 0.53 Ga 0.47 As films is characterized by X-ray diffraction, scanning electron microscopy, atomic force microscopy and transmission electron microscopy (TEM). It is revealed that the crystalline quality and surface morphology of as-grown In 0.53 Ga 0.47 As epilayer are strongly affected by the thickness of the In 0.4 Ga 0.6 As buffer layer. From TEM investigation, we understand that the type and the distribution of dislocations of the buffer layer and the as-grown In 0.53 Ga 0.47 As film are different. We have demonstrated that the In 0.4 Ga 0.6 As buffer layer with a thickness of 12 nm can advantageously release the lattice mismatch stress between the In 0.53 Ga 0.47 As and Si substrate, ultimately leading to a high-quality In 0.53 Ga 0.47 As epitaxial film with low surface roughness. - Highlights: • We provide a simple approach to achieve high-quality In 0.53 Ga 0.47 As films on Si. • An appropriate thickness of In 0.4 Ga 0.6 As buffer layer can release mismatch strain. • High-quality In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer. • Smooth surface In 0.53 Ga 0.47 As film is grown on Si using 12-nm-thick buffer layer

  18. Nanoniobia modification of CdS photoanode for an efficient and stable photoelectrochemical cell.

    Science.gov (United States)

    Pareek, Alka; Paik, Pradip; Borse, Pramod H

    2014-12-30

    Herein we report the surface modification of a CdS film by niobia nanoparticles via thioglycerol as an organic linker and thus fabricate an efficient and a stable photoanode for a photoelectrochemical (PEC) cell. We have synthesized three differenly sized (∼3, ∼6 ,and ∼9 nm) niobia nanoparticles by a hydrothermal synthesis approach and have further investigated the particle-size-dependent PEC performance of the nanoparticle-modified CdS photoanode. Fourier transform infrared spectroscopy (FTIR) and X-ray photoelectron spectroscopy (XPS) confirm the formation of Nb2O5 nanoparticles that are prepared via decomposition of the niobium peroxo complex during the hydrothermal reaction and reveal the presence of surface OH(-) groups over niobia nanoparticles that impart a high catalytic property to a material. The nano-Nb2O5-modified photoanode displayed a 23-fold higher power conversion efficiency compared to that of CdS. This modified structure increases the open circuit voltage (OCV) from 0.65 to 0.77 V, which is attributed to the nano-Nb2O5-induced surface passivation effect over bare CdS. Linking of nanoparticles on the CdS surface improves the photocorrosion stability of the CdS photoanode for even longer than 4 h in contrast to the tens of minutes for the base CdS surface. The uniform coverage of the CdS photoanode surface by niobia nanoparticles is thus found to be the controlling parameter for achieving a higher PEC performance and stability of the photoanode. This finding directed us to design an improved CdS photoanode for efficient and prolonged PEC hydrogen generation from a PEC cell.

  19. Ultra-Smooth ZnS Films Grown on Silicon via Pulsed Laser Deposition

    Science.gov (United States)

    Reidy, Christopher; Tate, Janet

    2011-10-01

    Ultra-smooth, high quality ZnS films were grown on (100) and (111) oriented Si wafers via pulsed laser deposition with a KrF excimer laser in UHV (10-9 Torr). The resultant films were examined with optical spectroscopy, electron diffraction, and electron probe microanalysis. The films have an rms roughness of ˜1.5 nm, and the film stoichiometry is approximately Zn:S :: 1:0.87. Additionally, each film exhibits an optical interference pattern which is not a function of probing location on the sample, indicating excellent film thickness uniformity. Motivation for high-quality ZnS films comes from a proposed experiment to measure carrier amplification via impact ionization at the boundary between a wide-gap and a narrow-gap semiconductor. If excited charge carriers in a sufficiently wide-gap harvester can be extracted into a narrow-gap host material, impact ionization may occur. We seek near-perfect interfaces between ZnS, with a direct gap between 3.3 and 3.7 eV, and Si, with an indirect gap of 1.1 eV.

  20. Microstructures and growth mechanisms of GaN films epitaxially grown on AlN/Si hetero-structures by pulsed laser deposition at different temperatures.

    Science.gov (United States)

    Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Zhou, Shizhong; Li, Guoqiang

    2015-11-13

    2 inch-diameter GaN films with homogeneous thickness distribution have been grown on AlN/Si(111) hetero-structures by pulsed laser deposition (PLD) with laser rastering technique. The surface morphology, crystalline quality, and interfacial property of as-grown GaN films are characterized in detail. By optimizing the laser rastering program, the ~300 nm-thick GaN films grown at 750 °C show a root-mean-square (RMS) thickness inhomogeneity of 3.0%, very smooth surface with a RMS surface roughness of 3.0 nm, full-width at half-maximums (FWHMs) for GaN(0002) and GaN(102) X-ray rocking curves of 0.7° and 0.8°, respectively, and sharp and abrupt AlN/GaN hetero-interfaces. With the increase in the growth temperature from 550 to 850 °C, the surface morphology, crystalline quality, and interfacial property of as-grown ~300 nm-thick GaN films are gradually improved at first and then decreased. Based on the characterizations, the corresponding growth mechanisms of GaN films grown on AlN/Si hetero-structures by PLD with various growth temperatures are hence proposed. This work would be beneficial to understanding the further insight of the GaN films grown on Si(111) substrates by PLD for the application of GaN-based devices.

  1. Photovoltaic and Impedance Spectroscopy Study of Screen-Printed TiO2 Based CdS Quantum Dot Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    M. Atif

    2015-01-01

    Full Text Available Cadmium sulphide (CdS quantum dot sensitized solar cells (QDSSCs based on screen-printed TiO2 were assembled using a screen-printing technique. The CdS quantum dots (QDs were grown by using the Successive Ionic Layer Adsorption and Reaction (SILAR method. The optical properties were studied by UV-Vis absorbance spectroscopy. Photovoltaic characteristics and impedance spectroscopic measurements of CdS QDSSCs were carried out under air mass 1.5 illuminations. The experimental results of capacitance against voltage indicate a trend from positive to negative capacitance because of the injection of electrons from the Fluorine doped tin oxide (FTO electrode into TiO2.

  2. Impact of deposition temperature on the properties of SnS thin films grown over silicon substrate—comparative study of structural and optical properties with films grown on glass substrates

    Science.gov (United States)

    Assili, Kawther; Alouani, Khaled; Vilanova, Xavier

    2017-11-01

    Tin sulfide (SnS) thin films were chemically deposited over silicon substrate in a temperature range of 250 °C-400 °C. The effects of deposition temperature on the structural, morphological and optical properties of the films were evaluated. All films present an orthorhombic SnS structure with a preferred orientation along (040). High absorption coefficients (in the range of 105 cm-1) were found for all obtained films with an increase in α value when deposition temperature decreases. Furthermore, the effects of substrate type were investigated based on comparison between the present results and those obtained for SnS films grown under the same deposition conditions but over glass substrate. The results suggest that the formation of SnS films onto glass substrate is faster than onto silicon substrate. It is found that the substrate nature affects the orientation growth of the films and that SnS films deposited onto Si present more defects than those deposited onto glass substrate. The optical transmittance is also restricted by the substrate type, mostly below 1000 nm. The obtained results for SnS films onto silicon suggest their promising integration within optoelectronic devices.

  3. High quality atomically thin PtSe2 films grown by molecular beam epitaxy

    Science.gov (United States)

    Yan, Mingzhe; Wang, Eryin; Zhou, Xue; Zhang, Guangqi; Zhang, Hongyun; Zhang, Kenan; Yao, Wei; Lu, Nianpeng; Yang, Shuzhen; Wu, Shilong; Yoshikawa, Tomoki; Miyamoto, Koji; Okuda, Taichi; Wu, Yang; Yu, Pu; Duan, Wenhui; Zhou, Shuyun

    2017-12-01

    Atomically thin PtSe2 films have attracted extensive research interests for potential applications in high-speed electronics, spintronics and photodetectors. Obtaining high quality thin films with large size and controlled thickness is critical. Here we report the first successful epitaxial growth of high quality PtSe2 films by molecular beam epitaxy. Atomically thin films from 1 ML to 22 ML have been grown and characterized by low-energy electron diffraction, Raman spectroscopy and x-ray photoemission spectroscopy. Moreover, a systematic thickness dependent study of the electronic structure is revealed by angle-resolved photoemission spectroscopy (ARPES), and helical spin texture is revealed by spin-ARPES. Our work provides new opportunities for growing large size single crystalline films to investigate the physical properties and potential applications of PtSe2.

  4. Amorphous indium gallium zinc oxide thin film grown by pulse laser deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, Bhaumik V., E-mail: bhaumik-phy@yahoo.co.in; Joshi, U. S. [Department of Physics, University School of Sciences, Gujarat University, Ahmedabad-380 009 (India)

    2016-05-23

    Highly electrically conducting and transparent in visible light IGZO thin film were grown on glass substrate at substrate temperature of 400 C by a pulse laser deposition techniques. Structural, surface, electrical, and optical properties of IGZO thin films were investigated at room temperature. Smooth surface morphology and amorphous nature of the film has been confirmed from the AFM and GIXRD analysis. A resistivity down to 7.7×10{sup −3} V cm was reproducibly obtained while maintaining optical transmission exceeding 70% at wavelengths from 340 to 780 nm. The carrier densities of the film was obtain to the value 1.9×10{sup 18} cm{sup 3}, while the Hall mobility of the IGZO thin film was 16 cm{sup 2} V{sup −1}S{sup −1}.

  5. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  6. Effect of plasma immersion on crystallinity of V2O5 film grown by dc reactive sputtering at room temperature

    International Nuclear Information System (INIS)

    Choi, Sun Hee; Kim, Joosun; Yoon, Young Soo

    2005-01-01

    Vanadium oxide thin films were grown at room temperature by direct current reactive sputtering. To investigate the effect of plasma immersion on the crystallinity of as-grown film, we immersed samples in plasma during the deposition process. X-ray diffraction (XRD) measurements show that as-deposited thin films immersed in plasma are crystalline, whereas those not immersed in the plasma are amorphous. Images taken with scanning electron microscopy show that the surface of films exposed to plasma have a different morphology to the surface of films not exposed to plasma. The Li-intercalation feature of as-deposited films immersed in plasma shows the typical behavior of crystalline vanadium oxide; such behavior is unsuitable for the cathode of thin film batteries (TFBs). These results indicate that direct current plasma promotes the growth of crystalline vanadium oxide films

  7. Research and development of CdTe based thin film PV solar cells

    Science.gov (United States)

    Diso, Dahiru Garba

    The motivation behind this research is to bring cheap, low-cost and clean energy technologies to the society. Colossal use of fossil fuel has created noticeable pollution problems contributing to climate change and health hazards. Silicon based solar cells have dominated the market but it is cost is high due to the manufacturing process. Therefore, the way forward is to develop thin films solar cells using low-cost attractive materials, grown by cheaper, scalable and manufacturable techniques.The aim and objectives of this work is to develop low-cost, high efficiency solar cell using electrodeposition (ED) technique. The material layers include CdS and ZnTe as the window materials, while the absorber material is CdTe. Fabricating a suitable devices for solar energy conversion (i.e. glass/conducting glass/window material/absorber material/metal) structure. Traditional way of fabricating this structure is to grow window material (CdS) using chemical bath deposition (CBD) and absorber material (CdTe) using electrodeposition. However, CBD is a batch process and therefore creates large volumes of Cd-containing waste solutions each time adding high cost in manufacturing process. This research programme is therefore on development of an "All ED-solar cells" structure.Material studies were carried out using photoelectrochemical (PEC) studies, UV-Vis spectrophotometry, X-ray diffraction (XRD), X-ray fluorescence (XRF), scanning electron microscopy (SEM), atomic force microscopy (AFM), Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Furthermore, the electrical characterisation of fully fabricated devices was performed using current-voltage (I-V) and capacitance-voltage (C-V) measurements.This research programme has demonstrated that CdS and ZnTe window materials can be electrodeposited and used in thin film solar cell devices. The CdS electrolytic bath can be used for a period of 7 months without discarding it like in the CBD process which usually has life

  8. Biocompatibility of GaSb thin films grown by RF magnetron sputtering

    Science.gov (United States)

    Nishimoto, Naoki; Fujihara, Junko; Yoshino, Katsumi

    2017-07-01

    GaSb may be suitable for biological applications, such as cellular sensors and bio-medical instrumentation because of its low toxicity compared with As (III) compounds and its band gap energy. Therefore, the biocompatibility and the film properties under physiological conditions were investigated for GaSb thin films with or without a surface coating. GaSb thin films were grown on quartz substrates by RF magnetron sputtering, and then coated with (3-mercaptopropyl) trimethoxysilane (MPT). The electrical properties, surface morphology, and crystal structure of the GaSb thin film were unaffected by the MPT coating. The cell viability assay suggested that MPT-coated GaSb thin films are biocompatible. Bare GaSb was particularly unstable in pH9 buffer. Ga elution was prevented by the MPT coating, although the Ga concentration in the pH 9 buffer was higher than that in the other solutions. The surface morphology and crystal structure were not changed by exposure to the solutions, except for the pH 9 buffer, and the thin film properties of MPT-coated GaSb exposed to distilled water and H2O2 in saline were maintained. These results indicate that MPT-coated GaSb thin films are biocompatible and could be used for temporary biomedical devices.

  9. Hydrothermally synthesized PZT film grown in highly concentrated KOH solution with large electromechanical coupling coefficient for resonator

    Science.gov (United States)

    Feng, Guo-Hua; Lee, Kuan-Yi

    2017-12-01

    This paper presents a study of lead zirconate titanate (PZT) films hydrothermally grown on a dome-shaped titanium diaphragm. Few articles in the literature address the implementation of hydrothermal PZT films on curved-diaphragm substrates for resonators. In this study, a 50-μm-thick titanium sheet is embossed using balls of designed dimensions to shape a dome-shaped cavity array. Through single-process hydrothermal synthesis, PZT films are grown on both sides of the processed titanium diaphragm with good adhesion and uniformity. The hydrothermal synthesis process involves a high concentration of potassium hydroxide solution and excess amounts of lead acetate and zirconium oxychloride octahydrate. Varied deposition times and temperatures of PZT films are investigated. The grown films are characterized by X-ray diffraction and scanning electron microscopy. The 10-μm-thick PZT dome-shaped resonators with 60- and 20-μm-thick supporting layers are implemented and further tested. Results for both resonators indicate that large electromechanical coupling coefficients and a series resonance of 95 MHz from 14 MHz can be attained. The device is connected to a complementary metal-oxide-semiconductor integrated circuit for analysis of oscillator applications. The oscillator reaches a Q value of 6300 in air. The resonator exhibits a better sensing stability when loaded with water when compared with air.

  10. Cadmium sulphide thin film for application in gamma radiation ...

    African Journals Online (AJOL)

    Cadmium Sulphide (CdS) thin film was prepared using pyrolytic spraying technique and then irradiated at varied gamma dosage. The CdS thin film absorption before gamma irradiation was 0.6497. Absorbed doses were computed using standard equation established for an integrating dosimeter. The plot of absorbed dose ...

  11. Properties of CoSb{sub 3} films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Christen, H M; Mandrus, D G; Norton, D P; Boatner, L A; Sales, B C

    1997-07-01

    Polycrystalline CoSb{sub 3} films were grown on a variety of electrically insulating substrates by pulsed laser ablation from a stoichiometric hot-pressed target. These films are fully crystallized in the skutterudite structure, and the grains exhibit a strongly preferred alignment of the cubic [310]-axis perpendicular to the substrate surface. The film quality is studied for different single-crystal substrates and as a function of growth temperature and background gas. Hall measurements show that the films are p-type semiconducting with a room-temperature carrier density of 3 x 10{sup 20} holes/cm{sup 3}. The Hall mobility is found to be 50 to 60 cm{sup 2}/Vs, which is high for such a heavily-doped material. The Seebeck coefficient and the resistivity are measured as a function of temperature and are compared to bulk measurements.

  12. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  13. Mn-doped CdS quantum dots sensitized hierarchical TiO2 flower-rod for solar cell application

    International Nuclear Information System (INIS)

    Yu, Libo; Li, Zhen; Liu, Yingbo; Cheng, Fa; Sun, Shuqing

    2014-01-01

    A double-layered TiO 2 film which three dimensional (3D) flowers grown on highly ordered self-assembled one dimensional (1D) TiO 2 nanorods was synthesized directly on transparent fluorine-doped tin oxide (FTO) conducting glass substrate by a facile hydrothermal method and was applied as photoanode in Mn-doped CdS quantum dots sensitized solar cells (QDSSCs). The 3D TiO 2 flowers with the increased surface areas can adsorb more QDs, which increased the absorption of light; meanwhile 1D TiO 2 nanorods beneath the flowers offered a direct electrical pathway for photogenerated electrons, accelerating the electron transfer rate. A typical type II band alignment which can effectively separate photogenerated excitons and reduce recombination of electrons and holes was constructed by Mn-doped CdS QDs and TiO 2 flower-rod. The incident photon-to-current conversion efficiency (IPCE) of the Mn-doped CdS/TiO 2 flower-rod solar cell reached to 40% with the polysulfide electrolyte filled in the solar cell. The power conversion efficiency (PCE) of 1.09% was obtained with the Mn-doped CdS/TiO 2 flower-rod solar cell under one sun illumination (AM 1.5G, 100 mW/cm 2 ), which is 105.7% higher than that of the CdS/TiO 2 nanorod solar cell (0.53%).

  14. In situ growth of CdS nanoparticles on UiO-66 metal-organic framework octahedrons for enhanced photocatalytic hydrogen production under visible light irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Jian-Jian; Wang, Rong; Liu, Xin-Ling; Peng, Fu-Min [School of Chemistry and Chemical Engineering and Innovation Lab for Clean Energy & Green Catalysis, Anhui University, Hefei 230601 (China); Li, Chuan-Hao, E-mail: chuanhao.li@yale.edu [School of Chemistry and Chemical Engineering and Innovation Lab for Clean Energy & Green Catalysis, Anhui University, Hefei 230601 (China); Department of Chemical & Environmental Engineering, Yale University, New Haven 06511 (United States); Teng, Fei [Jiangsu Key Laboratory of Atmospheric Environment Monitoring and Pollution Control, School of Environmental Sciences and Engineering, Nanjing University of Information Science and Technology, Nanjing 210044 (China); Yuan, Yu-Peng, E-mail: yupengyuan@ahu.edu.cn [School of Chemistry and Chemical Engineering and Innovation Lab for Clean Energy & Green Catalysis, Anhui University, Hefei 230601 (China); Jiangsu Key Laboratory of Atmospheric Environment Monitoring and Pollution Control, School of Environmental Sciences and Engineering, Nanjing University of Information Science and Technology, Nanjing 210044 (China)

    2015-08-15

    Graphical abstract: Enhanced photocatalytic hydrogen generation was achieved though constructing the CdS/UiO-66 MOF hybrids. In addition, the resultant hybrids show excellent photostability for hydrogen generation. - Highlights: • CdS nanoparticles were hydrothermally grown on UiO-66 octahedrons. • The resultant CdS/UiO-66 hybrids show enhanced photocatalytic H{sub 2} generation under visible light irradiation. • CdS/UiO-66 hybrids possess excellent photostability for long-term hydrogen generation. - Abstract: CdS nanoparticles acting as photosensitizer was grown in situ upon UiO-66 metal-organic framework octahedrons through a hydrothermal process. The resultant CdS/UiO-66 hybrid photocatalysts show remarkably active hydrogen evolution under visible light irradiation as compared to CdS and UiO-66 alone. The optimum hybrid with 16 wt% CdS loading shows a hydrogen production rate of 235 μmol h{sup −1}, corresponding to 1.2% quantum efficiency at 420 nm. The improved photocatalytic hydrogen production over hybrid CdS/UiO-66 is ascribed to the efficient interfacial charge transfer from CdS to UiO-66, which effectively suppresses the recombination of photogenerated electron-hole pairs and thereby enhancing the photocatalytic efficiency.

  15. Characterization of carbon nanotubes grown on Fe70Pd30 film

    International Nuclear Information System (INIS)

    Khan, Zishan H.; Islam, S.S.; Kung, S.C.; Perng, T.P.; Khan, Samina; Tripathi, K.N.; Agarwal, Monika; Zulfequar, M.; Husain, M.

    2006-01-01

    Carbon nanotubes have been synthesized by a LPCVD on nanocrystalline Fe-Pd film. CNTs are grown for 30min and 1h respectively. From the SEM images, the diameter of these nanotubes varies from 40-80nm and the length is several micro-meter approximately. TEM observations suggest that the CNTs are multi-walled and the structure changes from ordinary geometry of CNTs to bamboo shaped. We have observed sharp G and D bands in the Raman spectra of these carbon nanotubes. Higher D-band is observed for the carbon nanotubes grown for longer time (1h), showing that these nanotubes contain more amorphous carbon. The field emission measurements for these CNTs are also performed. For CNTs grown for longer time (1h), a superior turn-on field of 4.88V/μm (when the current density achieves 10μA/cm 2 ) is obtained and a current density of 29.36mA/cm 2 can be generated at 9.59V/μm

  16. Substrates effect on Zn1-xMnxO thin films grown by RF magnetron sputtering

    International Nuclear Information System (INIS)

    Elanchezhiyan, J.; Bhuvana, K.P.; Gopalakrishnan, N.; Balasubramanian, T.

    2008-01-01

    In this paper, we have presented the surface effect of the substrates on Mn doped ZnO (Zn 1-x Mn x O) thin films grown on Si(1 0 0) and sapphire [i.e. Al 2 O 3 (0 0 0 1)] by RF magnetron sputtering. These grown films have been characterized by X-ray diffraction (XRD), photoluminescence (PL) and vibrating sample magnetometer (VSM) to know its structural, optical and magnetic properties. All these properties have been found to be strongly influenced by the substrate surface on which the films have been deposited. The XRD results show that the Mn doped ZnO films deposited on Si(1 0 0) exhibit a polycrystalline nature whereas the films on sapphire substrate have only (0 0 2) preferential orientations indicating that the films are single crystalline. The studies of room temperature PL spectra reveal that the Zn 1-x Mn x O/Si(1 0 0) system is under severe compressive strain while the strain is almost relaxed in Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system. It has been observed from VSM studies that Zn 1-x Mn x O/Al 2 O 3 (0 0 0 1) system shows ferromagnetic nature while the paramagnetic behaviour observed in Zn 1-x Mn x O/Si(1 0 0) system

  17. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  18. Structural and interfacial characteristics of thin (2 films grown by electron cyclotron resonance plasma oxidation on [100] Si substrates

    International Nuclear Information System (INIS)

    Nguyen, T.D.; Carl, D.A.; Hess, D.W.; Lieberman, M.A.; Gronsky, R.

    1991-04-01

    The feasibility of fabricating ultra-thin SiO 2 films on the order of a few nanometer thickness has been demonstrated. SiO 2 thin films of approximately 7 nm thickness have been produced by ion flux-controlled Electron Cyclotron Resonance plasma oxidation at low temperature on [100] Si substrates, in reproducible fashion. Electrical measurements of these films indicate that they have characteristics comparable to those of thermally grown oxides. The thickness of the films was determined by ellipsometry, and further confirmed by cross-sectional High-Resolution Transmission Electron Microscopy. Comparison between the ECR and the thermal oxide films shows that the ECR films are uniform and continuous over at least a few microns in lateral direction, similar to the thermal oxide films grown at comparable thickness. In addition, HRTEM images reveal a thin (1--1.5 nm) crystalline interfacial layer between the ECR film and the [100] substrate. Thinner oxide films of approximately 5 nm thickness have also been attempted, but so far have resulted in nonuniform coverage. Reproducibility at this thickness is difficult to achieve

  19. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    CERN Document Server

    Chen, S J; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn sub 3 P sub 2. Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I sub 4) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrate...

  20. Photoluminescence properties of ZnO films grown on InP by thermally oxidizing metallic Zn films

    International Nuclear Information System (INIS)

    Chen, S J; Liu, Y C; Zhang, J Y; Lu, Y M; Shen, D Z; Fan, X W

    2003-01-01

    Photoluminescence (PL) properties of ZnO films grown on (001) InP substrates by thermal oxidization of metallic Zn films, in which oxygen vacancies and interstitial Zn ions are compensated by P ions diffusing from (001) InP substrates, are investigated. X-ray diffraction spectra indicate that P ions have diffused into the Zn films and chemically combined with Zn ions to form Zn 3 P 2 . Intense free exciton emission dominates the PL spectra of ZnO films with very weak deep-level emission. Low-temperature PL spectra at 79 K are dominated by neutral-donor bound exciton emission at 3.299 eV (I 4 ) with a linewidth of 17.3 meV and neutral-acceptor bound exciton emission at 3.264 eV. The free exciton emission increases with increasing temperature and eventually dominates the emission spectrum for temperature higher than 170 K. Furthermore, the visible emission around 2.3 eV correlated with oxygen deficiencies and interstitial Zn defects was quenched to a remarkable degree by P diffusing from InP substrates

  1. Optical and structural properties of ZnO nanorods grown on graphene oxide and reduced graphene oxide film by hydrothermal method

    Energy Technology Data Exchange (ETDEWEB)

    Alver, U., E-mail: alver@ksu.edu.tr [Department of Physics, Kahramanmaras Sutcu Imam University, K. Maras 46100 (Turkey); Zhou, W.; Belay, A.B. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States); Krueger, R. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Davis, K.O.; Hickman, N.S. [Nanoscience and Technology Center, University of Central Florida, Orlando, FL 32816 (United States); Florida Solar Energy Center, Cocoa, FL 32922 (United States)

    2012-01-15

    ZnO nanorods were grown on graphene oxide (GO) and reduced graphene oxide (RGO) films with seed layers by using simple hydrothermal method. The GO films were deposited by spray coating and then annealed at 400 Degree-Sign C in argon atmosphere to obtain RGO films. The optical and structural properties of the ZnO nanorods were systematically studied by scanning electron microscopy (SEM), X-ray diffraction (XRD) and ultraviolet-visible spectroscopy. The XRD patterns and SEM images show that without a seed layer, no ZnO nanorod deposition occurs on GO or RGO films. Transmittance of ZnO nanorods grown on RGO films was measured to be approximately 83% at 550 nm. Furthermore, while transmittance of RGO films increases with ZnO nanorod deposition, transmittance of GO decreases.

  2. Highly stable hydrogenated gallium-doped zinc oxide thin films grown by DC magnetron sputtering using H2/Ar gas

    International Nuclear Information System (INIS)

    Takeda, Satoshi; Fukawa, Makoto

    2004-01-01

    The effects of water partial pressure (P H 2 O ) on electrical and optical properties of Ga-doped ZnO films grown by DC magnetron sputtering were investigated. With increasing P H 2 O , the resistivity (ρ) of the films grown in pure Ar gas (Ar-films) significantly increased due to the decrease in both free carrier density and Hall mobility. The transmittance in the wavelength region of 300-400 nm for the films also increased with increasing P H 2 O . However, no significant P H 2 O dependence of the electrical and optical properties was observed for the films grown in H 2 /Ar gas mixture (H 2 /Ar-films). Secondary ion mass spectrometry (SIMS) and X-ray diffraction (XRD) analysis revealed that hydrogen concentration in the Ar-films increased with increasing P H 2 O and grain size of the films decreases with increasing the hydrogen concentration. These results indicate that the origin of the incorporated hydrogen is attributed to the residual water vapor in the coating chamber, and that the variation of ρ and transmittance along with P H 2 O of the films resulted from the change in the grain size. On the contrary, the hydrogen concentration in H 2 /Ar-films was almost constant irrespective of P H 2 O and the degree of change in the grain size of the films versus P H 2 O was much smaller than that of Ar-films. These facts indicate that the hydrogen primarily comes from H 2 gas and the adsorption species due to H 2 gas preferentially adsorb to the growing film surface over residual water vapor. Consequently, the effects of P H 2 O on the crystal growth are reduced

  3. Adsorption properties of Mg-Al layered double hydroxides thin films grown by laser based techniques

    Energy Technology Data Exchange (ETDEWEB)

    Matei, A., E-mail: andreeapurice@nipne.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Birjega, R.; Vlad, A.; Filipescu, M.; Nedelcea, A.; Luculescu, C. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania); Zavoianu, R.; Pavel, O.D. [University of Bucharest, Faculty of Chemistry, Department of Chemical Technology and Catalysis, 4-12 Regina Elisabeta Bd., Bucharest (Romania); Dinescu, M. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Str., 77125 Bucharest, Magurele (Romania)

    2012-09-15

    Highlights: Black-Right-Pointing-Pointer Laser techniques MAPLE and PLD can successfully be used to produce LDHs thin films. Black-Right-Pointing-Pointer Hydration treatments of the PLD and MAPLE deposited films lead to the LDH reconstruction effect. Black-Right-Pointing-Pointer The Ni retention from aqueous solution occurs in the films via a dissolution-reconstruction mechanism. Black-Right-Pointing-Pointer The films are suitable for applications in remediation of contaminated drinking water or waste waters. - Abstract: Powdered layered double hydroxides (LDHs) have been widely studied due to their applications as catalysts, anionic exchangers or host materials for inorganic and/or organic molecules. Assembling nano-sized LDHs onto flat solid substrates forming thin films is an expanding area of research due to the prospects of novel applications as sensors, corrosion-resistant coatings, components in optical and magnetic devices. Continuous and adherent thin films were grown by laser techniques (pulsed laser deposition - PLD and matrix assisted pulsed laser evaporation - MAPLE) starting from targets of Mg-Al LDHs. The capacity of the grown thin films to retain a metal (Ni) from contaminated water has been also explored. The thin films were immersed in an Ni(NO{sub 3}){sub 2} aqueous solutions with Ni concentrations of 10{sup -3}% (w/w) (1 g/L) and 10{sup -4}% (w/w) (0.1 g/L), respectively. X-ray diffraction (XRD), atomic force microscopy (AFM), scanning electron microscopy (SEM) combined with energy dispersive X-ray analysis (EDX) were the techniques used to characterize the prepared materials.

  4. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution.

    Science.gov (United States)

    Faber, Hendrik; Das, Satyajit; Lin, Yen-Hung; Pliatsikas, Nikos; Zhao, Kui; Kehagias, Thomas; Dimitrakopulos, George; Amassian, Aram; Patsalas, Panos A; Anthopoulos, Thomas D

    2017-03-01

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In 2 O 3 /ZnO heterojunction. We find that In 2 O 3 /ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In 2 O 3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In 2 O 3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  5. Heterojunction oxide thin-film transistors with unprecedented electron mobility grown from solution

    KAUST Repository

    Faber, Hendrik

    2017-04-28

    Thin-film transistors made of solution-processed metal oxide semiconductors hold great promise for application in the emerging sector of large-area electronics. However, further advancement of the technology is hindered by limitations associated with the extrinsic electron transport properties of the often defect-prone oxides. We overcome this limitation by replacing the single-layer semiconductor channel with a low-dimensional, solution-grown In2O3/ZnO heterojunction. We find that In2O3/ZnO transistors exhibit band-like electron transport, with mobility values significantly higher than single-layer In2O3 and ZnO devices by a factor of 2 to 100. This marked improvement is shown to originate from the presence of free electrons confined on the plane of the atomically sharp heterointerface induced by the large conduction band offset between In2O3 and ZnO. Our finding underscores engineering of solution-grown metal oxide heterointerfaces as an alternative strategy to thin-film transistor development and has the potential for widespread technological applications.

  6. Structural Properties Characterized by the Film Thickness and Annealing Temperature for La2O3 Films Grown by Atomic Layer Deposition.

    Science.gov (United States)

    Wang, Xing; Liu, Hongxia; Zhao, Lu; Fei, Chenxi; Feng, Xingyao; Chen, Shupeng; Wang, Yongte

    2017-12-01

    La 2 O 3 films were grown on Si substrates by atomic layer deposition technique with different thickness. Crystallization characteristics of the La 2 O 3 films were analyzed by grazing incidence X-ray diffraction after post-deposition rapid thermal annealing treatments at several annealing temperatures. It was found that the crystallization behaviors of the La 2 O 3 films are affected by the film thickness and annealing temperatures as a relationship with the diffusion of Si substrate. Compared with the amorphous La 2 O 3 films, the crystallized films were observed to be more unstable due to the hygroscopicity of La 2 O 3 . Besides, the impacts of crystallization characteristics on the bandgap and refractive index of the La 2 O 3 films were also investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry, respectively.

  7. Polarity control of GaN epitaxial films grown on LiGaO2(001) substrates and its mechanism.

    Science.gov (United States)

    Zheng, Yulin; Wang, Wenliang; Li, Xiaochan; Li, Yuan; Huang, Liegen; Li, Guoqiang

    2017-08-16

    The polarity of GaN epitaxial films grown on LiGaO 2 (001) substrates by pulsed laser deposition has been well controlled. It is experimentally proved that the GaN epitaxial films grown on nitrided LiGaO 2 (001) substrates reveal Ga-polarity, while the GaN epitaxial films grown on non-nitrided LiGaO 2 (001) substrates show N-polarity. The growth mechanisms for these two cases are systematically studied by first-principles calculations based on density functional theory. Theoretical calculation presents that the adsorption of a Ga atom preferentially occurs at the center of three N atoms stacked on the nitrided LiGaO 2 (001) substrates, which leads to the formation of Ga-polarity GaN. Whereas the adsorption of a Ga atom preferentially deposits at the top of a N atom stacked on the non-nitrided LiGaO 2 (001) substrates, which results in the formation of N-polarity GaN. This work of controlling the polarity of GaN epitaxial films is of paramount importance for the fabrication of group-III nitride devices for various applications.

  8. Some studies on successive ionic layer adsorption and reaction (SILAR) grown indium sulphide thin films

    International Nuclear Information System (INIS)

    Pathan, H.M.; Lokhande, C.D.; Kulkarni, S.S.; Amalnerkar, D.P.; Seth, T.; Han, Sung-Hwan

    2005-01-01

    Indium sulphide (In 2 S 3 ) thin films were grown on amorphous glass substrate by the successive ionic layer adsorption and reaction (SILAR) method. X-ray diffraction, optical absorption, scanning electron microscopy (SEM) and Rutherford back scattering (RBS) were applied to study the structural, optical, surface morphological and compositional properties of the indium sulphide thin films. Utilization of triethanolamine and hydrazine hydrate complexed indium sulphate and sodium sulphide as precursors resulted in nanocrystalline In 2 S 3 thin film. The optical band gap was found to be 2.7 eV. The film appeared to be smooth and homogeneous from SEM study

  9. Infrared reflectance of GaN films grown on Si(001) substrates

    International Nuclear Information System (INIS)

    Zhang, Xiong; Hou, Yong-Tian; Feng, Zhe-Chuan; Chen, Jin-Li

    2001-01-01

    GaN thin films on Si(001) substrates are studied by infrared reflectance (IRR) spectroscopy at room temperature (RT). Variations in the IRR spectral line shape with the microstructure of GaN/Si(011) film are quantitatively explained in terms of a three-component effective medium model. In this model, the nominally undoped GaN film is considered to consist of three elementary components, i.e., single crystalline GaN grains, pores (voids), and inter-granulated materials (amorphous GaN clusters). Such a polycrystalline nature of the GaN/Si(001) films was confirmed by scanning electron microscopy measurements. It was demonstrated that based on the proposed three-component effective medium model, excellent overall simulation of the RT-IRR spectra can be achieved, and the fine structures of the GaN reststrahlen band in the measured RT-IRR spectra can also be interpreted very well. Furthermore, the volume fraction for each component in the GaN/Si(001) film was accurately determined by fitting the experimental RT-IRR spectra with the theoretical simulation. These results indicate that IRR spectroscopy can offer a sensitive and convenient tool to probe the microstructure of GaN films grown on silicon. [copyright] 2001 American Institute of Physics

  10. Persistent photocurrent and deep level traps in PLD-grown In-Ga-Zn-O thin films studied by thermally stimulated current spectroscopy

    Science.gov (United States)

    Wang, Buguo; Anders, Jason; Leedy, Kevin; Schuette, Michael; Look, David

    2018-02-01

    InGaZnO (IGZO) is a promising semiconductor material for thin-film transistors (TFTs) used in DC and RF switching applications, especially since it can be grown at low temperatures on a wide variety of substrates. Enhancement-mode TFTs based on IGZO thin films grown by pulsed laser deposition (PLD) have been recently fabricated and these transistors show excellent performance; however, compositional variations and defects can adversely affect film quality, especially in regard to electrical properties. In this study, we use thermally stimulated current (TSC) spectroscopy to characterize the electrical properties and the deep traps in PLD-grown IGZO thin films. It was found that the as-grown sample has a DC activation energy of 0.62 eV, and two major traps with activation energies at 0.16-0.26 eV and at 0.90 eV. However, a strong persistent photocurrent (PPC) sometimes exists in the as-grown sample, so we carry out post-growth annealing in an attempt to mitigate the effect. It was found that annealing in argon increases the conduction, produces more PPC and also makes more traps observable. Annealing in air makes the film more resistive, and removes PPC and all traps but one. This work demonstrates that current-based trap emission, such as that associated with the TSC, can effectively reveal electronic defects in highlyresistive semiconductor materials, especially those are not amenable to capacitance-based techniques, such as deeplevel transient spectroscopy (DLTS).

  11. Electron-diffraction and spectroscopical characterisation of ultrathin ZnS films grown by molecular beam epitaxy on GaP(0 0 1)

    International Nuclear Information System (INIS)

    Zhang, L.; Szargan, R.; Chasse, T.

    2004-01-01

    ZnS films were grown by molecular beam epitaxy employing a single compound effusion cell on GaP(0 0 1) substrate at different temperatures, and characterised by means of low energy electron diffraction, X-ray and ultra-violet photoelectron spectroscopy, angle-resolved ultra-violet photoelectron spectroscopy and X-ray emission spectroscopy. The GaP(0 0 1) substrate exhibits a (4x2) reconstruction after Ar ion sputtering and annealing at 370 deg. C. Crystal quality of the ZnS films depends on both film thickness and growth temperature. Thinner films grown at higher temperatures and thicker films grown at lower temperatures have better crystal quality. The layer-by-layer growth mode of the ZnS films at lower (25, 80 and 100 deg. C) temperatures changes to layer-by-layer-plus-island mode at higher temperatures (120, 150 and 180 deg. C). A chemical reaction takes place and is confined to the interface. The valence band offset of the ZnS-GaP heterojunction was determined to be 0.8±0.1 eV. Sulphur L 2,3 emission spectra of ZnS powder raw material and the epitaxial ZnS films display the same features, regardless of the existence of the Ga-S bonding in the film samples

  12. Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Chung, Yee Ling; Peng Xingyu; Liao, Ying Chieh; Yao Shude; Chen, Li Chyong; Chen, Kuei Hsien; Feng, Zhe Chuan

    2011-01-01

    A series of InN thin films was grown on sapphire substrates via plasma-assisted molecular beam epitaxy (PA-MBE) with different nitrogen plasma power. Various characterization techniques, including Hall, photoluminescence, Raman scattering and Rutherford backscattering, have been employed to study these InN films. Good crystalline wurtzite structures have been identified for all PA-MBE grown InN films on sapphire substrate, which have narrower XRD wurtzite (0002) peaks, showed c-axis Raman scattering allowed longitudinal optical (LO) modes of A 1 and E 1 plus E 2 symmetry, and very weak backscattering forbidden transverse optical (TO) modes. The lower plasma power can lead to the lower carrier concentration, to have the InN film close to intrinsic material with the PL emission below 0.70 eV. With increasing the plasma power, high carrier concentration beyond 1 x 10 20 cm -3 can be obtained, keeping good crystalline perfection. Rutherford backscattering confirmed most of InN films keeping stoichiometrical In/N ratios and only with higher plasma power of 400 W leaded to obvious surface effect and interdiffusion between the substrate and InN film.

  13. Growth analysis of cadmium sulfide thin films by atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Moutinho, H.R.; Dhere, R.G.; Ramanathan, K. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    CdS films have been deposited by solution growth on SnO{sub 2} and glass substrates. Nucleation on SnO{sub 2} occurs at early deposition times, and complete conformal coverage is observed at low thickness values. The average grain size of the CdS films is established at these early times. In films deposited on glass substrates, nucleation is slower and occurs through 3-dimensional islands that increase in size and number as deposition proceeds. Optical measurements show that the bandgap values of CdS films deposited on SnO{sub 2} depend mainly on substrate structure. Hydrogen heat treatment does not affect the surface morphology of the samples, but decreases bandgap values.

  14. Investigation of AgInS2 thin films grown by coevaporation

    Science.gov (United States)

    Arredondo, C. A.; Clavijo, J.; Gordillo, G.

    2009-05-01

    AgInS2 thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS2 phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS2 films present p-type conductivity, a high absorption coefficient (greater than 104 cm-1) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  15. Improvement of the characteristics of chemical bath deposition-cadmium sulfide films deposited on an O{sub 2} plasma-treated polyethylene terephthalate substrate

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Donggun [Department of Electronic Engineering, Korea National University of Transportation, Chungju-si, Chungcheongbuk-do 380-702 (Korea, Republic of); Lee, Jaehyeong [School of Electronic and Electrical Engineering, Sungkyunkwan University 300, Cheoncheon-dong, Jangan-gu, Sunwon, Kyeonggi-do, 440-746 (Korea, Republic of); Song, Woochang, E-mail: wcsong@kangwon.ac.kr [Department of Electrical Engineering, Kangwon National University, Samcheok-si, Gangwon-do 245-711 (Korea, Republic of)

    2013-11-01

    We prepared cadmium sulfide (CdS) films on a polyethylene terephthalate (PET) substrate by a chemical bath deposition (CBD) technique. To improve the adhesion between the CdS film and the PET substrate, the substrate was pre-treated with an O{sub 2} plasma by an inductively coupled plasma. The surface characterizations of the pre-treated PET substrate were analyzed by a contact angle measurement and atomic force microscopy. The results showed that that O{sub 2} plasma-treated PET films had more hydrophilic surface. The hydrophilic property of the substrate is one of the important factors when a film is prepared by CBD. The structural and the optical properties of the CdS films, deposited on PET substrates, were analyzed by using a scanning electron microscope, X-ray diffraction and a UV–visible spectrophotometer. The CdS films were formed on a compact and granular structure. The optical transmittance was also improved. Therefore, the O{sub 2} plasma treatment of a PET surface is an effective method of preparing CdS films deposited on substrates by CBD. - Highlights: • Chemical bath deposition of CdS film for flexible solar cells • O{sub 2} plasma treatment improved adhesion between the CdS and polymer substrate • Identification of best fabrication condition of CdS window layers for flexible solar cells.

  16. Template-directed nucleation and growth of CdS nanocrystal: the role of helical and nonhelical nanofibers on their shape and size

    International Nuclear Information System (INIS)

    Bose, Partha Pratim; Banerjee, Arindam

    2010-01-01

    This study describes the use of chiral nature of synthetic self-assembled nanofibers for nucleation and growth of Cadmium sulfide (CdS) nanocrystals with different sizes and shapes in room temperature. The templates are built by immobilizing a peptide capping agent on the surface of synthetic self-assembled helical or nonhelical nanofibers and CdS nanocrystals were allowed to grow on them. It is observed that there are differences in shapes and sizes of the nanocrystals depending on the chiral nature of the nanofibers on which they were growing. Even the CdS nanocrystals grown on different chiral and achiral nanofibers differ markedly in their photoluminescence properties. Thus, here we introduce a new way of using chirality of nanofibers to nucleate and grow CdS nanocrystals of different shape, size, and optical property.

  17. CdS nanowires formed by chemical synthesis using conjugated single-stranded DNA molecules

    Science.gov (United States)

    Sarangi, S. N.; Sahu, S. N.; Nozaki, S.

    2018-03-01

    CdS nanowires were successfully grown by chemical synthesis using two conjugated single-stranded (ss) DNA molecules, poly G (30) and poly C (30), as templates. During the early stage of the synthesis with the DNA molecules, the Cd 2+ interacts with Poly G and Poly C and produces the (Cd 2+)-Poly GC complex. As the growth proceeds, it results in nanowires. The structural analysis by grazing angle x-ray diffraction and transmission electron microscopy confirmed the zinc-blende CdS nanowires with the growth direction of . Although the nanowires are well surface-passivated with the DNA molecules, the photoluminescence quenching was caused by the electron transfer from the nanowires to the DNA molecules. The quenching can be used to detect and label the DNAs.

  18. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  19. Electronic structure analysis of GaN films grown on r- and a-plane sapphire

    Energy Technology Data Exchange (ETDEWEB)

    Mishra, Monu; Krishna TC, Shibin; Aggarwal, Neha [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Vihari, Saket [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Gupta, Govind, E-mail: govind@nplindia.org [Physics of Energy Harvesting Division, CSIR-National Physical Laboratory (CSIR-NPL), Dr. K.S. Krishnan Marg, New Delhi 110012 (India); Academy of Scientific and Innovative Research (AcSIR), CSIR-NPL Campus, Dr. K.S. Krishnan Marg, New Delhi 110012 (India)

    2015-10-05

    Graphical abstract: Substrate orientation induced changes in surface chemistry, band bending, hybridization states, electronic properties and surface morphology of epitaxially grown GaN were investigated via photoemission spectroscopic and Atomic Force Microscopic measurements. - Highlights: • Electronic structure and surface properties of GaN film grown on r/a-plane sapphire. • Downward band bending (0.5 eV) and high surface oxide is observed for GaN/a-sapphire. • Electron affinity and ionization energy is found to be higher for GaN/a-sapphire. - Abstract: The electronic structure and surface properties of epitaxial GaN films grown on r- and a-plane sapphire substrates were probed via spectroscopic and microscopic measurements. X-ray photoemission spectroscopic (XPS) measurements were performed to analyse the surface chemistry, band bending and valence band hybridization states. It was observed that GaN/a-sapphire display a downward band bending of 0.5 eV and possess higher amount of surface oxide compared to GaN/r-sapphire. The valence band (VB) investigation revealed that the hybridization corresponds to the interactions of Ga 4s and Ga 4p orbitals with N 2p orbital, and result in N2p–Ga4p, N2p–Ga4s{sup ∗}, mixed and N2p–Ga4s states. The energy band structure and electronic properties were measured via ultraviolet photoemission spectroscopic (UPS) experiments. The band structure analysis and electronic properties calculations divulged that the electron affinity and ionization energy of GaN/a-sapphire were 0.3 eV higher than GaN/r-sapphire film. Atomic Force Microscopic (AFM) measurements revealed faceted morphology of GaN/r-sapphire while a smooth pitted surface was observed for GaN/a-sapphire film, which is closely related to surface oxide coverage.

  20. Sb-related defects in Sb-doped ZnO thin film grown by pulsed laser deposition

    Science.gov (United States)

    Luo, Caiqin; Ho, Lok-Ping; Azad, Fahad; Anwand, Wolfgang; Butterling, Maik; Wagner, Andreas; Kuznetsov, Andrej; Zhu, Hai; Su, Shichen; Ling, Francis Chi-Chung

    2018-04-01

    Sb-doped ZnO films were fabricated on c-plane sapphire using the pulsed laser deposition method and characterized by Hall effect measurement, X-ray photoelectron spectroscopy, X-ray diffraction, photoluminescence, and positron annihilation spectroscopy. Systematic studies on the growth conditions with different Sb composition, oxygen pressure, and post-growth annealing were conducted. If the Sb doping concentration is lower than the threshold ˜8 × 1020 cm-3, the as-grown films grown with an appropriate oxygen pressure could be n˜4 × 1020 cm-3. The shallow donor was attributed to the SbZn related defect. Annealing these samples led to the formation of the SbZn-2VZn shallow acceptor which subsequently compensated for the free carrier. For samples with Sb concentration exceeding the threshold, the yielded as-grown samples were highly resistive. X-ray diffraction results showed that the Sb dopant occupied the O site rather than the Zn site as the Sb doping exceeded the threshold, whereas the SbO related deep acceptor was responsible for the high resistivity of the samples.

  1. Surface plasmon resonances of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition at different compositions and temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Verma, Shweta, E-mail: shwetaverma@rrcat.gov.in; Rao, B. T.; Detty, A. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Ganesan, V.; Phase, D. M. [UGC-DAE Consortium for Scientific Research, Indore 452 001 (India); Rai, S. K. [Indus Synchrotons Utilization Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India); Bose, A.; Joshi, S. C. [Proton Linac and Superconducting Cavities Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2015-04-07

    We studied localized surface plasmon resonances (LSPR) at different compositions, substrate temperatures, and mass thicknesses of Ag-Au alloy nanoparticle films grown by sequential pulsed laser deposition. The LSPRs were pronounced at all compositions of the films grown at high substrate temperature of about 300 °C as compared to those grown at room temperature. The alloy formation and composition of the films were determined using X-ray photoelectron and energy dispersive spectroscopy. Films' mass thickness and compositional uniformity along the thickness were determined using X-ray reflectometry and secondary ion mass spectroscopy. Atomic force microscopic analysis revealed the formation of densely packed nanoparticles of increasing size with the number of laser ablation pulses. The LSPR wavelength red shifted with increasing either Au percentage or film mass thickness and corresponding LSPR tuning was obtained in the range of 450 to 690 nm. The alloy dielectric functions obtained from three different models were compared and the optical responses of the nanoparticle films were calculated from modified Yamaguchi effective medium theory. The tuning of LSPR was found to be due to combined effect of change in intrinsic and extrinsic parameters mainly the composition, morphology, particle-particle, and particle-substrate interactions.

  2. Hydrothermal synthesis of CdS nanorods anchored on α-Fe2O3 nanotube arrays with enhanced visible-light-driven photocatalytic properties.

    Science.gov (United States)

    Lei, Rui; Ni, Hongwei; Chen, Rongsheng; Gu, Huazhi; Zhang, Bowei; Zhan, Weiting

    2018-03-15

    As an n-type semiconductor with an excellent physicochemical properties, iron oxide (Fe 2 O 3 ) has been extensively used in the fields of environmental pollution control and solar energy conversion. However, the high recombination rate of the photoinduced electron-hole pairs and poor charge mobility for Fe 2 O 3 nanomaterial generally result in low photocatalytic efficiency. Herein, an uniform CdS nanorods grown directly on one-dimensional α-Fe 2 O 3 nanotube arrays (NTAs) are successfully synthesized by a facile hydrothermal method and the constructed heterojunction can be a kind of efficient and recyclable photocatalysts. Successful deposition of CdS nanorods onto the α-Fe 2 O 3 NTAs is verified by field emission scanning electron microscopy(FESEM), X-ray diffraction (XRD) and transmission electron microscopy (TEM) with energy dispersive X-ray spectroscopy (EDS). UV-Vis diffuse reflectance spectroscopy indicates that α-Fe 2 O 3 /CdS NTAs possess the intense visible light absorption and also display a red-shift of the band-edge compared with the pure α-Fe 2 O 3 NTAs. The as-obtained α-Fe 2 O 3 /CdS NTAs display excellent photocatalytic activity for decomposition of methylene blue (MB), methyl orange (MO), and phenol under visible light illumination. Among all the tested photocatalysts, the film synthesized for 3h with good stability exhibits the best photocatalytic properties and produces the highest photocurrent of 1.43 mA/cm 2 at 0.8 V vs. Ag/AgCl electrode, owing to its well formed heterojunction structure, effective electron-hole pair separation and direct electron transfer pathway along the CdS nanorods and α-Fe 2 O 3 NTAs. Besides, the photogenerated holes (h + ) and superoxide radicals (O 2 - ) play dominant roles in the photocatalytic process. On the basis of the photocatalytic results and energy band diagram, the photocatalytic process mechanism is proposed. Considering the easy preparation and excellent performance, α-Fe 2 O 3 /CdS NTAs could

  3. Growth of CdS nanoparticles by chemical method and its ...

    Indian Academy of Sciences (India)

    carbon film supported on the copper grid and was kept for some time for drying. Transmission electron ... Wang et al obtained CdS nanoparticles having a band gap of. 2.79 eV [21]. .... [16] S Yu, Y Wu, J Yang, Z Han, Y Quan, X Liu and Y Xie, Chem. Matter 10 ... [22] U K Gautam, R Seshadri and C N R Rao, Chem. Phys. Lett.

  4. TiO2-CdS Nanocomposites: Effect of CdS Oxidation on the Photocatalytic Activity

    Directory of Open Access Journals (Sweden)

    A. Hamdi

    2016-01-01

    Full Text Available Nanocomposites TiO2-CdS with different relative contents of CdS (molar ratios Cd/Ti = 0.02, 0.03, 0.05, 0.1, 0.2, and 0.5 were studied. The structural, photophysical, and chemical properties were investigated using XRD, Raman spectroscopy, XPS, GSDR, and LIL. XRD and Raman results confirmed the presence of TiO2 and CdS with intensities dependent on the ratio Cd/Ti. The presence of CdSO4 was detected by XPS at the surface of all TiO2-CdS composites. The relative amount of sulphate was dependent on the CdS loading. Luminescence time-resolved spectra clearly proved the existence of an excitation transfer process from CdS to TiO2 through the luminescence emission from TiO2 after excitation of CdS at λexc=410 nm, where no direct excitation of TiO2 occurs. Photodegradation of a series of aromatic carboxylic acids—benzoic, salicylic, 4-bromobenzoic, 3-phenylpropionic, and veratric acids—showed a great enhancement in the photocatalytic efficiency of the TiO2-CdS composites, which is due, mainly, to the effect of the charge carriers’ increased lifetime. In addition, it was shown that the oxidation of CdS to CdSO4 did not result in the deactivation of the photocatalytic properties and even contributed to enhance the degradation efficiency.

  5. C. pneumoniae CdsL regulates CdsN ATPase activity, and disruption with a peptide mimetic prevents bacterial invasion

    Directory of Open Access Journals (Sweden)

    Chris Blair Stone

    2011-02-01

    Full Text Available Chlamydiae are obligate intracellular pathogens that likely require type III secretion (T3S to invade cells and replicate intracellulary within a cytoplasmic vacuole called an inclusion body. C. pneumoniae possess a YscL ortholog, CdsL, that has been shown to interact with the T3S ATPase (CdsN. In this report we demonstrate that CdsL down-regulates CdsN enzymatic activity in a dose-dependent manner. Using PepScan epitope mapping we identified two separate binding domains to which CdsL binds viz. CdsN 221-229 and CdsN265-270. We confirmed the binding domains using a pull-down assay and showed that GST-CdsN221-270, which encompasses these peptides, co-purified with His-CdsL. Next, we used orthology modeling based on the crystal structure of a T3S ATPase ortholog from E. coli, EscN, to map the binding domains on the predicted three dimensional structure of CdsN. The CdsL binding domains mapped to the catalytic domain of the ATPase, one in the central channel of the ATPase hexamer and one on the outer face. Since peptide mimetics have been used to disrupt essential protein interactions of the chlamydial T3S system and inhibit T3S-mediated invasion of HeLa cells, we hypothesized that if CdsL – CdsN binding is essential for regulating T3S then a CdsN peptide mimetic could be used to potentially block T3S and Chlamydial invasion. Treatment of EBs with a CdsN peptide mimetic inhibited C. pneumoniae invasion into HeLa cells in a dose-dependent fashion. This report represents the first use of Pepscan technology to identify binding domains for specific T3S proteins viz. CdsL on the ATPase, CdsN, and demonstrates that peptide mimetics can be used as anti-virulence factors to block bacterial invasion.

  6. Optical, structural and morphological properties of CdS-CdCO{sub 3} films

    Energy Technology Data Exchange (ETDEWEB)

    Chavez P, M.; Sosa S, A. [Benemerita Universidad Autonoma de Puebla, Instituto de Ciencias, Centro de Investigaciones en Dispositivos Semiconductores, Apdo. Postal 1067, 72001 Puebla, Puebla (Mexico); Juarez D, G. [Benemerita Universidad Autonoma de Puebla, Facultad de Ciencias de la Computacion, Apdo. Postal 1067, 72570 Puebla, Puebla (Mexico); Chaltel L, L. A.; Gutierrez P, R.; Hernandez T, G.; Portillo M, O. [Benemerita Universidad Autonoma de Puebla, Facultad de Ciencias Quimicas, Laboratorio de Ciencia de Materiales, Apdo. Postal 1067, 72001 Puebla, Puebla (Mexico); Cruz C, S., E-mail: j.gabriel@rocketmail.com [Universidad Politecnica de Tlaxcala, Departamento de Ingenieria Quimica, San Pedro Xalcaltzinco, Tepeyanco, Tlaxcala (Mexico)

    2015-07-01

    CdS-CdCO{sub 3} thin films were grown by chemical bath deposition. Different constant deposition temperatures were employed in the range of 20-80 grades C. From X-ray diffraction results can be observed that intensity of CdS peak is abruptly reduced when deposition temperature is decreased. By Sem images the formation and change in shape and size of crystallites can be observed as temperature is decreased. The forbidden energy band gap was 2.4-4.1 eV, determined from optical absorption. The formation of products was further confirmed with Ftir studies. (Author)

  7. Synthesis and characterization of CdS/PVA nanocomposite films

    International Nuclear Information System (INIS)

    Wang Hongmei; Fang Pengfei; Chen Zhe; Wang Shaojie

    2007-01-01

    A series CdS/PVA nanocomposite films with different amount of Cd salt have been prepared by means of the in situ synthesis method via the reaction of Cd 2+ -dispersed poly vinyl-alcohol (PVA) with H 2 S. The as-prepared films were characterized by X-ray diffraction (XRD), transmission electron microscopy (TEM), ultraviolet-visible (UV-vis) absorption, photoluminescence (PL) spectra, Fourier transform infrared spectroscope (FTIR) and thermogravimetric analysis (TGA). The XRD results indicated the formation of CdS nanoparticles with hexagonal phase in the PVA matrix. The primary FTIR spectra of CdS/PVA nanocomposite in different processing stages have been discussed. The vibrational absorption peak of Cd-S bond at 405 cm -1 was observed, which further testified the generation of CdS nanoparticles. The TGA results showed incorporation of CdS nanoparticles significantly altered the thermal properties of PVA matrix. The photoluminescence and UV-vis spectroscopy revealed that the CdS/PVA films showed quantum confinement effect

  8. Highly c-axis oriented growth of GaN film on sapphire (0001 by laser molecular beam epitaxy using HVPE grown GaN bulk target

    Directory of Open Access Journals (Sweden)

    S. S. Kushvaha

    2013-09-01

    Full Text Available Growth temperature dependant surface morphology and crystalline properties of the epitaxial GaN layers grown on pre-nitridated sapphire (0001 substrates by laser molecular beam epitaxy (LMBE were investigated in the range of 500–750 °C. The grown GaN films were characterized using high resolution x-ray diffraction, atomic force microscopy (AFM, micro-Raman spectroscopy, and secondary ion mass spectroscopy (SIMS. The x-ray rocking curve full width at a half maximum (FWHM value for (0002 reflection dramatically decreased from 1582 arc sec to 153 arc sec when the growth temperature was increased from 500 °C to 600 °C and the value further decreased with increase of growth temperature up to 720 °C. A highly c-axis oriented GaN epitaxial film was obtained at 720 °C with a (0002 plane rocking curve FWHM value as low as 102 arc sec. From AFM studies, it is observed that the GaN grain size also increased with increasing growth temperature and flat, large lateral grains of size 200-300 nm was obtained for the film grown at 720 °C. The micro-Raman spectroscopy studies also exhibited the high-quality wurtzite nature of GaN film grown on sapphire at 720 °C. The SIMS measurements revealed a non-traceable amount of background oxygen impurity in the grown GaN films. The results show that the growth temperature strongly influences the surface morphology and crystalline quality of the epitaxial GaN films on sapphire grown by LMBE.

  9. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    OpenAIRE

    Birkett, Martin; Penlington, Roger

    2016-01-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10–1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10–25 nm the ...

  10. Co2FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    International Nuclear Information System (INIS)

    Belmeguenai, M.; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P.; Gabor, M. S.; Petrisor, T.; Tiusan, C.

    2014-01-01

    10 nm and 50 nm Co 2 FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T a ), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T a , while the uniaxial anisotropy field is nearly unaffected by T a within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T a . Finally, the FMR linewidth decreases when increasing T a , due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10 −3 and 1.3×10 −3 for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  11. Structural, morphological and mechanical properties of niobium nitride thin films grown by ion and electron beams emanated from plasma

    Science.gov (United States)

    Siddiqui, Jamil; Hussain, Tousif; Ahmad, Riaz; Umar, Zeeshan A.; Abdus Samad, Ubair

    2016-05-01

    The influence of variation in plasma deposition parameters on the structural, morphological and mechanical characteristics of the niobium nitride films grown by plasma-emanated ion and electron beams are investigated. Crystallographic investigation made by X-ray diffractometer shows that the film synthesized at 10 cm axial distance with 15 plasma focus shots (PFS) exhibits better crystallinity when compared to the other deposition conditions. Morphological analysis made by scanning electron microscope reveals a definite granular pattern composed of homogeneously distributed nano-spheroids grown as clustered particles for the film synthesized at 10 cm axial distance for 15 PFS. Roughness analysis demonstrates higher rms roughness for the films synthesized at shorter axial distance and by greater number of PFS. Maximum niobium atomic percentage (35.8) and maximum average hardness (19.4 ± 0.4 GPa) characterized by energy-dispersive spectroscopy and nano-hardness analyzer respectively are observed for film synthesized at 10 cm axial distance with 15 PFS.

  12. Luminescent nanocomposites of conducting polymers and in-situ grown CdS quantum dots

    International Nuclear Information System (INIS)

    Borriello, C.; Masala, S.; Nenna, G.; Minarini, C.; Di Luccio, T.; Bizzarro, V.; Re, M.; Pesce, E.

    2010-01-01

    Luminescent PVK:CdS and P3HT:CdS nanocomposites with enhanced electrooptical properties have been synthesized. The nucleation and growth of CdS nanoparticles have been obtained by the thermolysis of a single Cd and S precursor dispersed in the polymers. The size distribution and morphology of the nanoparticles have been studied by TEM analyses. Monodispersive and very small nanoparticles of diameter below 3 nm in PVK and 2 nm in P3HT, have been obtained. The application of such nanocomposites as emitting layers in OLED devices is discussed.

  13. Structural and magnetic properties of SmCo-based magnetic films grown by electron-beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Saravanan, P., E-mail: psdrdo@gmail.com [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Vinod, V.T.P.; Černík, Miroslav [Institute for Nanomaterials, Advanced Technologies and Innovation, Department of Natural Sciences, Technical University of Liberec, Studentská 1402/2, Liberec 1, 461 17 (Czech Republic); Vishnuraj, R.; Arout Chelvane, J.; Kamat, S.V. [Defence Metallurgical Research Laboratory, Hyderabad 500058 (India); Hsu, Jen-Hwa, E-mail: jhhsu@phys.ntu.edu.tw [Department of Physics, National Taiwan University, Taipei 106, Taiwan (China)

    2015-07-01

    Sub-micron thick Sm–Co films (200 and 300 nm) with selective phase composition are grown on Si (100) substrates by electron-beam evaporation using Sm-lean alloy targets such as Sm{sub 4}Co{sub 96} and Sm{sub 8}Co{sub 92}. The structural and magnetic properties of Sm–Co films are characterized by x-ray diffraction (XRD), field-emission scanning electron microscopy (FESEM) and super-conducting quantum interference device (SQUID) magnetometer. The Sm–Co films obtained with the Sm{sub 4}Co{sub 96} target exhibit Sm{sub 2}Co{sub 17} as a prominent phase; while the films produced with the Sm{sub 8}Co{sub 92} target show Sm{sub 2}Co{sub 7} as a major phase. Both the Sm–Co films reveal granular morphology; however, the estimated grain size values are slightly lower in the case of Sm{sub 2}Co{sub 7} films, irrespective of their thicknesses. Coercivity (H{sub c}) values of 1.48 and 0.9 kOe are achieved for the as-grown 200-nm thick Sm{sub 2}Co{sub 17} and Sm{sub 2}Co{sub 7}-films. Temperature-dependent magnetization studies confirm that the demagnetization behaviors of these films are consistent with respect to the identified phase composition. Upon rapid thermal annealing, maximum H{sub c} value of 8.4 kOe is achieved for the 200 nm thick Sm{sub 2}Co{sub 17}-films. As far as e-beam evaporated Sm–Co films are concerned, this H{sub c} value is one of the best values reported so far. - Highlights: • Electron-beam evaporation was exploited to grow sub-μm thick Sm–Co films. • Sm{sub 2}Co{sub 7} and Sm{sub 2}Co{sub 17} magnetic phases were crystallized using Sm-lean alloy targets. • Both 200 and 300-nm thick Sm–Co films revealed distinct granular morphology. • Sm–Co films of lower thickness exhibited high H{sub c} and low M{sub s} and vice-versa. • Coercivity value of 8.4 kOe achieved for the 200-nm thick Sm{sub 2}Co{sub 17}-films after RTA.

  14. Magnetic and structural properties of Co2FeAl thin films grown on Si substrate

    International Nuclear Information System (INIS)

    Belmeguenai, Mohamed; Tuzcuoglu, Hanife; Gabor, Mihai; Petrisor, Traian; Tiusan, Coriolan; Berling, Dominique; Zighem, Fatih; Mourad Chérif, Salim

    2015-01-01

    The correlation between magnetic and structural properties of Co 2 FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO 2 substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm 2 . - Highlights: • Various Co 2 FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness

  15. Device design of GaSb/CdS thin film thermal photovoltaic solar cells%基于GaSb/CdS薄膜热光伏电池的器件设计∗

    Institute of Scientific and Technical Information of China (English)

    吴限量; 张德贤; 蔡宏琨; 周严; 倪牮; 张建军

    2015-01-01

    基于GaSb薄膜热光伏器件是降低热光伏系统成本的有效途径之一,本文主要针对GaSb/CdS薄膜热光伏器件结构进行理论分析.采用AFORS-HET软件进行模拟仿真,分析GaSb和CdS两种材料各自的缺陷态密度、界面态对电池性能的影响.根据软件模拟可以得知,吸收层GaSb的缺陷态密度以及GaSb与CdS之间的界面态密度是影响电池性能的重要因素.当GaSb缺陷态增加时,主要影响电池的填充因子,电池效率明显下降.而作为窗口层的CdS缺陷态密度对电池性能影响不明显,当CdS缺陷态密度上升4个数量级时,电池效率仅下降0.11%.%Enthusiasm in the research of thermo-photovoltaic (TPV) cells has been aroused because the low bandwidth semi-conductors of III-V family are coming into use. GaSb, as a member of III-V family, has many merits such as high absorption coeffcient, and low band gap of 0.725 eV at 300 K etc.. At present thermo-photovoltaic cells are usually based on GaSb wafer, and it can be manufactured by the vertical Bridgeman method. Thermo-photovoltaic cell based on GaSb films is one of the effective ways to reduce the cost of the thermo-photovoltaic system. GaSb polycrystalline films can be grown by physical vapor deposition (PVD) which has advantages in using fewer materials and energy, and also in doing little harm to the environment. Because of residual acceptor defects VGaGaSb, GaSb thin film is usually of p-type semiconductor. So we should find n-type semiconductor material to form pn junction. We choose CdS as the emission layer of a cell structure. CdS belongs to n-type semiconductor with a narrow band gap of 2.4 eV and high light transmissivity. CdS thin film grown by chemical bath deposition (CBD) has passivation properties for GaSb. CdS layers can remove native oxides from GaSb surface and reduce the surface recombination velocity of GaSb. This paper focuses on theoretical analysis of GaSb/CdS thin film photovoltaic

  16. Dielectric properties of thin C r2O3 films grown on elemental and oxide metallic substrates

    Science.gov (United States)

    Mahmood, Ather; Street, Michael; Echtenkamp, Will; Kwan, Chun Pui; Bird, Jonathan P.; Binek, Christian

    2018-04-01

    In an attempt to optimize leakage characteristics of α-C r2O3 thin films, its dielectric properties were investigated at local and macroscopic scale. The films were grown on Pd(111), Pt(111), and V2O3 (0001), supported on A l2O3 substrate. The local conductivity was measured by conductive atomic force microscopy mapping of C r2O3 surfaces, which revealed the nature of defects that formed conducting paths with the bottom Pd or Pt layer. A strong correlation was found between these electrical defects and the grain boundaries revealed in the corresponding topographic scans. In comparison, the C r2O3 film on V2O3 exhibited no leakage paths at similar tip bias value. Electrical resistance measurements through e-beam patterned top electrodes confirmed the resistivity mismatch between the films grown on different electrodes. The x-ray analysis attributes this difference to the twin free C r2O3 growth on V2O3 seeding.

  17. Preparation of mesoporous CdS-containing TiO{sub 2} film and enhanced visible light photocatalytic property

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Yanmei; Wang, Renliang, E-mail: rlwang@tsmc.edu.cn; Zhang, Wenping; Ge, Haiyan; Wang, Xiaopeng; Li, Li

    2015-01-15

    Highlights: • Well-dispersed distribution of CdS nanoparticles inside of TiO{sub 2} mesoporous structures was fabricated. • The sensitization of CdS nanoparticles significantly extends the response of TiO{sub 2} mesoporous film in the visible region. • An improved visible light photocatalytic activity was observed by the CdS–MTF. - Abstract: Mesoporous TiO{sub 2} films containing CdS nanocrystals were successfully fabricated by a two-step process of successive ionic layer adsorption and reaction (SILAR) technique and a solvothermal method followed by annealing. The distribution of CdS nanoparticles in the inner structures of the TiO{sub 2} mesoporous films is confirmed by field emission scanning electron microscope. The CdS modification of the mesoporous films results in an increase in the visible light adsorption, and exhibits more excellent photocatalytic degradation of methyl orange (MO) under visible light irradiation.

  18. Decrease of back recombination rate in CdS quantum dots sensitized solar cells using reduced graphene oxide

    International Nuclear Information System (INIS)

    Badawi Ali

    2015-01-01

    The photovoltaic performance of CdS quantum dots sensitized solar cells (QDSSCs) using the 0.2 wt% of reduced graphene oxide and TiO 2 nanoparticles (RGO+TiO 2 nanocomposite) photoanode is investigated. CdS QDs are adsorbed onto RGO+TiO 2 nanocomposite films by the successive ionic layer adsorption and reaction (SILAR) technique for several cycles. The current density–voltage (J–V) characteristic curves of the assembled QDSSCs are measured at AM1.5 simulated sunlight. The optimal photovoltaic performance for CdS QDSSC was achieved for six SILAR cycles. Solar cells based on the RGO+TiO 2 nanocomposite photoanode achieve a 33% increase in conversion efficiency (η) compared with those based on plain TiO 2 nanoparticle (NP) photoanodes. The electron back recombination rates decrease significantly for CdS QDSSCs based on RGO+TiO 2 nanocomposite photoanodes. The lifetime constant (τ) for CdS QDSSC based on the RGO+TiO 2 nanocomposite photoanode is at least one order of magnitude larger than that based on the bare TiO 2 NPs photoanode. (paper)

  19. Structural, morphological and electronic properties of pulsed laser grown Eu2O3 thin films

    Science.gov (United States)

    Kumar, Sandeep; Prakash, Ram; Choudhary, R. J.; Phase, D. M.

    2018-05-01

    Herein, we report the growth, structural, morphological and electronic properties of Europium sesquioxide (Eu2O3) thin films on Si [1 0 0] substrate using pulsed laser deposition technique. The films were deposited at ˜750 °C substrate temperature while the oxygen partial pressure (OPP) was varied (vacuum,˜1 mTorr, ˜10 mTorr and ˜300 mTorr). X-ray diffraction results confirm the single phase cubic structure of the film grown at ˜300 mTorr. The XRD results are also supported by the Raman's spectroscopy results. Eu-3d XPS core level spectra confirms the dominant contributions from the "3+" states of Eu in the film.

  20. Direct electron transfer of hemoglobin in a CdS nanorods and Nafion composite film on carbon ionic liquid electrode

    International Nuclear Information System (INIS)

    Sun Wei; Wang Dandan; Li Guicun; Zhai Ziqin; Zhao Ruijun; Jiao Kui

    2008-01-01

    In this paper the direct electron transfer of hemoglobin (Hb) was carefully investigated by using a room temperature ionic liquid 1-butyl-3-methylimidazolium hexafluorophosphate (BMIMPF 6 ) modified carbon paste electrode (CILE) as the basal working electrode. Hb was immobilized on the surface of CILE with the nanocomposite film composed of Nafion and CdS nanorods by a step-by-step method. UV-vis and FT-IR spectra showed that Hb in the composite film remained its native structure. The direct electrochemical behaviors of Hb in the composite film were further studied in a pH 7.0 phosphate buffer solution (PBS). A pair of well-defined and quasi-reversible cyclic voltammetric peaks of Hb was obtained with the formal potential (E 0 ') at -0.295 V (vs. SCE), which was the characteristic of heme Fe(III)/Fe(II) redox couples. The direct electrochemistry of Hb was achieved on the modified electrode and the apparent heterogeneous electron transfer rate constant (k s ) was calculated to be 0.291 s -1 . The formal potentials of Hb Fe(III)/Fe(II) couple shifted negatively with the increase of buffer pH and a slope value of -45.1 mV/pH was got, which indicated that one electron transfer accompanied with one proton transportation. The fabricated Hb sensor showed good electrocatalytic manner to the reduction of trichloroacetic acid (TCA)

  1. Comparison of the microstructure and chemistry of GaN(0001) films grown using trimethylgallium and triethylgallium on AlN/SiC substrates

    Energy Technology Data Exchange (ETDEWEB)

    Park, Ji-Soo; Reitmeier, Zachary J.; Davis, Robert F. [Department of Materials Science and Engineering, Box 7907, North Carolina State University, Raleigh, NC 27695 (United States)

    2005-05-01

    The metalorganic chemical vapor deposition of GaN(0001) films using triethylgallium (TEG) and trimethylgallium (TMG) precursors on AlN/6H-SiC(0001) substrates has been conducted using various sets of two temperatures, and the microstructural and chemical differences in the films determined. Growth of films at 980 C and 1020 C using TEG and TMG, respectively, resulted in the formation of separate elongated islands. Growth at the optimum temperatures (for our system) of 1020 C and 1050 C using these two respective precursors resulted in smooth surface microstructures. Analogous depositions at 1050 C and 1080 C resulted in the formation of hillocks over most of the surfaces. In the GaN films grown using TEG at 1020 C the concentrations of carbon (3 x 10{sup 17} cm{sup -3}) and hydrogen (1 x 10{sup 18} cm{sup -3}) were {proportional_to}10 times and {proportional_to}2 times lower than in the films deposited using TMG at 1050 C. The concentrations of oxygen and silicon were 1 x 10{sup 17} cm{sup -3} in the films grown using either precursor. Atomic force microscopy of the films grown using TEG and TMG at 1020 C and 1050 C, respectively, revealed a similar surface roughness with rms values of {proportional_to}1.8 nm within 50 {mu}m x 50 {mu}m scans. The full width at half maxima determined from omega scans of the GaN(0002) peak were {proportional_to}250 arcsec for films grown using both precursors. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. The structure and composition of lithium fluoride films grown by off-axis pulsed laser ablation

    International Nuclear Information System (INIS)

    Henley, S.J.; Ashfold, M.N.R.; Pearce, S.R.J.

    2003-01-01

    Alkali halide coatings have been reported to act as effective dipole layers to lower the surface work function and induce a negative electron affinity of diamond surfaces. Here, the results of the analysis of films grown on silicon and quartz substrates by 193 nm pulsed laser ablation from a commercially available sintered disk of LiF are reported. The morphology, composition and crystallinity of films grown are examined and suitable deposition parameters for optimising the growth are suggested. The ablation was shown to be very efficient at removing a large amount of material from the target, even at relatively low fluence. The morphology of the films produced was poor, however, with a high density of asperities categorised as either particulates produced by exfoliation, or as droplets produced by hydrodynamic sputtering. An improved morphology with smaller droplets and fewer particulates could be produced by mounting the substrate at an angle of 65 deg. to the axis of the ablation plume and using a fluence close to the measured ablation threshold of 1.2±0.1 J/cm 2 . The elemental composition of the films was shown to be indistinguishable from that of bulk LiF, despite evidence for significant recondensation of Li back onto the target. Films containing crystal grains oriented with the direction normal to the substrate surface were observed at substrate temperatures in excess of 300 deg. C. An improved extent of orientation was observed on the quartz substrates

  3. Significantly improved surface morphology of N-polar GaN film grown on SiC substrate by the optimization of V/III ratio

    Science.gov (United States)

    Deng, Gaoqiang; Zhang, Yuantao; Yu, Ye; Yan, Long; Li, Pengchong; Han, Xu; Chen, Liang; Zhao, Degang; Du, Guotong

    2018-04-01

    In this paper, N-polar GaN films with different V/III ratios were grown on vicinal C-face SiC substrates by metalorganic chemical vapor deposition. During the growth of N-polar GaN film, the V/III ratio was controlled by adjusting the molar flow rate of ammonia while keeping the trimethylgallium flow rate unchanged. The influence of the V/III ratio on the surface morphology of N-polar GaN film has been studied. We find that the surface root mean square roughness of N-polar GaN film over an area of 20 × 20 μm2 can be reduced from 8.13 to 2.78 nm by optimization of the V/III ratio. Then, using the same growth conditions, N-polar InGaN/GaN multiple quantum wells (MQWs) light-emitting diodes (LEDs) were grown on the rough and the smooth N-polar GaN templates, respectively. Compared with the LED grown on the rough N-polar GaN template, dramatically improved interface sharpness and luminescence uniformity of the InGaN/GaN MQWs are achieved for the LED grown on the smooth N-polar GaN template.

  4. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    International Nuclear Information System (INIS)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Skorupa, W.; Anwand, W.

    2009-01-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ∼400 deg. C, the films changed from n type to p type. Hole concentration and mobility of ∼6x10 17 cm -3 and ∼6 cm 2 V -1 s -1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the As Zn -2V Zn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  5. Arsenic doped p-type zinc oxide films grown by radio frequency magnetron sputtering

    Science.gov (United States)

    Fan, J. C.; Zhu, C. Y.; Fung, S.; Zhong, Y. C.; Wong, K. S.; Xie, Z.; Brauer, G.; Anwand, W.; Skorupa, W.; To, C. K.; Yang, B.; Beling, C. D.; Ling, C. C.

    2009-10-01

    As-doped ZnO films were grown by the radio frequency magnetron sputtering method. As the substrate temperature during growth was raised above ˜400 °C, the films changed from n type to p type. Hole concentration and mobility of ˜6×1017 cm-3 and ˜6 cm2 V-1 s-1 were achieved. The ZnO films were studied by secondary ion mass spectroscopy, x-ray photoelectron spectroscopy (XPS), low temperature photoluminescence (PL), and positron annihilation spectroscopy (PAS). The results were consistent with the AsZn-2VZn shallow acceptor model proposed by Limpijumnong et al. [Phys. Rev. Lett. 92, 155504 (2004)]. The results of the XPS, PL, PAS, and thermal studies lead us to suggest a comprehensive picture of the As-related shallow acceptor formation.

  6. Synthesis and characterization of TiO2/CdS core–shell nanorod arrays and their photoelectrochemical property

    International Nuclear Information System (INIS)

    Cao Chunlan; Hu Chenguo; Shen Weidong; Wang, Shuxia; Tian Yongshu; Wang Xue

    2012-01-01

    Highlights: ► TiO 2 /CdS core–shell nanorod arrays were fabricated by spin-SILAR method. ► The enhanced photocurrent was found in the TiO 2 /CdS core–shell nanorod arrays. ► The CdS coated on TiO 2 increases the e-h separation and enlarges light absorption range. - Abstract: TiO 2 /CdS core–shell nanorod arrays have been fabricated via a two-step method. Vertically aligned TiO 2 nanorod arrays (NRs) were synthesized by a facile hydrothermal method, and followed by depositing CdS nanoparticles on TiO 2 NRs by spin-coating successive ion layer adsorption and reaction (spin-SILAR) method. The surface morphology, structure, optical and photoelectrochemical behaviors of the core–shell NRs films are considered. The UV–vis absorption spectrum results suggested that the absorption peak of the TiO 2 /CdS core–shell NRs shifts from the ultraviolet region to the visible region in comparison to that of the pure TiO 2 NRs. The obviously enhanced photoelectrochemical (PEC) performances of the heterojunction NRs were found under illumination of the simulated sunlight in comparison with that of the TiO 2 NRs. The enhanced PEC performance and formation mechanism of TiO 2 /CdS core–shell NRs were discussed in detail.

  7. Optical and electrical properties of semiconducting BaSi2 thin films on Si substrates grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Morita, K.; Inomata, Y.; Suemasu, T.

    2006-01-01

    The electrical properties and optical absorption (OA) spectra of undoped BaSi 2 films grown by molecular beam epitaxy were investigated The electron density and mobility of BaSi 2 grown epitaxially on Si(111) were 5 x 10 15 cm -3 and 820 cm 2 /V.s at room temperature, respectively. The conduction-band discontinuity at the BaSi 2 /Si heterojunction was estimated to be 0.7 eV from the current-voltage characteristics of n-BaSi 2 /n-Si isotype diodes. OA spectra were measured on polycrystalline BaSi 2 films grown on transparent fused silica substrates with predeposited polycrystalline Si layer. The indirect absorption edge was derived to be 1.3 eV, and the optical absorption coefficient reached 10 5 cm -1 at 1.5 eV

  8. Preparation and characterization of epitaxially grown unsupported yttria-stabilized zirconia (YSZ) thin films

    Energy Technology Data Exchange (ETDEWEB)

    Götsch, Thomas; Mayr, Lukas [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Stöger-Pollach, Michael [University Service Center for Transmission Electron Microscopy (USTEM), Vienna University of Technology, A-1040 Vienna (Austria); Klötzer, Bernhard [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria); Penner, Simon, E-mail: simon.penner@uibk.ac.at [Institute of Physical Chemistry, Universität Innsbruck, A-6020 Innsbruck (Austria)

    2015-03-15

    Highlights: • Preparation of unsupported yttrium-stabilized zirconia films. • Control of ordering and epitaxy by temperature of deposition template. • Adjustment of film defectivity by deposition and post-oxidation temperature. • Reproducibility of target stoichiometry in the deposited films. • Lateral and vertical chemical homogeneity. - Abstract: Epitaxially grown, chemically homogeneous yttria-stabilized zirconia thin films (“YSZ”, 8 mol% Y{sub 2}O{sub 3}) are prepared by direct-current sputtering onto a single-crystalline NaCl(0 0 1) template at substrate temperatures ≥493 K, resulting in unsupported YSZ films after floating off NaCl in water. A combined methodological approach by dedicated (surface science) analytical characterization tools (transmission electron microscopy and diffraction, atomic force microscopy, angle-resolved X-ray photoelectron spectroscopy) reveals that the film grows mainly in a [0 0 1] zone axis and no Y-enrichment in surface or bulk regions takes place. In fact, the Y-content of the sputter target is preserved in the thin films. Analysis of the plasmon region in EEL spectra indicates a defective nature of the as-deposited films, which can be suppressed by post-deposition oxidation at 1073 K. This, however, induces considerable sintering, as deduced from surface morphology measurements by AFM. In due course, the so-prepared unsupported YSZ films might act as well-defined model systems also for technological applications.

  9. Low-relaxation spin waves in laser-molecular-beam epitaxy grown nanosized yttrium iron garnet films

    Energy Technology Data Exchange (ETDEWEB)

    Lutsev, L. V., E-mail: l-lutsev@mail.ru; Korovin, A. M.; Bursian, V. E.; Gastev, S. V.; Fedorov, V. V.; Suturin, S. M.; Sokolov, N. S. [Ioffe Physical-Technical Institute, Russian Academy of Sciences, 194021 St. Petersburg (Russian Federation)

    2016-05-02

    Synthesis of nanosized yttrium iron garnet (Y{sub 3}Fe{sub 5}O{sub 12}, YIG) films followed by the study of ferromagnetic resonance (FMR) and spin wave propagation in these films is reported. The YIG films were grown on gadolinium gallium garnet substrates by laser molecular beam epitaxy. It has been shown that spin waves propagating in YIG deposited at 700 °C have low damping. At the frequency of 3.29 GHz, the spin-wave damping parameter is less than 3.6 × 10{sup −5}. Magnetic inhomogeneities of the YIG films give the main contribution to the FMR linewidth. The contribution of the relaxation processes to the FMR linewidth is as low as 1.2%.

  10. α Fe2O3 films grown by the spin-on sol-gel deposition method

    International Nuclear Information System (INIS)

    Avila G, A.; Carbajal F, G.; Tiburcio S, A.; Barrera C, E.; Andrade I, E.

    2003-01-01

    α-Fe 2 O 3 polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  11. Ge films grown on Si substrates by molecular-beam epitaxy below 450 deg. C

    International Nuclear Information System (INIS)

    Liu, J.; Kim, H.J.; Hul'ko, O.; Xie, Y.H.; Sahni, S.; Bandaru, P.; Yablonovitch, E.

    2004-01-01

    Ge thin films are grown on Si(001) substrates by molecular-beam epitaxy at 370 deg. C. The low-temperature epitaxial growth is compatible with the back-end thermal budget of current generation complementary metal-oxide-semiconductor technology, which is restricted to less than 450 deg. C. Reflection high-energy electron diffraction shows that single-crystal Ge thin films with smooth surfaces could be achieved below 450 deg. C. Double-axis x-ray θ/2θ scans also show that the epitaxial Ge films are almost fully strain-relaxed. As expected, cross-sectional transmission electron microscopy shows a network of dislocations at the interface. Hydrogen and oxide desorption techniques are proved to be necessary for improving the quality of the Ge films, which is reflected in improved minority carrier diffusion lengths and exceptionally low leakage currents

  12. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  13. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  14. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  15. Photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films grown by plasma-assisted dual source reactive evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, M., E-mail: alizadeh_kozerash@yahoo.com; Ganesh, V.; Pandikumar, A.; Goh, B.T.; Azianty, S.; Huang, N.M.; Rahman, S.A., E-mail: saadah@um.edu.my

    2016-06-15

    In this work the dependence of photoelectrochemical (PEC) behavior of Al{sub x}In{sub 1−x}N (0.48 ≤x ≤ 0.66) thin films grown by plasma-assisted dual source reactive evaporation, on the plasma dynamics and the alloys properties was studied. The influence of nitrogen flow rate on the compositional, morphological, structural and optical properties of the as-prepared films were investigated using X-ray photoelectron spectroscopy (XPS), Field emission scanning electron microscopy (FESEM), micro Raman spectroscopy and UV–vis spectroscopy. The PEC study of the as-grown Al{sub x}In{sub 1−x}N thin films targeted for water splitting application were performed in the presence of simulated solar irradiation of AM 1.5G (100 mW/cm{sup 2}). The PEC results revealed that the photocurrent for the Al{sub x}In{sub 1−x}N thin film grown at nitrogen flow rate of 80 sccm is ∼10-fold higher than the dark current. From the Mott–Schottky (MS) plots it was deduced that by increasing N{sub 2} flow rate up to 80 sccm, the flat band potential shifts toward more negative values. The good photoelectrochemical behavior of Al{sub x}In{sub 1−x}N thin films showed that this material could be a potential candidate for PEC water splitting. - Highlights: • Al{sub x}In{sub 1−x}N films were grown by Plasma-aided dual source reactive evaporation. • Effect of nitrogen flow rate on the films properties was investigated. • The band gap of the films decreased from 2.33 to 1.92 eV. • A good photoelectrochemical behavior of the Al{sub x}In{sub 1−x}N thin films was shown. • The photocurrent for the Al{sub 0.55}In{sub 0.45}N films is ∼10-fold higher than dark current.

  16. Use of ion beam techniques to characterize thin plasma grown GaAs and GaAlAs oxide films

    International Nuclear Information System (INIS)

    Kauffman, R.L.; Feldman, L.C.; Chang, R.P.H.

    1978-01-01

    Thin plasma grown films of GaAs oxides and GaAlAs oxides have been analyzed using the combined techniques of Rutherford backscattering, ion-induced X-rays, and nuclear resonance profiling. The stoichiometries of the films have been quantitatively determined and can be combined with other Auger profiling results to characterize the films. The ion-induced X-ray technique has been checked against other measurements to determine its accuracy. For uniform films such as these the X-ray measurements can provide accurate quantitative results. (Auth.)

  17. Co{sub 2}FeAl Heusler thin films grown on Si and MgO substrates: Annealing temperature effect

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, M., E-mail: belmeguenai.mohamed@univ-paris13.fr; Tuzcuoglu, H.; Zighem, F.; Chérif, S. M.; Moch, P. [LSPM (CNRS-UPR 3407), 99 avenue Jean-Baptiste Clément, Université Paris 13, 93430 Villetaneuse (France); Gabor, M. S., E-mail: mihai.gabor@phys.utcluj.ro; Petrisor, T. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Tiusan, C. [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Str. Memorandumului No. 28 RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F–54506 Vandoeuvre (France)

    2014-01-28

    10 nm and 50 nm Co{sub 2}FeAl (CFA) thin films have been deposited on MgO(001) and Si(001) substrates by magnetron sputtering and annealed at different temperatures. X-rays diffraction revealed polycrystalline or epitaxial growth (according to CFA(001)[110]//MgO(001)[100] epitaxial relation) for CFA films grown on a Si and on a MgO substrate, respectively. For these later, the chemical order varies from the A2 phase to the B2 phase when increasing the annealing temperature (T{sub a}), while only the A2 disorder type has been observed for CFA grown on Si. Microstrip ferromagnetic resonance (MS-FMR) measurements revealed that the in-plane anisotropy results from the superposition of a uniaxial and a fourfold symmetry term for CFA grown on MgO substrates. This fourfold anisotropy, which disappears completely for samples grown on Si, is in accord with the crystal structure of the samples. The fourfold anisotropy field decreases when increasing T{sub a}, while the uniaxial anisotropy field is nearly unaffected by T{sub a} within the investigated range. The MS-FMR data also allow for concluding that the gyromagnetic factor remains constant and that the exchange stiffness constant increases with T{sub a}. Finally, the FMR linewidth decreases when increasing T{sub a}, due to the enhancement of the chemical order. We derive a very low intrinsic damping parameter (1.1×10{sup −3} and 1.3×10{sup −3} for films of 50 nm thickness annealed at 615 °C grown on MgO and on Si, respectively)

  18. Enhanced electrical and optical properties of CdS:Na thin films by photochemical deposition

    Science.gov (United States)

    Kumar, V. Nirmal; Suriakarthick, R.; Gopalakrishnan, R.; Hayakawa, Y.

    2017-06-01

    CdS:Na thin film was deposited on a glass substrate by photochemical deposition from aqueous solution contained CdSO4.5H2O and Na2S2O3 as cation and anion sources, respectively. The anion source Na2S2O3 served as Na dopant source. The deposited film exhibited cubic phase of CdS and incorporation of Na was revealed from X-ray diffraction study. The incorporation of Na in CdS changed the surface morphology from spherical to nano rods. CdS:Na thin film showed blue shift in its absorption spectrum which was more desirable for transmitting higher energy photons (visible region) in thin film solar cells. The Raman analysis confirmed 1 LO and 2 LO process at 297 and 593 cm-1, respectively. The carrier concentration of CdS increased with the inclusion of Na and its resistivity value decreased. Both the electrical and optical properties of CdS were enhanced in CdS:Na thin films which was desirable as a window layer material for photovoltaic application.

  19. Synthesis of poly (3-hexyl thiophene-2,5-diyl) in presence of CdS nanoparticles: microscopic and spectroscopic studies

    Energy Technology Data Exchange (ETDEWEB)

    Nicho, M. E.; Jaimes, W. [Universidad Autonoma del Estado de Morelos, Centro de Investigacion en Ingenierias y Ciencias Aplicadas, Av. Universidad 1001, Col. Chamilpa, 62209 Cuernavaca, Morelos (Mexico); Rivas A, M. E.; Quevedo L, M. A. [University of Texas at Dallas, Department of Materials Science and Engineering, 800 West Campbell Road, Richardson, Texas 75252 (United States); Hu, H., E-mail: menicho@uaem.mx [UNAM, Instituto de Energias Renovables, 62580 Temixco, Morelos (Mexico)

    2017-11-01

    In this paper, the synthesis of nano composites of poly(3-hexyl thiophene-2,5-diyl) (P3HT) and cadmium sulfide (CdS) nanoparticles are reported. CdS nanoparticles were first synthesized using chemical precipitation. Then P3HT was synthesized by direct oxidation of 3-hexyl thiophene with FeCl{sub 3} as oxidant in presence of CdS nanoparticles. The goal of this work was to investigate the effect of the CdS nanoparticles during the synthesis of P3HT. The resulting films of P3HT/CdS nano composites were investigated by Fourier Transform Infrared Spectroscopy (Ft-IR), Ultraviolet-Visible Spectroscopy (UV-Vis), X-ray diffraction, Transmission electron microscopy (Tem), Atomic force microscopy (AFM) and Scanning electron microscopy (Sem). Homogeneous distribution of CdS nanoparticles in P3HT was demonstrated by Sem, AFM and Tem. Ft-IR analysis showed interaction between CdS and the S atoms of the thiophene rings. This result, together with UV-Vis spectra and XRD pattern suggest a better arrangement of the polymer chains. It is possible that the CdS nanoparticles are coupled with the unpaired electrons of S atoms in the thiophene rings through the positive delocalized charge, resulting in a more ordered P3HT polymer matrix with embedded CdS nanoparticles. (Author)

  20. Carbon dots/BiOCl films with enhanced visible light photocatalytic performance

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Weitian; Yu, Xiang; Shen, Yinghua; Chen, Hongbin; Zhu, Yi, E-mail: tzhury@jnu.edu.cn; Zhang, Yuanming [Jinan University, Department of Chemistry (China); Meng, Hui [Jinan University, Siyuan laboratory, Guangzhou Key Laboratory of Vacuum Coating Technologies and New Energy Materials, Department of Physics (China)

    2017-02-15

    Novel carbon dots with a diameter of 6 nm modified BiOCl (CDs/BiOCl) photocatalyst on FTO was synthesized via a facile immobilization method at room temperature. The crystalline structures, morphologies, optical properties, and photocatalytic properties were studied. The results showed that the CDs/BiOCl films exhibited higher photocatalytic activity than pure BiOCl. The 4 wt% CDs/BiOCl film showed the best photocatalytic activity, which was about eight times than that of pure BiOCl and excellent recyclability even after four recycles. Compared with other film photocatalysts, the photocatalytic activity of 4 wt% CDs/BiOCl was also higher than that of many other photocatalysts. The enhanced activity was ascribed to the enhanced light adsorption and the improvement of charge separation. Holes and superoxide radicals ·O{sub 2}{sup −} were revealed as the dominant reactive species. The photocatalytic mechanism was proposed based on the results.

  1. Controlled growth of high-density CdS and CdSe nanorod arrays on selective facets of two-dimensional semiconductor nanoplates

    KAUST Repository

    Wu, Xue-Jun; Chen, Junze; Tan, Chaoliang; Zhu, Yihan; Han, Yu; Zhang, Hua

    2016-01-01

    . Here, we report a seeded growth approach for the controlled epitaxial growth of three types of hierarchical one-dimensional (1D)/two-dimensional (2D) nanostructures, where nanorod arrays of II-VI semiconductor CdS or CdSe are grown on the selective

  2. Microstructural and magnetic properties of L10 FePt-C (0 0 1) textured nanocomposite films grown on different intermediate layers

    International Nuclear Information System (INIS)

    Chen, J S; Chow, G M; Lim, B C; Hu, J F; Ding, Y F; Ju, G

    2008-01-01

    The FePt : C films with different volume fractions of carbon and different thicknesses were epitaxially grown on a CrRu(2 0 0) underlayer with Pt and MgO intermediate layers. The magnetic properties and microstructure of these FePt : C films were investigated. The FePt : C films grown on the Pt intermediate layer consisted of a continuous layer of FePt, with overlying granular FePt grains, while the FePt : C films grown on the MgO intermediate layer consisted of granular FePt : C layers with overlying granular grains. The formation of the overlying granular FePt grains was attributed to carbon diffusion to the surface which resulted in the second nucleation of FePt. The different interface energies and surface energies of FePt on Pt and MgO intermediate layers caused the formation of an initial continuous FePt layer on the Pt intermediate layer and initial granular FePt layers on the MgO intermediate layer. The coupling between the continuous FePt layer or the granular FePt layer and the overlying granular FePt grains resulted in simultaneous magnetization reversal and thus strong exchange coupling in FePt : C films.

  3. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  4. Extended defects in epitaxial Sc2O3 films grown on (111) Si

    International Nuclear Information System (INIS)

    Klenov, Dmitri O.; Edge, Lisa F.; Schlom, Darrell G.; Stemmer, Susanne

    2005-01-01

    Epitaxial Sc 2 O 3 films with the cubic bixbyite structure were grown on (111) Si by reactive molecular beam epitaxy. High-resolution transmission electron microscopy (HRTEM) revealed an abrupt, reaction-layer free interface between Sc 2 O 3 and Si. The ∼10% lattice mismatch between Si and Sc 2 O 3 was relieved by the formation of a hexagonal misfit dislocation network with Burgers vectors of 1/2 Si and line directions parallel to Si . A high density of planar defects and threading dislocations was observed. Analysis of lattice shifts across the planar defects in HRTEM showed that these faults were likely antiphase boundaries (APBs). ABPs form when film islands coalesce during growth because films nucleate with no unique arrangement of the ordered oxygen vacancies in the bixbyite structure relative to the Si lattice

  5. Magnetic and structural properties of Co{sub 2}FeAl thin films grown on Si substrate

    Energy Technology Data Exchange (ETDEWEB)

    Belmeguenai, Mohamed, E-mail: belmeguenai.mohamed@univ-paris13.fr [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Tuzcuoglu, Hanife [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France); Gabor, Mihai; Petrisor, Traian [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Tiusan, Coriolan [Center for Superconductivity, Spintronics and Surface Science, Technical University of Cluj-Napoca, Street Memorandumului No. 28, RO-400114 Cluj-Napoca (Romania); Institut Jean Lamour, CNRS, Université de Nancy, BP 70239, F-54506 Vandoeuvre (France); Berling, Dominique [IS2M (CNRS-LRC 7228), 15 rue Jean Starcky, Université de Haute-Alsace, BP 2488, 68057 Mulhouse-Cedex (France); Zighem, Fatih; Mourad Chérif, Salim [LSPM (CNRS-UPR 3407) 99 Avenue Jean-Baptiste Clément Université Paris 13, 93430 Villetaneuse (France)

    2015-01-01

    The correlation between magnetic and structural properties of Co{sub 2}FeAl (CFA) thin films of different thicknesses (10 nmgrown at room temperature on MgO-buffered Si/SiO{sub 2} substrates and annealed at 600 °C has been studied. x-ray diffraction (XRD) measurements revealed an (011) out-of-plane textured growth of the films. The deduced lattice parameter increases with the film thickness. Moreover, pole figures showed no in-plane preferential growth orientation. The magneto-optical Kerr effect hysteresis loops showed the presence of a weak in-plane uniaxial anisotropy with a random easy axis direction. The coercive field, measured with the applied field along the easy axis direction, and the uniaxial anisotropy field increase linearly with the inverse of the CFA thickness. The microstrip line ferromagnetic resonance measurements for in-plane and perpendicular applied magnetic fields revealed that the effective magnetization and the uniaxial in-plane anisotropy field follow a linear variation versus the inverse CFA thickness. This allows deriving a perpendicular surface anisotropy coefficient of −1.86 erg/cm{sup 2}. - Highlights: • Various Co{sub 2}FeAl thin films were grown on a Si(001) substrates and annealed at 600 °C. • The thickness dependence of magnetic and structural properties has been studied. • X-ray measurements revealed an (011) out-of-plane textured growth of the films. • The easy axis coercive field varies linearly with the inverse CFA thickness. • The effective magnetization increases linearly with the inverse film thickness.

  6. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  7. Structural, microstructural and transport properties study of lanthanum lithium titanium perovskite thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Maqueda, O.; Sauvage, F.; Laffont, L.; Martinez-Sarrion, M.L.; Mestres, L.; Baudrin, E.

    2008-01-01

    Lanthanum lithium titanate thin films were grown by Pulsed Laser Deposition. La 0.57 Li 0.29 TiO 3 dense films with smooth surfaces were obtained after optimization of the growth parameters. Such films deposited at 700 deg. C under 15 Pa are nano-crystalline with domains corresponding to the cubic and tetragonal modifications of this phase. In relation to the measured conductivities/activation energy and to previous works, we clearly underlined that the films of practical interest, prepared at relatively low temperature, are predominantly formed from the tetragonal ordered phase

  8. Structural characteristics of single crystalline GaN films grown on (111) diamond with AlN buffer

    DEFF Research Database (Denmark)

    Pécz, Béla; Tóth, Lajos; Barna, Árpád

    2013-01-01

    Hexagonal GaN films with the [0001] direction parallel to the surface normal were grown on (111) oriented single crystalline diamond substrates by plasma-assisted molecular beam epitaxy. Pre-treatments of the diamond surface with the nitrogen plasma beam, prior the nucleation of a thin AlN layer......, eliminated the inversion domains and reduced the density of threading dislocations in the GaN epilayers. The films have an in-plane epitaxial relationship [1010]GaN//[110]diamond. Thus GaN (0001) thin films of single epitaxial relationship and of single polarity were realised on diamond with AlN buffer....

  9. Structural and optical properties of pentacene films grown on differently oriented ZnO surfaces

    International Nuclear Information System (INIS)

    El Helou, M; Lietke, E; Helzel, J; Heimbrodt, W; Witte, G

    2012-01-01

    Pentacene films have been grown on two polar zinc oxide surfaces, i.e., ZnO(0001) and ZnO(0 0 0 1-bar ), as well as on the mixed-terminated ZnO(1 0 1-bar 0) and are characterized by means of atomic force microscopy (AFM), x-ray diffraction (XRD), and thermal desorption spectroscopy (TDS). In all cases, pentacene aggregates in an upright orientation without any evidence for the formation of an interface stabilized wetting layer. Additional films deposited on a highly-defective, oxygen-depleted ZnO(0 0 0 1-bar ) reveal no altered growth mode. Nearly identical optical absorption spectra have been measured for all films, thus corroborating a weak molecule-substrate interaction. Upon cooling, however, a slightly different relaxation behavior could be resolved for pentacene films on polar ZnO surfaces compared to pentacene on the mixed-terminated ZnO(1 0 1-bar 0) surface.

  10. Investigation of AgInS{sub 2} thin films grown by coevaporation

    Energy Technology Data Exchange (ETDEWEB)

    Arredondo, C A; Gordillo, G [Departamento de Fisica, Universidad Nacional de Colombia, Bogota (Colombia); J, Clavijo, E-mail: caarredondoo@unal.edu.c, E-mail: ggordillog@unal.edu.c [Departamento de Quimica, Universidad Nacional de Colombia, Bogota, Cr.30 N0 45-03 (Colombia)

    2009-05-01

    AgInS{sub 2} thin films were grown on soda-lime glass substrates by co-evaporation of the precursors in a two-step process. X-ray diffraction (XRD) measurements indicated that these compounds grow in different phases and with different crystalline structure depending upon the deposition conditions. However, through a parameter study, conditions were found to grow thin films containing only the AgInS{sub 2} phase with chalcopyrite type structure. In samples containing a mixture of several phases, the contribution in percentage terms of each phase to the whole compound was estimated with the help of the PowderCell simulation package. It was also found that the AgInS{sub 2} films present p-type conductivity, a high absorption coefficient (greater than 10{sub 4} cm{sub -1}) and an energy band gap Eg of about 1.95 eV, indicating that this compound has good properties to perform as absorbent layer in thin film tandem solar cells. The effect of the deposition conditions on the optical and morphological properties was also investigated through spectral transmitance and atomic force microscopy (AFM) measurements.

  11. Safe-haven CDS Premia

    DEFF Research Database (Denmark)

    Klingler, Sven; Lando, David

    We argue that Credit Default Swap (CDS) premia for safe-haven sovereigns, like Germany and the United States, are driven to a large extent by regulatory requirements under which derivatives dealing banks have an incentive to buy CDS to hedge counterparty credit risk of their counterparties. We...

  12. Multiple delta doping of single crystal cubic boron nitride films heteroepitaxially grown on (001)diamonds

    Science.gov (United States)

    Yin, H.; Ziemann, P.

    2014-06-01

    Phase pure cubic boron nitride (c-BN) films have been epitaxially grown on (001) diamond substrates at 900 °C. The n-type doping of c-BN epitaxial films relies on the sequential growth of nominally undoped (p-) and Si doped (n-) layers with well-controlled thickness (down to several nanometer range) in the concept of multiple delta doping. The existence of nominally undoped c-BN overgrowth separates the Si doped layers, preventing Si dopant segregation that was observed for continuously doped epitaxial c-BN films. This strategy allows doping of c-BN films can be scaled up to multiple numbers of doped layers through atomic level control of the interface in the future electronic devices. Enhanced electronic transport properties with higher hall mobility (102 cm2/V s) have been demonstrated at room temperature as compared to the normally continuously Si doped c-BN films.

  13. Bonding structure and morphology of chromium oxide films grown by pulsed-DC reactive magnetron sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gago, R., E-mail: rgago@icmm.csic.es [Instituto de Ciencia de Materiales de Madrid, Consejo Superior de Investigaciones Científicas, E-28049 Madrid (Spain); Vinnichenko, M. [Fraunhofer-Institut für Keramische Technologien und Systeme IKTS, D-01277 Dresden (Germany); Hübner, R. [Helmholtz-Zentrum Dresden – Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany); Redondo-Cubero, A. [Departamento de Física Aplicada and Centro de Microanálisis de Materiales, Universidad Autónoma de Madrid, E-28049 Madrid (Spain)

    2016-07-05

    Chromium oxide (CrO{sub x}) thin films were grown by pulsed-DC reactive magnetron sputter deposition in an Ar/O{sub 2} discharge as a function of the O{sub 2} fraction in the gas mixture (ƒ) and for substrate temperatures, T{sub s}, up to 450 °C. The samples were analysed by Rutherford backscattering spectrometry (RBS), spectroscopic ellipsometry (SE), atomic force microscopy (AFM), scanning (SEM) and transmission (TEM) electron microscopy, X-ray diffraction (XRD), and X-ray absorption near-edge structure (XANES). On unheated substrates, by increasing ƒ the growth rate is higher and the O/Cr ratio (x) rises from ∼2 up to ∼2.5. Inversely, by increasing T{sub s} the atomic incorporation rate drops and x falls to ∼1.8. XRD shows that samples grown on unheated substrates are amorphous and that nanocrystalline Cr{sub 2}O{sub 3} (x = 1.5) is formed by increasing T{sub s}. In amorphous CrO{sub x}, XANES reveals the presence of multiple Cr environments that indicate the growth of mixed-valence oxides, with progressive promotion of hexavalent states with ƒ. XANES data also confirms the formation of single-phase nanocrystalline Cr{sub 2}O{sub 3} at elevated T{sub s}. These structural changes also reflect on the optical and morphological properties of the films. - Highlights: • XANES of CrO{sub x} thin films grown by pulsed-DC reactive magnetron sputtering. • Identification of mixed-valence amorphous CrO{sub x} oxides on unheated substrates. • Promotion of amorphous chromic acid (Cr{sup VI}) by increasing O{sub 2} partial pressure. • Production of single-phase Cr{sub 2}O{sub 3} films by increasing substrate temperature. • Correlation of bonding structure with morphological and optical properties.

  14. Data on the synthesis processes optimization of novel β-NiS film modified CdS nanoflowers heterostructure nanocomposite for photocatalytic hydrogen evolution.

    Science.gov (United States)

    Zhang, Yu; Peng, Zhijian; Guan, Shundong; Fu, Xiuli

    2018-02-01

    The data presented in this article are related to a research article entitled 'Novel β-NiS film modified CdS nanoflowers heterostructure nanocomposite: extraordinarily highly efficient photocatalysts for hydrogen evolution' (Zhang et al., 2018) [1]. In this article, we report original data on the synthesis processes optimization of the proposed nanocomposite on the basis of their optimum photocatalytic performance together with the comparison on the results of literatures and comparative experiments. The composition, microstructure, morphology, photocatalytic hydrogen evolution and photocatalytic stability of the corresponding samples are included in this report. The data are presented in this format in order to facilitate comparison with data from other researchers in the field and understanding the mechanism of similar catalysts.

  15. Factors that determine the presence of particles in YBCO films grown by PLD

    International Nuclear Information System (INIS)

    Barrales-Guadarrama, V R; Rodríguez-Rodríguez, E M; Barrales-Guadarrama, R; Reyes Ayala, N

    2017-01-01

    The method of growing thin films PLD, is widely used in applications and possesses great potential in thin YBa 2 Cu 3 O 7-δ films production with outstanding physical properties. However, it is limited in nano and micro technology due to the presence of particles on the surface of the films. This article describes some causes that create these particles. YBa 2 Cu 3 O 7-δ films have been grown on electrolytic copper used as a variable model the distance target-substrate. The effects are studied through Scanning Electronic Microscopy. It is observed particles with a large variety of shapes and distributions. The results show that ranging the target-substrate distance, the superficial morphology is modified. An evidence of it, is that the evaporation of d B-S = 7 cm, is more coherent that d B-S = 3 cm. Therefore, exist a relation between the morphology and the parameters of growing. Also affect, the structural change that exists among the substrate and the film formation, the substrate preparation and it must not be monocrystalline, these factors define a kinetic and a mechanism of growing that promotes a heterogeneous nucleation. (paper)

  16. Investigation of in-pile grown corrosion films on zirconium-based alloys

    International Nuclear Information System (INIS)

    Gebhardt, O.; Hermann, A.; Bart, G.; Blank, H.; Ray, I.L.F.

    1996-01-01

    In-pile grown corrosion films on different fuel rod claddings (standard Zircaloy-4, extra low tin Zircaloy (ELS), and Zr2.5Nb) have been studied using a variety of experimental techniques. The aim of the investigations was to find out common features and differences between the corrosion layers grown on zirconium alloys having different composition. Methods applied were scanning and transmission electron microscopy (SEM, TEM), electrochemical impedance spectroscopy (EIS), and electrochemical anodization. The morphological differences have been observed between the specimens that could explain the irradiation enhancement of corrosion of Zircaloy-4. The features of the compact oxide close to the oxide/metal interface have been characterized by electrochemical methods. The relationship between the thickness of this protective oxide and the overall oxide thickness has been investigated by EIS. It was found that this relation is dependent on the location of the oxide along the fuel rod and on the corrosion rate

  17. Characterization for rbs of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide

    International Nuclear Information System (INIS)

    Pedrero, E.; Vigil, E.; Zumeta, I.

    1999-01-01

    The depth of Titanium Oxide thin films grown by Dip Coating in a coloidal suspension of nano structured Titanium Oxide was characterized using Rutherford Backscattering Spectrometry. Film depths are compared in function of bath and suspension parameters

  18. Tantalum films with well-controlled roughness grown by oblique incidence deposition

    Science.gov (United States)

    Rechendorff, K.; Hovgaard, M. B.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2005-08-01

    We have investigated how tantalum films with well-controlled surface roughness can be grown by e-gun evaporation with oblique angle of incidence between the evaporation flux and the surface normal. Due to a more pronounced shadowing effect the root-mean-square roughness increases from about 2 to 33 nm as grazing incidence is approached. The exponent, characterizing the scaling of the root-mean-square roughness with length scale (α), varies from 0.75 to 0.93, and a clear correlation is found between the angle of incidence and root-mean-square roughness.

  19. Preparation and structural properties of YBCO films grown on GaN/c-sapphire hexagonal substrate

    Energy Technology Data Exchange (ETDEWEB)

    Chromik, S., E-mail: stefan.chromik@savba.sk [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Gierlowski, P. [Institute of Physics, Polish Academy of Sciences, Al. Lotnikow 32/46, 02-668 Warsaw (Poland); Spankova, M.; Dobrocka, E.; Vavra, I.; Strbik, V.; Lalinsky, T.; Sojkova, M. [Institute of Electrical Engineering, SAS, Dubravska cesta 9, 84104 Bratislava (Slovakia); Liday, J.; Vogrincic, P. [Department of Microelectronics, Slovak Technical University, Ilkovicova 3, 81219 Bratislava (Slovakia); Espinos, J.P. [Instituto de Ciencia de Materiales de Sevilla, Avda Americo Vespucio 49, 41092 Sevilla (Spain)

    2010-07-01

    Epitaxial YBCO thin films have been grown on hexagonal GaN/c-sapphire substrates using DC magnetron sputtering and pulsed laser deposition. An MgO buffer layer has been inserted between the substrate and the YBCO film as a diffusion barrier. X-ray diffraction analysis indicates a c-axis oriented growth of the YBCO films. {Phi}-scan shows surprisingly twelve maxima. Transmission electron microscopy analyses confirm an epitaxial growth of the YBCO blocks with a superposition of three a-b YBCO planes rotated by 120 deg. to each other. Auger electron spectroscopy and X-ray photoelectron spectroscopy reveal no surface contamination with Ga even if a maximum substrate temperature of 700 deg. C is applied.

  20. Quantum dots/silica/polymer nanocomposite films with high visible light transmission and UV shielding properties.

    Science.gov (United States)

    Mumin, Md Abdul; Xu, William Z; Charpentier, Paul A

    2015-08-07

    The dispersion of light-absorbing inorganic nanomaterials in transparent plastics such as poly(ethylene-co-vinyl acetate) (PEVA) is of enormous current interest in emerging solar materials, including photovoltaic (PV) modules and commercial greenhouse films. Nanocrystalline semiconductor or quantum dots (QDs) have the potential to absorb UV light and selectively emit visible light, which can control plant growth in greenhouses or enhance PV panel efficiencies. This work provides a new and simple approach for loading mesoporous silica-encapsulated QDs into PEVA. Highly luminescent CdS and CdS-ZnS core-shell QDs with 5 nm size were synthesized using a modified facile approach based on pyrolysis of the single-molecule precursors and capping the CdS QDs with a thin layer of ZnS. To make both the bare and core-shell structure QDs more resistant against photochemical reactions, a mesoporous silica layer was grown on the QDs through a reverse microemulsion technique based on hydrophobic interactions. By careful experimental tuning, this encapsulation technique enhanced the quantum yield (∼65%) and photostability compared to the bare QDs. Both the encapsulated bare and core-shell QDs were then melt-mixed with EVA pellets using a mini twin-screw extruder and pressed into thin films with controlled thickness. The results demonstrated for the first time that mesoporous silica not only enhanced the quantum yield and photostability of the QDs but also improved the compatibility and dispersibility of QDs throughout the PEVA films. The novel light selective films show high visible light transmission (∼90%) and decreased UV transmission (∼75%).

  1. Surfactant-assisted hydrothermal synthesis of CdS nanotips: optical and magnetic properties

    International Nuclear Information System (INIS)

    Mondal, Biswajit; Saha, Shyamal Kumar

    2012-01-01

    CdS nanotips with size 5–8 nm are synthesized by hydrothermal process using polyacrylamide (PAM) as surfactant. The shape of nanocrystals (NCs) changes from particles to nanorods or nanotips depending upon the amount of PAM used. Optical properties of the CdS NCs vary with hydrothermal temperature (T H ) due to formation of “S” vacancies. The Rietveld refinement of XRD data shows that “S” site occupancy decreases with increase in T H and amount of PAM indicating the formation of “S” vacancies. Size-dependent magnetic properties in these NCs indicate that the micron-size rods are diamagnetic in nature while the microrods ended with sharp tips show ferromagnetism even at room temperature. The origin of this ferromagnetism in nanotips is explained by the variation in density of “S” defects at the nanotips as well as in the nanorods. These ferromagnetic nanotips grown in the rods as side growth have potential applications in magnetic force microscopes.

  2. MnSi nanostructures obtained from epitaxially grown thin films: magnetotransport and Hall effect

    Science.gov (United States)

    Schroeter, D.; Steinki, N.; Schilling, M.; Fernández Scarioni, A.; Krzysteczko, P.; Dziomba, T.; Schumacher, H. W.; Menzel, D.; Süllow, S.

    2018-06-01

    We present a comparative study of the (magneto)transport properties, including Hall effect, of bulk, epitaxially grown thin film and nanostructured MnSi. In order to set our results in relation to published data we extensively characterize our materials, this way establishing a comparatively good sample quality. Our analysis reveals that in particular for thin film and nanostructured material, there are extrinsic and intrinsic contributions to the electronic transport properties, which by modeling the data we separate out. Finally, we discuss our Hall effect data of nanostructured MnSi under consideration of the extrinsic contributions and with respect to the question of the detection of a topological Hall effect in a skyrmionic lattice.

  3. Clinical Decision Support (CDS) Inventory

    Data.gov (United States)

    U.S. Department of Health & Human Services — The Clinical Decision Support (CDS) Inventory contains descriptions of past and present CDS projects across the Federal Government. It includes Federal projects,...

  4. Structural, Optical, and Electrical Characterization of β-Ga2O3 Thin Films Grown by Plasma-Assisted Molecular Beam Epitaxy Suitable for UV Sensing

    Directory of Open Access Journals (Sweden)

    Abraham Arias

    2018-01-01

    Full Text Available β-Ga2O3 thin films were grown on c-plane sapphire substrates by plasma-assisted molecular beam epitaxy. The films were grown using an elemental gallium source and oxygen supplied by an RF plasma source. Reflection high-energy electron diffraction (RHEED was used to monitor the surface quality in real time. Both in situ RHEED and ex situ X-ray diffraction confirmed the formation of single crystal β-phase films with excellent crystallinity on c-plane sapphire. Spectroscopic ellipsometry was used to determine the film thicknesses, giving values in the 11.6–18.8 nm range and the refractive index dispersion curves. UV-Vis transmittance measurements revealed that strong absorption of β-Ga2O3 starts at ∼270 nm. Top metal contacts were deposited by thermal evaporation for I-V characterization, which has been carried out in dark, as well as under visible and UV light illumination. The optical and electrical measurements showed that the grown thin films of β-Ga2O3 are excellent candidates for deep-ultraviolet detection and sensing.

  5. Safe Haven CDS Premiums

    DEFF Research Database (Denmark)

    Klingler, Sven; Lando, David

    Credit Default Swaps can be used to lower capital requirements of dealer banks who enter into uncollateralized derivatives positions with sovereigns. We show in a model that the regulatory incentive to obtain capital relief makes CDS contracts valuable to dealer banks and empirically that...... support that CDS contracts are used for capital relief....

  6. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.; Mejia, I.; Hovarth, J.; Alshareef, Husam N.; Cha, D. K.; Ramirez-Bon, R.; Gnade, B. E.; Quevedo-Lopez, M. A.

    2010-01-01

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  7. Impact of Gate Dielectric in Carrier Mobility in Low Temperature Chalcogenide Thin Film Transistors for Flexible Electronics

    KAUST Repository

    Salas-Villasenor, A. L.

    2010-06-29

    Cadmium sulfide thin film transistors were demonstrated as the n-type device for use in flexible electronics. CdS thin films were deposited by chemical bath deposition (70° C) on either 100 nm HfO2 or SiO2 as the gate dielectrics. Common gate transistors with channel lengths of 40-100 μm were fabricated with source and drain aluminum top contacts defined using a shadow mask process. No thermal annealing was performed throughout the device process. X-ray diffraction results clearly show the hexagonal crystalline phase of CdS. The electrical performance of HfO 2 /CdS -based thin film transistors shows a field effect mobility and threshold voltage of 25 cm2 V-1 s-1 and 2 V, respectively. Improvement in carrier mobility is associated with better nucleation and growth of CdS films deposited on HfO2. © 2010 The Electrochemical Society.

  8. C-V Calculations in CdS/CdTe Thin Films Solar Cells with a CdSxTe1-x Interlayer

    Directory of Open Access Journals (Sweden)

    A. Gonzalez-Cisneros

    2013-01-01

    Full Text Available In CdS/CdTe solar cells, chemical interdiffusion at the interface gives rise to the formation of an interlayer of the ternary compound CdSxCdTe1-x. In this work, we evaluate the effects of this interlayer in CdS/CdTe photovoltaic cells in order to improve theoretical results describing experimental C-V (capacitance versus voltage characteristics. We extended our previous theoretical methodology developed on the basis of three cardinal equations (Castillo-Alvarado et al., 2010. The present results provide a better fit to experimental data obtained from CdS/CdTe solar cells grown in our laboratory by the chemical bath deposition (for CdS film and the close-spaced vapor transport (for CdTe film techniques.

  9. Nanomechanical properties of SiC films grown from C{sub 60} precursors using atomic force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Morse, K. [Colorado School of Mines, Golden, CO (United States); Balooch, M.; Hamza, A.V.; Belak, J. [Lawrence Livermore National Lab., CA (United States)

    1994-12-01

    The mechanical properties of SiC films grown via C{sub 60} precursors were determined using atomic force microscopy (AFM). Conventional silicon nitride and modified diamond cantilever AFM tips were employed to determine the film hardness, friction coefficient, and elastic modulus. The hardness is found to be between 26 and 40 GPa by nanoindentation of the film with the diamond tip. The friction coefficient for the silicon nitride tip on the SiC film is about one third that for silicon nitride sliding on a silicon substrate. By combining nanoindentation and AFM measurements an elastic modulus of {approximately}300 GPa is estimated for these SiC films. In order to better understand the atomic scale mechanisms that determine the hardness and friction of SiC, we simulated the molecular dynamics of a diamond indenting a crystalline SiC substrate.

  10. Comparative study of structural and electro-optical properties of ZnO:Ga films grown by steered cathodic arc plasma evaporation and sputtering on plastic and their application on polymer-based organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Chih-Hao, E-mail: dataman888@hotmail.com [R& D Division, Walsin Technology Corporation, Kaohsiung, Taiwan (China); Hsiao, Yu-Jen [National Nano Device Laboratories, National Applied Research Laboratories, Tainan, Taiwan (China); Hwang, Weng-Sing [Department of Materials Science and Engineering, National Cheng Kung University, Tainan, Taiwan (China)

    2016-08-01

    Ga-doped ZnO (GZO) films with various thicknesses (105–490 nm) were deposited on PET substrates at a low temperature of 90 °C by a steered cathodic arc plasma evaporation (steered CAPE), and a GZO film with a thickness of 400 nm was deposited at 90 °C by a magnetron sputtering (MS) for comparison. The comparative analysis of the microstructure, residual stress, surface morphology, electrical and optical properties, chemical states, and doping efficiency of the films produced by the steered CAPE and MS processes was performed, and the effect of thickness on the CAPE-grown GZO films was investigated in detail. The results showed that the GZO films grown by steered CAPE exhibited higher crystallinity and lower internal stress than those deposited by MS. The transmittance and electrical properties were also enhanced for the steered CAPE-grown films. The figure of merit (Φ = T{sup 10}/R{sub s}, where T is the transmittance and R{sub s} is the sheet resistance in Ω/□). was used to evaluate the performance of the electro-optical properties. The GZO films with a thickness of 400 nm deposited by CAPE had the highest Φ value, 1.94 × 10{sup −2} Ω{sup −1}, a corresponding average visible transmittance of 88.8% and resistivity of 6.29 × 10{sup −4} Ω·cm. In contrast, the Φ value of MS-deposited GZO film with a thickness of 400 nm is only 1.1 × 10{sup −3} Ω{sup −1}. This can be attributed to the increase in crystalline size, [0001] preferred orientation, decrease in stacking faults density and Ar contamination in steered CAPE-grown films, leading to increases in the Hall mobility and carrier density. In addition, the power conversion efficiency (PCE) of organic solar cells was significantly improved by using the CAPE-grown GZO electrode, and the PCE values were 1.2% and 1.7% for the devices with MS-grown and CAPE-grown GZO electrodes, respectively. - Highlights: • ZnO:Ga (GZO) films were grown on PET by steered cathodic arc plasma evaporation (CAPE

  11. Synthesis and characterization of TiO{sub 2}/CdS core-shell nanorod arrays and their photoelectrochemical property

    Energy Technology Data Exchange (ETDEWEB)

    Cao Chunlan [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Department of Power Engineer, Chongqing Communication College, Chongqing 400035 (China); Hu Chenguo, E-mail: hucg@cqu.edu.cn [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Shen Weidong [Department of Power Engineer, Chongqing Communication College, Chongqing 400035 (China); Wang, Shuxia [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Tian Yongshu [Department of Applied Physics, Chongqing University, Chongqing 400044 (China); Department of Power Engineer, Chongqing Communication College, Chongqing 400035 (China); Wang Xue [Department of Applied Physics, Chongqing University, Chongqing 400044 (China)

    2012-05-15

    Highlights: Black-Right-Pointing-Pointer TiO{sub 2}/CdS core-shell nanorod arrays were fabricated by spin-SILAR method. Black-Right-Pointing-Pointer The enhanced photocurrent was found in the TiO{sub 2}/CdS core-shell nanorod arrays. Black-Right-Pointing-Pointer The CdS coated on TiO{sub 2} increases the e-h separation and enlarges light absorption range. - Abstract: TiO{sub 2}/CdS core-shell nanorod arrays have been fabricated via a two-step method. Vertically aligned TiO{sub 2} nanorod arrays (NRs) were synthesized by a facile hydrothermal method, and followed by depositing CdS nanoparticles on TiO{sub 2} NRs by spin-coating successive ion layer adsorption and reaction (spin-SILAR) method. The surface morphology, structure, optical and photoelectrochemical behaviors of the core-shell NRs films are considered. The UV-vis absorption spectrum results suggested that the absorption peak of the TiO{sub 2}/CdS core-shell NRs shifts from the ultraviolet region to the visible region in comparison to that of the pure TiO{sub 2} NRs. The obviously enhanced photoelectrochemical (PEC) performances of the heterojunction NRs were found under illumination of the simulated sunlight in comparison with that of the TiO{sub 2} NRs. The enhanced PEC performance and formation mechanism of TiO{sub 2}/CdS core-shell NRs were discussed in detail.

  12. Determination of the valence-band offset of CdS/CIS solar cell devices by target factor analysis

    Energy Technology Data Exchange (ETDEWEB)

    Niles, D.W.; Contreras, M.; Ramanathan, K.; Noufi, R. [National Renewable Energy Lab., Golden, CO (United States)

    1996-05-01

    X-ray photoemission spectroscopy (XPS) is used to determine and compare the valence-band offsets ({Delta}E{sub v}) for CdS grown by chemical bath deposition on single-crystal and thin-film CuInSe{sub 2} (CIS). The thin-film CIS device was suitable for photovoltaic energy production. By sputtering through the CdS/CIS interface and reducing the depth profile with target factor analysis, the magnitude of {Delta}E{sub v} was determined to be {Delta}E{sub v} = 1.06 {+-} 0.15 eV for both the single-crystal and thin-film interfaces. This determination of {Delta}E{sub v} is about 0.25 eV larger than many previously reported estimations CdS grown by physical vapor deposition on CIS and helps explain the record performance of CdS/CIS photovoltaic devices.

  13. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  14. Irradiation induced improvement in crystallinity of epitaxially grown Ag thin films on Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Takahiro, Katsumi; Nagata, Shinji; Yamaguchi, Sadae [Tohoku Univ., Sendai (Japan). Inst. for Materials Research

    1997-03-01

    We report the improvement in crystallinity of epitaxially grown Ag films on Si(100) substrates with ion irradiation. The irradiation of 0.5 MeV Si ions to 2x10{sup 16}/cm{sup 2} at 200degC, for example, reduces the channeling minimum yield from 60% to 6% at Ag surface. The improvement originates from the decrease of mosaic spread in the Ag thin film. In our experiments, ion energy, ion species and irradiation temperature have been varied. The better crystallinity is obtained as the higher concentration of defect is generated. The mechanism involved in the irradiation induced improvement is discussed. (author)

  15. Thickness dependence of optical properties of VO2 thin films epitaxially grown on sapphire (0 0 0 1)

    International Nuclear Information System (INIS)

    Xu Gang; Jin Ping; Tazawa, Masato; Yoshimura, Kazuki

    2005-01-01

    Vanadium dioxide (VO 2 ) films were epitaxially grown on α-Al 2 O 3 (0 0 0 1) by rf reactive magnetron sputtering. The effects of film thickness ranging from 3 to 150 nm on optical properties were investigated. It revealed that the semiconductor--metal phase transition temperature considerably decreases as film thickness decreases, in particular for the film with thickness less than 10 nm. On the other hand, we found that the difference in visible transmittance between the two phases of VO 2 also varies with film thickness. For the films with thickness less than 50 nm, the semiconductor phase exhibits lower visible transmittance than its metallic phase, while for those with thickness larger than 50 nm the situation is reversed

  16. Patterned structures of in situ size controlled CdS nanocrystals in a polymer matrix under UV irradiation

    International Nuclear Information System (INIS)

    Fragouli, D; Pompa, P P; Caputo, G; Cingolani, R; Athanassiou, A; Resta, V; Laera, A M; Tapfer, L

    2009-01-01

    A method of in situ formation of patterns of size controlled CdS nanocrystals in a polymer matrix by pulsed UV irradiation is presented. The films consist of Cd thiolate precursors with different carbon chain lengths embedded in TOPAS polymer matrices. Under UV irradiation the precursors are photolyzed, driving to the formation of CdS nanocrystals in the quantum size regime, with size and concentration defined by the number of incident UV pulses, while the host polymer remains macroscopically/microscopically unaffected. The emission of the formed nanocomposite materials strongly depends on the dimensions of the CdS nanocrystals, thus, their growth at the different phases of the irradiation is monitored using spatially resolved photoluminescence by means of a confocal microscope. X-ray diffraction measurements verified the existence of the CdS nanocrystals, and defined their crystal structure for all the studied cases. The results are reinforced by transmission electron microscopy. It is proved that the selection of the precursor determines the efficiency of the procedure, and the quality of the formed nanocrystals. Moreover it is demonstrated that there is the possibility of laser induced formation of well-defined patterns of CdS nanocrystals, opening up new perspectives in the development of nanodevices.

  17. Patterned structures of in situ size controlled CdS nanocrystals in a polymer matrix under UV irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Fragouli, D; Pompa, P P; Caputo, G; Cingolani, R; Athanassiou, A [NNL-National Nanotechnology Laboratory, INFM, CNR, Via Arnesano, 73100 Lecce (Italy); Resta, V; Laera, A M; Tapfer, L [ENEA, Centro Ricerche Brindisi, SS7 Appia Km 706, I-72100 Brindisi (Italy)], E-mail: despina.fragouli@unile.it

    2009-04-15

    A method of in situ formation of patterns of size controlled CdS nanocrystals in a polymer matrix by pulsed UV irradiation is presented. The films consist of Cd thiolate precursors with different carbon chain lengths embedded in TOPAS polymer matrices. Under UV irradiation the precursors are photolyzed, driving to the formation of CdS nanocrystals in the quantum size regime, with size and concentration defined by the number of incident UV pulses, while the host polymer remains macroscopically/microscopically unaffected. The emission of the formed nanocomposite materials strongly depends on the dimensions of the CdS nanocrystals, thus, their growth at the different phases of the irradiation is monitored using spatially resolved photoluminescence by means of a confocal microscope. X-ray diffraction measurements verified the existence of the CdS nanocrystals, and defined their crystal structure for all the studied cases. The results are reinforced by transmission electron microscopy. It is proved that the selection of the precursor determines the efficiency of the procedure, and the quality of the formed nanocrystals. Moreover it is demonstrated that there is the possibility of laser induced formation of well-defined patterns of CdS nanocrystals, opening up new perspectives in the development of nanodevices.

  18. Effects of oxygen gas pressure on properties of iron oxide films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Guo, Qixin; Shi, Wangzhou; Liu, Feng; Arita, Makoto; Ikoma, Yoshifumi; Saito, Katsuhiko; Tanaka, Tooru; Nishio, Mitsuhiro

    2013-01-01

    Highlights: ► Pulsed laser deposition is a promising technique for growing iron oxide films. ► Crystal structure of the iron oxide films strongly depends on oxygen gas pressure. ► Optimum of the oxygen gas pressure leads single phase magnetite films with high crystal quality. -- Abstract: Iron oxide films were grown on sapphire substrates by pulsed laser deposition at oxygen gas pressures between 1 × 10 −5 and 1 × 10 −1 Pa with a substrate temperature of 600 °C. Atomic force microscope, X-ray diffraction, Raman spectroscopy, X-ray absorption fine structure, and vibrational sample magnetometer analysis revealed that surface morphology and crystal structure of the iron oxide films strongly depend on the oxygen gas pressure during the growth and the optimum oxygen gas pressure range is very narrow around 1 × 10 −3 Pa for obtaining single phase magnetite films with high crystal quality

  19. Electrostatically self-assembled films containing II-VI semiconductor nanoparticles: Optical and electrical properties

    International Nuclear Information System (INIS)

    Suryajaya; Nabok, A.V.; Tsargorodskaya, A.; Hassan, A.K.; Davis, F.

    2008-01-01

    CdS and ZnS semiconducting colloid nanoparticles were deposited as thin films using the technique of electrostatic self-assembly. The process of alternative deposition of Poly-allylamine Hydrochloride (PAH) and CdS (or ZnS) layers were monitored with a novel optical method of total internal reflection ellipsometry (TIRE). The fitting of TIRE spectra allowed the evaluation of the parameter (thickness, refractive index and extinction coefficients) of all consecutively deposited layers. I-V characteristics of the films obtained were studied in sandwich structures on Indium Tin Oxide (ITO) conductive electrodes using the mercury probe technique. The presence of CdS (or ZnS) nanoparticles in the polyelectrolyte films leads to a switching behaviour, which may be attributed to the resonance electron tunneling via semiconducting nanoparticles

  20. Molecular-Beam Epitaxially Grown MgB2 Thin Films and Superconducting Tunnel Junctions

    Directory of Open Access Journals (Sweden)

    Jean-Baptiste Laloë

    2011-01-01

    Full Text Available Since the discovery of its superconducting properties in 2001, magnesium diboride has generated terrific scientific and engineering research interest around the world. With a of 39 K and two superconducting gaps, MgB2 has great promise from the fundamental point of view, as well as immediate applications. Several techniques for thin film deposition and heterojunction formation have been established, each with its own advantages and drawbacks. Here, we will present a brief overview of research based on MgB2 thin films grown by molecular beam epitaxy coevaporation of Mg and B. The films are smooth and highly crystalline, and the technique allows for virtually any heterostructure to be formed, including all-MgB2 tunnel junctions. Such devices have been characterized, with both quasiparticle and Josephson tunneling reported. MgB2 remains a material of great potential for a multitude of further characterization and exploration research projects and applications.

  1. Raman spectroscopy of ZnMnO thin films grown by pulsed laser deposition

    Science.gov (United States)

    Orozco, S.; Riascos, H.; Duque, S.

    2016-02-01

    ZnMnO thin films were grown by Pulsed Laser Deposition (PLD) technique onto Silicon (100) substrates at different growth conditions. Thin films were deposited varying Mn concentration, substrate temperature and oxygen pressure. ZnMnO samples were analysed by using Raman Spectroscopy that shows a red shift for all vibration modes. Raman spectra revealed that nanostructure of thin films was the same of ZnO bulk, wurzite hexagonal structure. The structural disorder was manifested in the line width and shape variations of E2(high) and E2(low) modes located in 99 and 434cm-1 respectively, which may be due to the incorporation of Mn ions inside the ZnO crystal lattice. Around 570cm-1 was found a peak associated to E1(LO) vibration mode of ZnO. 272cm-1 suggest intrinsic host lattice defects. Additional mode centred at about 520cm-1 can be overlap of Si and Mn modes.

  2. LETTER TO THE EDITOR: Green emission and bandgap narrowing due to two-photon excitation in thin film CdS formed by spray pyrolysis

    Science.gov (United States)

    Ullrich, B.; Schroeder, R.

    2001-08-01

    Thin (10 µm) film CdS on Pyrex® formed by spray pyrolysis is excited below the gap at 804 nm with 200 fs laser pulses at room temperature. Excitation intensities up to 250 GW cm-2 evoke green bandgap emission due to two-photon transitions. This two-photon photoluminescence does not show a red emission contribution in contrast to the single-photon excited emission, which is dominated by broad emission in the red spectral range. It is demonstrated that two-photon excitation causes photo-induced bandgap narrowing due to Debye screening. At 250 GW cm-2 bandgap narrowing of 47 meV is observed, which corresponds to an excited electron density of 1.6×1018 cm-3.

  3. Chemical resistance of thin film materials based on metal oxides grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Sammelselg, Väino; Netšipailo, Ivan; Aidla, Aleks; Tarre, Aivar; Aarik, Lauri; Asari, Jelena; Ritslaid, Peeter; Aarik, Jaan

    2013-01-01

    Etching rate of technologically important metal oxide thin films in hot sulphuric acid was investigated. The films of Al-, Ti-, Cr-, and Ta-oxides studied were grown by atomic layer deposition (ALD) method on silicon substrates from different precursors in large ranges of growth temperatures (80–900 °C) in order to reveal process parameters that allow deposition of coatings with higher chemical resistance. The results obtained demonstrate that application of processes that yield films with lower concentration of residual impurities as well as crystallization of films in thermal ALD processes leads to significant decrease of etching rate. Crystalline films of materials studied showed etching rates down to values of < 5 pm/s. - Highlights: • Etching of atomic layer deposited thin metal oxide films in hot H 2 SO 4 was studied. • Smallest etching rates of < 5 pm/s for TiO 2 , Al 2 O 3 , and Cr 2 O 3 were reached. • Highest etching rate of 2.8 nm/s for Al 2 O 3 was occurred. • Remarkable differences in etching of non- and crystalline films were observed

  4. Synthesis and characterization of CdS/PVA nanocomposite films

    Science.gov (United States)

    Wang, Hongmei; Fang, Pengfei; Chen, Zhe; Wang, Shaojie

    2007-08-01

    A series CdS/PVA nanocomposite films with different amount of Cd salt have been prepared by means of the in situ synthesis method via the reaction of Cd 2+-dispersed poly vinyl-alcohol (PVA) with H 2S. The as-prepared films were characterized by X-ray diffraction (XRD), transmission electron microscopy (TEM), ultraviolet-visible (UV-vis) absorption, photoluminescence (PL) spectra, Fourier transform infrared spectroscope (FTIR) and thermogravimetric analysis (TGA). The XRD results indicated the formation of CdS nanoparticles with hexagonal phase in the PVA matrix. The primary FTIR spectra of CdS/PVA nanocomposite in different processing stages have been discussed. The vibrational absorption peak of Cd sbnd S bond at 405 cm -1 was observed, which further testified the generation of CdS nanoparticles. The TGA results showed incorporation of CdS nanoparticles significantly altered the thermal properties of PVA matrix. The photoluminescence and UV-vis spectroscopy revealed that the CdS/PVA films showed quantum confinement effect.

  5. Critical thickness and strain relaxation in molecular beam epitaxy-grown SrTiO3 films

    International Nuclear Information System (INIS)

    Wang, Tianqi; Ganguly, Koustav; Marshall, Patrick; Xu, Peng; Jalan, Bharat

    2013-01-01

    We report on the study of the critical thickness and the strain relaxation in epitaxial SrTiO 3 film grown on (La 0.3 Sr 0.7 )(Al 0.65 Ta 0.35 )O 3 (001) (LSAT) substrate using the hybrid molecular beam epitaxy approach. No change in the film's lattice parameter (both the in-plane and the out-of-plane) was observed up to a film thickness of 180 nm, which is in sharp contrast to the theoretical critical thickness of ∼12 nm calculated using the equilibrium theory of strain relaxation. For film thicknesses greater than 180 nm, the out-of-plane lattice parameter was found to decrease hyperbolically in an excellent agreement with the relaxation via forming misfit dislocations. Possible mechanisms are discussed by which the elastic strain energy can be accommodated prior to forming misfit dislocations leading to such anomalously large critical thickness

  6. Low-temperature processed ZnO and CdS photodetectors deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N; Moreno, S; Mejia, I; Quevedo-Lopez, M A

    2014-01-01

    UV-VIS photodetectors using an interdigital configuration, with zinc oxide (ZnO) and cadmium sulfide (CdS) semiconductors deposited by pulsed laser deposition, were fabricated with a maximum processing temperature of 100 °C. Without any further post-growth annealing, the photodetectors are compatible with flexible and transparent substrates. Aluminum (Al) and indium tin oxide (ITO) were investigated as contacts. Focusing on underwater communications, the impact of metal contact (ITO versus Al) was investigated to determine the maximum responsivity using a laser with a 405 nm wavelength. As expected, the responsivity increases for reduced metal finger separation. This is a consequence of reduced carrier transit time for shorter finger separation. For ITO, the highest responsivities for both films (ZnO and CdS) were ∼3 A W −1 at 5 V. On the other hand, for Al contacts, the maximum responsivities at 5 V were ∼0.1 A W −1 and 0.7 A W −1 for CdS and ZnO, respectively. (paper)

  7. Raman scattering studies of YBa2Cu3O7-x thin films grown by chemical vapor deposition and metal-organic deposition

    International Nuclear Information System (INIS)

    Lee, E.; Yoon, S.; Um, Y.M.; Jo, W.; Seo, C.W.; Cheong, H.; Kim, B.J.; Lee, H.G.; Hong, G.W.

    2007-01-01

    We present results of Raman scattering studies of superconducting YBa 2 Cu 3 O 7-x (YBCO) films grown by chemical vapor deposition and metal-organic deposition methods. It is shown by X-ray diffraction that all the as-grown YBCO films have a highly c-axis oriented and in-plane aligned texture. Raman scattering measurements were used to investigate optical phonon modes, oxygen contents, structural properties, and second-phases of the YBCO coated conductors. Raman spectra of YBCO films with lower-transport qualities exhibit additional phonon modes at ∼300 cm -1 , ∼600 cm -1 , and ∼630 cm -1 , which are related to second-phases such as Ba 2 Cu 3 O 5.9 and BaCuO 2 . Our results strongly suggest that Raman scattering be useful for optimizing YBCO film growth conditions

  8. Influence of deposition temperature on the structural and morphological properties of Be3N2 thin films grown by reactive laser ablation

    International Nuclear Information System (INIS)

    Chale-Lara, F.; Farias, M.H.; De la Cruz, W.; Zapata-Torres, M.

    2010-01-01

    Be 3 N 2 thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be 3 N 2 stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the αBe 3 N 2 phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  9. Electronic and surface properties of pentacene films deposited on SiO2 prepared by the sol–gel and thermally grown methods

    International Nuclear Information System (INIS)

    Dai, Chi-Jie; Tsao, Hou-Yen; Lin, Yow-Jon; Liu, Day-Shan

    2014-01-01

    This study investigates the effect of different types of SiO 2 on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO 2 surfaces than sol–gel SiO 2 surfaces, suggesting that the thermally grown SiO 2 dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO 2 dielectrics is higher than that in pentacene on sol–gel SiO 2 dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO 2 was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films

  10. Influence of different carrier gases on the properties of ZnO films grown by MOCVD

    Directory of Open Access Journals (Sweden)

    Wang, Jinzhong

    2008-08-01

    Full Text Available ZnO films were grown on sapphire (001 substrate by atmospheric MOCVD using diethyl zinc and tertiary butanol precursors. The influence of different carrier gases (H2 and He on the properties was analyzed by their structural (XRD, microstructural (SEM and compositional (SIMS characterization. The intensity of the strongest diffraction peak from ZnO (002 plane was increased by about 2 orders of magnitude when He is used as carrier gas, indicating the significant enhancement in crystallinity. The surface of the samples grown using H2 and He carrier gases was composed of leaf-like and spherical grains respectively. Hydrogen [H] content in the film grown using H2 is higher than that using He, indicating that the [H] was influenced by the H2 carrier gas. Ultraviolet emission dominates the low temperature PL spectra. The emission from ZnO films grown using He show higher optical quality and more emission centers.

    Se depositaron películas de ZnO sobre sustratos de zafiro (001 utilizando dietil zinc y butanol terciario como precursores. La influencia de los diferentes gases portadores (H2 y He sobre las propiedades se estudió mediante la caracterización estructural (XRD, microestructural (SEM y composicional (SIMS. La intensidad del pico de difracción más importante del plano (002 del ZnO aumentó en dos órdenes de magnitud cuando se utiliza He como gas portador indicando un incremento significativo de la cristalinidad. La superficie de las muestras crecidas utilizando H2 y He está formada por granos en forma de hoja y de forma esférica respectivamente. El contenido en hidrógeno (H en la película es mayor cuando se utiliza H2 que cuando se utiliza He, indicando que la cantidad de hidrógeno está influenciada por el H2 del gas portador. La emisión ultravioleta domina el espectro PL de baja temperatura. La emisión de las películas de ZnO utilizando

  11. Effect of sodium acetate additive in successive ionic layer adsorption and reaction on the performance of CdS quantum-dot-sensitized solar cells

    Science.gov (United States)

    Liu, I.-Ping; Chen, Liang-Yih; Lee, Yuh-Lang

    2016-09-01

    Sodium acetate (NaAc) is utilized as an additive in cationic precursors of the successive ionic layer adsorption and reaction (SILAR) process to fabricate CdS quantum-dot (QD)-sensitized photoelectrodes. The effects of the NaAc concentration on the deposition rate and distribution of QDs in mesoporous TiO2 films, as well as on the performance of CdS-sensitized solar cells are studied. The experimental results show that the presence of NaAc can significantly accelerate the deposition of CdS, improve the QD distribution across photoelectrodes, and thereby, increase the performance of solar cells. These results are mainly attributed to the pH-elevation effect of NaAc to the cationic precursors which increases the electrostatic interaction of the TiO2 film to cadmium ions. The light-to-energy conversion efficiency of the CdS-sensitized solar cell increases with increasing concentration of the NaAc and approaches a maximum value (3.11%) at 0.05 M NaAc. Additionally, an ionic exchange is carried out on the photoelectrode to transform the deposited CdS into CdS1-xSex ternary QDs. The light-absorption range of the photoelectrode is extended and an exceptional power conversion efficiency of 4.51% is achieved due to this treatment.

  12. Electrochemical Energy Storage Applications of CVD Grown Niobium Oxide Thin Films.

    Science.gov (United States)

    Fiz, Raquel; Appel, Linus; Gutiérrez-Pardo, Antonio; Ramírez-Rico, Joaquín; Mathur, Sanjay

    2016-08-24

    We report here on the controlled synthesis, characterization, and electrochemical properties of different polymorphs of niobium pentoxide grown by CVD of new single-source precursors. Nb2O5 films deposited at different temperatures showed systematic phase evolution from low-temperature tetragonal (TT-Nb2O5, T-Nb2O5) to high temperature monoclinic modifications (H-Nb2O5). Optimization of the precursor flux and substrate temperature enabled phase-selective growth of Nb2O5 nanorods and films on conductive mesoporous biomorphic carbon matrices (BioC). Nb2O5 thin films deposited on monolithic BioC scaffolds produced composite materials integrating the high surface area and conductivity of the carbonaceous matrix with the intrinsically high capacitance of nanostructured niobium oxide. Heterojunctions in Nb2O5/BioC composites were found to be beneficial in electrochemical capacitance. Electrochemical characterization of Nb2O5/BioC composites showed that small amounts of Nb2O5 (as low as 5%) in conjunction with BioCarbon resulted in a 7-fold increase in the electrode capacitance, from 15 to 104 F g(-1), while imparting good cycling stability, making these materials ideally suited for electrochemical energy storage applications.

  13. Thick Bi2Sr2CaCu2O8+δ films grown by liquid-phase epitaxy for Josephson THz applications

    Science.gov (United States)

    Simsek, Y.; Vlasko-Vlasov, V.; Koshelev, A. E.; Benseman, T.; Hao, Y.; Kesgin, I.; Claus, H.; Pearson, J.; Kwok, W.-K.; Welp, U.

    2018-01-01

    Theoretical and experimental studies of intrinsic Josephson junctions (IJJs) that naturally occur in high-T c superconducting Bi2Sr2CaCu2O8+δ (Bi-2212) have demonstrated their potential for novel types of compact devices for the generation and sensing of electromagnetic radiation in the THz range. Here, we show that the THz-on-a-chip concept may be realized in liquid-phase epitaxial-grown (LPE) thick Bi-2212 films. We have grown μm thick Bi-2212 LPE films on MgO substrates. These films display excellent c-axis alignment and single crystal grains of about 650 × 150 μm2 in size. A branched current-voltage characteristic was clearly observed in c-axis transport, which is a clear signature of underdamped IJJs, and a prerequisite for THz-generation. We discuss LPE growth conditions allowing improvement of the structural quality and superconducting properties of Bi-2212 films for THz applications.

  14. The influence of CdS intermediate layer on CdSe/CdS co-sensitized free-standing TiO2 nanotube solar cells

    Science.gov (United States)

    Ren, Xuefeng; Yu, Libo; Li, Zhen; Song, Hai; Wang, Qingyun

    2018-01-01

    We build CdSe quantum dots (QDs) sensitized TiO2 NT solar cells (CdSe/TiO2 solar cells) by successive ionic layer adsorption reaction (SILAR) method on free-standing translucent TiO2 nanotube (NT) film. The best power conversion efficiency (PCE) 0.74% is obtained with CdSe/TiO2 NT solar cells, however, it is very low. Hence, we introduced the CdS QDs layer located between CdSe QDs and TiO2 NT to achieve an enhanced photovoltaic performance. The J-V test results indicated that the insert of CdS intermediate layer yield a significant improvement of PCE to 2.52%. Combining experimental and theoretical analysis, we find that the effects caused by a translucent TiO2 nanotube film, a better lattices match between CdS and TiO2, and a new formed stepwise band edges structure not only improve the light harvesting efficiency but also increase the driving force of electrons, leading to the improvement of photovoltaic performance.

  15. Selective enhancement of surface-state emission and simultaneous quenching of interband transition in white-luminophor CdS nanocrystals using localized plasmon coupling

    Energy Technology Data Exchange (ETDEWEB)

    Ozel, Tuncay; Soganci, Ibrahim Murat; Nizamoglu, Sedat; Huyal, Ilkem Ozge; Mutlugun, Evren; Demir, Hilmi Volkan [Department of Physics, Department of Electrical and Electronics Engineering, Nanotechnology Research Center and Institute of Materials Science and Nanotechnology, Bilkent University, Ankara 06800 (Turkey); Sapra, Sameer; Gaponik, Nikolai; Eychmueller, Alexander [Physical Chemistry/Electrochemistry Group, Technische Universitaet Dresden, Bergstr. 66b, Dresden 01062 (Germany)], E-mail: volkan@bilkent.edu.tr

    2008-08-15

    We propose and demonstrate the controlled modification and selective enhancement of surface-state emission in white-luminophor CdS nanocrystals (NCs) by plasmon-coupling them with proximal metal nanostructures. By carefully designing nano-Ag films to match their localized plasmon resonance spectrally with the surface-state emission peak of CdS NCs, we experimentally show that the surface-state emission is substantially enhanced in the visible wavelength, while the interband (band-edge) transition at the shorter wavelength far away from the plasmon resonance is simultaneously significantly suppressed. With such plasmon tuning and consequent strong plasmon coupling specifically for the surface-state transitions, the surface-state emission is made stronger than the band-edge emission. This corresponds to an enhancement factor of 12.7-fold in the ratio of the surface-state peak emission to the band-edge peak emission of the plasmon-coupled film sample compared with that in solution. Such a plasmonic engineering of surface-state emission in trap-rich CdS white nanoluminophors holds great promise for future solid-state lighting.

  16. Selective enhancement of surface-state emission and simultaneous quenching of interband transition in white-luminophor CdS nanocrystals using localized plasmon coupling

    International Nuclear Information System (INIS)

    Ozel, Tuncay; Soganci, Ibrahim Murat; Nizamoglu, Sedat; Huyal, Ilkem Ozge; Mutlugun, Evren; Demir, Hilmi Volkan; Sapra, Sameer; Gaponik, Nikolai; Eychmueller, Alexander

    2008-01-01

    We propose and demonstrate the controlled modification and selective enhancement of surface-state emission in white-luminophor CdS nanocrystals (NCs) by plasmon-coupling them with proximal metal nanostructures. By carefully designing nano-Ag films to match their localized plasmon resonance spectrally with the surface-state emission peak of CdS NCs, we experimentally show that the surface-state emission is substantially enhanced in the visible wavelength, while the interband (band-edge) transition at the shorter wavelength far away from the plasmon resonance is simultaneously significantly suppressed. With such plasmon tuning and consequent strong plasmon coupling specifically for the surface-state transitions, the surface-state emission is made stronger than the band-edge emission. This corresponds to an enhancement factor of 12.7-fold in the ratio of the surface-state peak emission to the band-edge peak emission of the plasmon-coupled film sample compared with that in solution. Such a plasmonic engineering of surface-state emission in trap-rich CdS white nanoluminophors holds great promise for future solid-state lighting

  17. Structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy

    Science.gov (United States)

    Kanzyuba, Vasily; Dong, Sining; Liu, Xinyu; Li, Xiang; Rouvimov, Sergei; Okuno, Hanako; Mariette, Henri; Zhang, Xueqiang; Ptasinska, Sylwia; Tracy, Brian D.; Smith, David J.; Dobrowolska, Margaret; Furdyna, Jacek K.

    2017-02-01

    We describe the structural evolution of dilute magnetic (Sn,Mn)Se films grown by molecular beam epitaxy on GaAs (111) substrates, as revealed by transmission electron microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. When the Mn concentration is increased, the lattice of the ternary (Sn,Mn)Se films evolves quasi-coherently from a SnSe2 two-dimensional (2D) crystal structure into a more complex quasi-2D lattice rearrangement, ultimately transforming into the magnetically concentrated antiferromagnetic MnSe 3D rock-salt structure as Mn approaches 50 at. % of this material. These structural transformations are expected to underlie the evolution of magnetic properties of this ternary system reported earlier in the literature.

  18. Strasbourg Astronomical Data Center (CDS

    Directory of Open Access Journals (Sweden)

    F Genova

    2013-01-01

    Full Text Available The Centre de Donnees astronomiques de Strasbourg (CDS, created in 1972, has been a pioneer in the dissemination of digital scientific data. Ensuring sustainability for several decades has been a major issue because science and technology evolve continuously and the data flow increases endlessly. The paper briefly describes CDS activities, major services, and its R&D strategy to take advantage of new technologies. The next frontiers for CDS are the new Web 2.0/3.0 paradigm and, at a more general level, global interoperability of astronomical on-line resources in the Virtual Observatory framework.

  19. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  20. Thermal characterization of polycrystalline diamond thin film heat spreaders grown on GaN HEMTs

    Science.gov (United States)

    Zhou, Yan; Ramaneti, Rajesh; Anaya, Julian; Korneychuk, Svetlana; Derluyn, Joff; Sun, Huarui; Pomeroy, James; Verbeeck, Johan; Haenen, Ken; Kuball, Martin

    2017-07-01

    Polycrystalline diamond (PCD) was grown onto high-k dielectric passivated AlGaN/GaN-on-Si high electron mobility transistor (HEMT) structures, with film thicknesses ranging from 155 to 1000 nm. Transient thermoreflectance results were combined with device thermal simulations to investigate the heat spreading benefit of the diamond layer. The observed thermal conductivity (κDia) of PCD films is one-to-two orders of magnitude lower than that of bulk PCD and exhibits a strong layer thickness dependence, which is attributed to the grain size evolution. The films exhibit a weak temperature dependence of κDia in the measured 25-225 °C range. Device simulation using the experimental κDia and thermal boundary resistance values predicts at best a 15% reduction in peak temperature when the source-drain opening of a passivated AlGaN/GaN-on-Si HEMT is overgrown with PCD.

  1. Hierarchical Layered WS2 /Graphene-Modified CdS Nanorods for Efficient Photocatalytic Hydrogen Evolution.

    Science.gov (United States)

    Xiang, Quanjun; Cheng, Feiyue; Lang, Di

    2016-05-10

    Graphene-based ternary composite photocatalysts with genuine heterostructure constituents have attracted extensive attention in photocatalytic hydrogen evolution. Here we report a new graphene-based ternary composite consisting of CdS nanorods grown on hierarchical layered WS2 /graphene hybrid (WG) as a high-performance photocatalyst for hydrogen evolution under visible light irradiation. The optimal content of layered WG as a co-catalyst in the ternary CdS/WS2 /graphene composites was found to be 4.2 wt %, giving a visible light photocatalytic H2 -production rate of 1842 μmol h(-1)  g(-1) with an apparent quantum efficiency of 21.2 % at 420 nm. This high photocatalytic H2 -production activity is due to the deposition of CdS nanorods on layered WS2 /graphene sheets, which can efficiently suppress charge recombination, improve interfacial charge transfer, and provide reduction active sites. The proposed mechanism for the enhanced photocatalytic activity of CdS nanorods modified with hierarchical layered WG was further confirmed by transient photocurrent response. This work shows that a noble-metal-free hierarchical layered WS2 /graphene nanosheets hybrid can be used as an effective co-catalyst for photocatalytic water splitting. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  3. Tuning of electrical and structural properties of indium oxide films grown by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Wang, Ch.Y.; Cimalla, V.; Romanus, H.; Kups, Th.; Niebelschuetz, M.; Ambacher, O.

    2007-01-01

    Tuning of structural and electrical properties of indium oxide (In 2 O 3 ) films by means of metal organic chemical vapor deposition is demonstrated. Phase selective growth of rhombohedral In 2 O 3 (0001) and body-centered cubic In 2 O 3 (001) polytypes on (0001) sapphire substrates was obtained by adjusting the substrate temperature and trimethylindium flow rate. The specific resistance of the as-grown films can be tuned by about two orders of magnitude by varying the growth conditions

  4. Photoelectrochemical property of CdS and PbS cosensitized on the TiO2 array by novel successive ionic layer adsorption and reaction method

    International Nuclear Information System (INIS)

    Lv, Pin; Fu, Wuyou; Mu, Yannan; Sun, Hairui; Su, Shi; Chen, Yanli; Yao, Huizhen; Ding, Dong; Liu, Tie; Wang, Jun; Yang, Haibin

    2015-01-01

    Highlights: • (CdS + PbS)/TiO 2 NTWs array was firstly synthesized by novel SILAR (N-SILAR) method. • N-SILAR method could shorten time, simplify procedure, lower cost. • (CdS + PbS)/TiO 2 NTWs contain both PbS/CdS/TiO 2 and CdS/PbS/TiO 2 composites structure. • (CdS + PbS)/TiO 2 NTWs can improve electron transport and reduce chemical erosion both. • The photocurrent of (CdS + PbS)/TiO 2 NTWs was 4.1 mA/cm 2 —8 times as high as TiO 2 . - Abstract: TiO 2 film materials have very wide applications in photovoltaic conversion techniques. And, TiO 2 nanotubes array film with nanowires directly formed on top (denoted as TiO 2 NTWs) was prepared by the anodization method. CdS and PbS quantum dots (QDs) were firstly cosensitized on the TiO 2 NTWs array (denoted as (CdS + PbS)/TiO 2 NTWs) by novel successive ionic layer adsorption and reaction (N-SILAR), which only needed a cation mixed solution containing Cd 2+ and Pb 2+ and an anionic solution containing S 2− . This N-SILAR method can not only effectively shorten the experimental time, simplify the experiment procedure and reduce the experiment cost, but also make the material of (CdS + PbS)/TiO 2 NTWs possess the advantages of improving electron transport and reducing chemical erosion. Moreover, the photocurrent of (CdS + PbS)/TiO 2 NTWs was 4.1 mA/cm 2 under an illumination of 100 mW/cm 2 . The most eye-popping part was that the result was 8 times higher than that of the bare TiO 2 NTWs array. The result of photoelectrochemical measurements indicated that this novel material had a potential application in photovoltaic devices

  5. Deposition and Characterization of CVD-Grown Ge-Sb Thin Film Device for Phase-Change Memory Application

    Directory of Open Access Journals (Sweden)

    C. C. Huang

    2012-01-01

    Full Text Available Germanium antimony (Ge-Sb thin films with tuneable compositions have been fabricated on SiO2/Si, borosilicate glass, and quartz glass substrates by chemical vapour deposition (CVD. Deposition takes place at atmospheric pressure using metal chloride precursors at reaction temperatures between 750 and 875°C. The compositions and structures of these thin films have been characterized by micro-Raman, scanning electron microscope (SEM with energy dispersive X-ray analysis (EDX and X-ray diffraction (XRD techniques. A prototype Ge-Sb thin film phase-change memory device has been fabricated and reversible threshold and phase-change switching demonstrated electrically, with a threshold voltage of 2.2–2.5 V. These CVD-grown Ge-Sb films show promise for applications such as phase-change memory and optical, electronic, and plasmonic switching.

  6. Epitaxially Grown Films of Standing and Lying Pentacene Molecules on Cu(110) Surfaces

    Science.gov (United States)

    2011-01-01

    Here, it is shown that pentacene thin films (30 nm) with distinctively different crystallographic structures and molecular orientations can be grown under essentially identical growth conditions in UHV on clean Cu(110) surfaces. By X-ray diffraction, we show that the epitaxially oriented pentacene films crystallize either in the “thin film” phase with standing molecules or in the “single crystal” structure with molecules lying with their long axes parallel to the substrate. The morphology of the samples observed by atomic force microscopy shows an epitaxial alignment of pentacene crystallites, which corroborates the molecular orientation observed by X-ray diffraction pole figures. Low energy electron diffraction measurements reveal that these dissimilar growth behaviors are induced by subtle differences in the monolayer structures formed by slightly different preparation procedures. PMID:21479111

  7. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  8. Energy efficiency of a photovoltaic cell based thin films CZTS by ...

    African Journals Online (AJOL)

    Energy efficiency of a photovoltaic cell based thin films CZTS by SCAPS. ... use of natural resources, the use of renewable energy including solar photovoltaic ... η for typical structures of ZnO / i- ZnO / CdS / CZTS and ITO / ZnO / CdS / CZTS.

  9. Data on the synthesis processes optimization of novel β-NiS film modified CdS nanoflowers heterostructure nanocomposite for photocatalytic hydrogen evolution

    Directory of Open Access Journals (Sweden)

    Yu Zhang

    2018-02-01

    Full Text Available The data presented in this article are related to a research article entitled ‘Novel β-NiS film modified CdS nanoflowers heterostructure nanocomposite: extraordinarily highly efficient photocatalysts for hydrogen evolution’ (Zhang et al., 2018 [1]. In this article, we report original data on the synthesis processes optimization of the proposed nanocomposite on the basis of their optimum photocatalytic performance together with the comparison on the results of literatures and comparative experiments. The composition, microstructure, morphology, photocatalytic hydrogen evolution and photocatalytic stability of the corresponding samples are included in this report. The data are presented in this format in order to facilitate comparison with data from other researchers in the field and understanding the mechanism of similar catalysts. Keywords: NiS/CdS nanoflowers heterostructure, Photocatalysts, Water splitting, Hydrothermal synthesis, Optimization

  10. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  11. Electronic and surface properties of pentacene films deposited on SiO{sub 2} prepared by the sol–gel and thermally grown methods

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Chi-Jie [Department of Physics, National Changhua University of Education, Changhua 500, Taiwan (China); Tsao, Hou-Yen [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Lin, Yow-Jon, E-mail: rzr2390@yahoo.com.tw [Institute of Photonics, National Changhua University of Education, Changhua 500, Taiwan (China); Liu, Day-Shan [Graduate Institute of Electro-Optical and Materials Science, National Formosa University, Huwei 632, Taiwan (China)

    2014-02-03

    This study investigates the effect of different types of SiO{sub 2} on the electronic and surface properties of pentacene films. Developing better contacts on dielectrics is one of the main challenges for pentacene-based transistor technology. The water contact angle variation indicates more hydrophobic thermally grown SiO{sub 2} surfaces than sol–gel SiO{sub 2} surfaces, suggesting that the thermally grown SiO{sub 2} dielectric enables a better molecular arrangement as the pentacene layer is deposited. It is found that the carrier mobility in pentacene on thermally grown SiO{sub 2} dielectrics is higher than that in pentacene on sol–gel SiO{sub 2} dielectrics. The Hall-effect analysis by using the polaron theory revealed that the enhanced carrier mobility is due to the increased spacing between molecules. - Highlights: • The carrier mobility of pentacene on thermally grown and sol–gel SiO{sub 2} was researched. • The enhanced carrier mobility of pentacene on thermally grown SiO2 was observed. • The dominance of tunneling (hopping) at low (high) temperatures was observed. • The carrier mobility is correlated with the morphology of pentacene films.

  12. {alpha} Fe{sub 2}O{sub 3} films grown by the spin-on sol-gel deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Avila G, A.; Carbajal F, G. [Seccion de Electronica del Estado Solido, Departamento de Ingenieria Electrica, CINVESTAV del l.P.N., Av. I.P.N. No. 2508, Apartado Postal 14-740, Mexico 07360, D.F (Mexico); Tiburcio S, A. [Division Posg, lnstituto Tecnologico de Toluca-SEP, P.O. Box 890, 50000 Toluca, Edo. Mex. (Mexico); Barrera C, E. [Departamento de IPH, Area de Ingenieria en Recursos Energeticos, Universidad Autonoma Metropolitana-lztapalapa, Apartado Postal 55-5340, Mexico, D.F. (Mexico); Andrade I, E. [Instituto de Fsica, Universidad Nacional Autononca de Mexico, Apartado Postal 20-364, Mexico 01000, D. F (Mexico)

    2003-07-01

    {alpha}-Fe{sub 2}O{sub 3} polycrystalline films with grains larger than 31 nm were grown by the spin-on sol-gel deposition method. The particular sol used was prepared starting from two distinct precursor reagents. Both precursors leaded to similar films. Order within the films was altered by adding tin to the samples. Transmittance measurements confirmed that the hematite phase is obtained by annealing the samples above 400 C and yielded an optical gap of about 2.2 eV, but additional transitions at 2.7 eV were also observed. From RBS measurements it was found that tin inclusion decreases iron content as expected, but also increases oxygen concentration within the films. This last observation was associated to the disorder rise when introducing tin atoms. (Author)

  13. Mn2+ anchored CdS polymer nanocomposites: An efficient alternative for Mn2+ doped CdS nanoparticles

    International Nuclear Information System (INIS)

    Saikia, Bhaskar Jyoti; Nath, Bikash Chandra; Borah, Chandramika; Dolui, Swapan Kumar

    2015-01-01

    A chelating bi-functional polymer brushes was prepared via atom transfer radical polymerization using grafting-from methodology. Mn 2+ -anchored CdS-polymer nanocomposites were synthesized using this graft copolymer by simple chelation method resulting in emission at about 620 nm which originates from the fluorescence of manganese ions embedded on the surface of CdS nanoparticles. This method provides an efficient straightforward substitute of Mn 2+ dopped CdS nanoparticles. Optical properties of the composites were investigated which indicates that simple Mn 2+ chelation and subsequent binding of CdS in a polymer matrix can have similar effect in the luminescence property as those synthesized via complex doping methods. Moreover this methodology can be applied for synthesis of any metal anchored nanocomposites proficiently and cost effectively in large-scale production. - Highlights: • A chelating bifunctional copolymer brush was synthesized via ATRP. • CdS nanoparticles and Mn 2+ were coupled with the bifunctional polymer. • Composites showed emission properties similar to Mn 2+ doped CdS nanoparticles. • Side chain length of the polymers also affect the emission properties of the composites.

  14. Photoelectrochemical property of CdS and PbS cosensitized on the TiO{sub 2} array by novel successive ionic layer adsorption and reaction method

    Energy Technology Data Exchange (ETDEWEB)

    Lv, Pin; Fu, Wuyou [National Key Lab of Superhard Materials, Jilin University, Changchun 130012 (China); Mu, Yannan [National Key Lab of Superhard Materials, Jilin University, Changchun 130012 (China); Department of Physics and Chemistry, Heihe University, Heihe 164300 (China); Sun, Hairui; Su, Shi; Chen, Yanli; Yao, Huizhen; Ding, Dong; Liu, Tie; Wang, Jun; Yang, Haibin [National Key Lab of Superhard Materials, Jilin University, Changchun 130012 (China)

    2015-02-05

    Highlights: • (CdS + PbS)/TiO{sub 2}NTWs array was firstly synthesized by novel SILAR (N-SILAR) method. • N-SILAR method could shorten time, simplify procedure, lower cost. • (CdS + PbS)/TiO{sub 2}NTWs contain both PbS/CdS/TiO{sub 2} and CdS/PbS/TiO{sub 2} composites structure. • (CdS + PbS)/TiO{sub 2}NTWs can improve electron transport and reduce chemical erosion both. • The photocurrent of (CdS + PbS)/TiO{sub 2}NTWs was 4.1 mA/cm{sup 2}—8 times as high as TiO{sub 2}. - Abstract: TiO{sub 2} film materials have very wide applications in photovoltaic conversion techniques. And, TiO{sub 2} nanotubes array film with nanowires directly formed on top (denoted as TiO{sub 2}NTWs) was prepared by the anodization method. CdS and PbS quantum dots (QDs) were firstly cosensitized on the TiO{sub 2}NTWs array (denoted as (CdS + PbS)/TiO{sub 2}NTWs) by novel successive ionic layer adsorption and reaction (N-SILAR), which only needed a cation mixed solution containing Cd{sup 2+} and Pb{sup 2+} and an anionic solution containing S{sup 2−}. This N-SILAR method can not only effectively shorten the experimental time, simplify the experiment procedure and reduce the experiment cost, but also make the material of (CdS + PbS)/TiO{sub 2}NTWs possess the advantages of improving electron transport and reducing chemical erosion. Moreover, the photocurrent of (CdS + PbS)/TiO{sub 2}NTWs was 4.1 mA/cm{sup 2} under an illumination of 100 mW/cm{sup 2}. The most eye-popping part was that the result was 8 times higher than that of the bare TiO{sub 2}NTWs array. The result of photoelectrochemical measurements indicated that this novel material had a potential application in photovoltaic devices.

  15. Structural and nanomechanical properties of InN films grown on Si(1 0 0) by femtosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Hafez, M A; Mamun, M A; Elmustafa, A A; Elsayed-Ali, H E

    2013-01-01

    The structural and nanomechanical properties of InN films grown on Si(1 0 0) using femtosecond pulsed laser deposition were studied for different growth conditions. Atomic nitrogen was generated by either thermal cracking or laser-induced breakdown (LIB) of ammonia. Optical emission spectroscopy was conducted on the laser plasma and used to observe atomic nitrogen formation. An indium buffer layer was initially grown on the Si substrate at low temperature. The surface structure and morphology were investigated by in situ reflection high-energy electron diffraction, ex situ atomic force microscopy and x-ray diffraction (XRD). The results show that the initial buffer indium layers were terminated with the In(2 × 1) structure and had a smooth surface. With increased coverage, the growth mode developed from two-dimensional layers to three-dimensional islands. At room temperature (RT), formation of submicrometre islands resulted in mixed crystal structure of In and InN. As the substrate temperature was increased to 250–350 °C, the crystal structure was found to be dominated by fewer In and more InN, with only InN formed at 350 °C. The XRD patterns show that the grown InN films have wurtzite crystal structure. The film hardness near the surface was observed to increase from less than 1 GPa, characteristic of In for the sample grown at RT using the thermal cracker, to a hardness of 11 GPa at 30 nm from surface, characteristic of InN for samples grown at 350 °C by LIB. The hardness at deep indents reaches the hardness of the Si substrate of ∼12 GPa. (paper)

  16. Stable, highly-responsive and broadband photodetection based on large-area multilayered WS2 films grown by pulsed-laser deposition

    Science.gov (United States)

    Yao, J. D.; Zheng, Z. Q.; Shao, J. M.; Yang, G. W.

    2015-09-01

    The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor deposition (CVD). Here, we introduce pulsed-laser deposition (PLD) to prepare multilayered WS2 films. Large-area WS2 films of the magnitude of cm2 are achieved. Comparative measurements of a WS2-based photoresistor demonstrate its stable broadband photoresponse from 370 to 1064 nm, the broadest range demonstrated in WS2 photodetectors. Benefiting from the large optical absorbance (40%-85%) and high carrier mobility (31 cm2 V-1 s-1), the responsivity of the device approaches a high value of 0.51 A W-1 in an ambient environment. Such a performance far surpasses the CVD-grown WS2-based photodetectors (μA W-1). In a vacuum environment, the responsivity is further enhanced to 0.70 A W-1 along with an external quantum efficiency of 137% and a photodetectivity of 2.7 × 109 cm Hz1/2 W-1. These findings stress that the PLD-grown WS2 film may constitute a new paradigm for the next-generation stable, broadband and highly-responsive photodetectors.The progress in the field of graphene has aroused a renaissance of keen research interest in layered transition metal dichalcogenides (TMDs). Tungsten disulfide (WS2), a typical TMD with favorable semiconducting band gap and strong light-matter interaction, exhibits great potential for highly-responsive photodetection. However, WS2-based photodetection is currently unsatisfactory due to the low optical absorption (2%-10%) and poor carrier mobility (0.01-0.91 cm2 V-1 s-1) of the thin WS2 layers grown by chemical vapor

  17. Use of B{sub 2}O{sub 3} films grown by plasma-assisted atomic layer deposition for shallow boron doping in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Kalkofen, Bodo, E-mail: bodo.kalkofen@ovgu.de; Amusan, Akinwumi A.; Bukhari, Muhammad S. K.; Burte, Edmund P. [Institute of Micro and Sensor Systems, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Garke, Bernd [Institute for Experimental Physics, Otto-von-Guericke University, Universitätsplatz 2, 39106 Magdeburg (Germany); Lisker, Marco [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Gargouri, Hassan [SENTECH Instruments GmbH, Schwarzschildstraße 2, 12489 Berlin (Germany)

    2015-05-15

    Plasma-assisted atomic layer deposition (PALD) was carried for growing thin boron oxide films onto silicon aiming at the formation of dopant sources for shallow boron doping of silicon by rapid thermal annealing (RTA). A remote capacitively coupled plasma source powered by GaN microwave oscillators was used for generating oxygen plasma in the PALD process with tris(dimethylamido)borane as boron containing precursor. ALD type growth was obtained; growth per cycle was highest with 0.13 nm at room temperature and decreased with higher temperature. The as-deposited films were highly unstable in ambient air and could be protected by capping with in-situ PALD grown antimony oxide films. After 16 weeks of storage in air, degradation of the film stack was observed in an electron microscope. The instability of the boron oxide, caused by moisture uptake, suggests the application of this film for testing moisture barrier properties of capping materials particularly for those grown by ALD. Boron doping of silicon was demonstrated using the uncapped PALD B{sub 2}O{sub 3} films for RTA processes without exposing them to air. The boron concentration in the silicon could be varied depending on the source layer thickness for very thin films, which favors the application of ALD for semiconductor doping processes.

  18. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    International Nuclear Information System (INIS)

    Marta, Bogdan; Leordean, Cosmin; Istvan, Todor; Botiz, Ioan; Astilean, Simion

    2016-01-01

    Graphical abstract: - Highlights: • One-step dry transfer method of CVD grown graphene onto PVA films. • Investigation of graphene quality and number of layers of the synthesized and transferred graphene. • Promising scalability and good quality of transferred graphene onto flexible transparent polymers. - Abstract: Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  19. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    Energy Technology Data Exchange (ETDEWEB)

    Marta, Bogdan; Leordean, Cosmin [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Istvan, Todor [Babes-Bolyai University, Faculty of Physics, Biomolecular Physics Department, M Kogalniceanu Str. 1, Cluj-Napoca 400084 (Romania); Botiz, Ioan [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Astilean, Simion, E-mail: simion.astilean@phys.ubbcluj.ro [Babes-Bolyai University, Interdisciplinary Research Institute in Bio-Nano-Sciences, Nanobiophotonics and Laser Microspectroscopy Center, Treboniu Laurian Str. 42, Cluj-Napoca 400271 (Romania); Babes-Bolyai University, Faculty of Physics, Biomolecular Physics Department, M Kogalniceanu Str. 1, Cluj-Napoca 400084 (Romania)

    2016-02-15

    Graphical abstract: - Highlights: • One-step dry transfer method of CVD grown graphene onto PVA films. • Investigation of graphene quality and number of layers of the synthesized and transferred graphene. • Promising scalability and good quality of transferred graphene onto flexible transparent polymers. - Abstract: Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  20. Electrical properties of GaAsN film grown by chemical beam epitaxy

    International Nuclear Information System (INIS)

    Nishimura, K.; Suzuki, H.; Saito, K.; Ohshita, Y.; Kojima, N.; Yamaguchi, M.

    2007-01-01

    The local vibrational modes (LVMs) observed by Fourier transform infrared (FTIR) spectroscopy in GaAsN films grown by chemical beam epitaxy (CBE) was studied, and the influence of the nitrogen-hydrogen bond (N-H) concentration on the hole concentration was investigated. The absorption peak around 936 cm -1 is suggested to be the second harmonic mode of the substitutional N, N As , LVM around 469 cm -1 . The absorption peak around 960 cm -1 is suggested to be the wagging mode of the N-H, where the stretch mode is observed around 3098 cm -1 . The hole concentration linearly increases with increasing N-H concentration, and the slope increases with increasing growth temperature. It indicates that the hole concentration in GaAsN film is determined by both the number of the N-H and unknown defect, such as impurities, vacancies, and interstitials. This defect concentration increases with increasing growth temperature, suggesting that it is determined by Arrhenius type reaction

  1. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D., E-mail: l_chandrakant@yahoo.com

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z{sub 1}) and nanograins by SILAR (Z{sub 2}). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10{sup 2} Ω cm) is lower than that of SILAR deposited films (10{sup 5} Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method.

  2. A comparative study of physico-chemical properties of CBD and SILAR grown ZnO thin films

    International Nuclear Information System (INIS)

    Jambure, S.B.; Patil, S.J.; Deshpande, A.R.; Lokhande, C.D.

    2014-01-01

    Graphical abstract: Schematic model indicating ZnO nanorods by CBD (Z 1 ) and nanograins by SILAR (Z 2 ). - Highlights: • Simple methods for the synthesis of ZnO thin films. • Comparative study of physico-chemical properties of ZnO thin films prepared by CBD and SILAR methods. • CBD outperforms SILAR method. - Abstract: In the present work, nanocrystalline zinc oxide (ZnO) thin films have been successfully deposited onto glass substrates by simple and economical chemical bath deposition (CBD) and successive ionic layer adsorption reaction (SILAR) methods. These films were further characterized for their structural, optical, surface morphological and wettability properties. The X-ray diffraction (XRD) patterns for both CBD and SILAR deposited ZnO thin films reveal the highly crystalline hexagonal wurtzite structure. From optical studies, band gaps obtained are 2.9 and 3.0 eV for CBD and SILAR deposited thin films, respectively. The scanning electron microscope (SEM) patterns show growth of well defined randomly oriented nanorods and nanograins on the CBD and SILAR deposited samples, respectively. The resistivity of CBD deposited films (10 2 Ω cm) is lower than that of SILAR deposited films (10 5 Ω cm). Surface wettability studies show hydrophobic nature for both films. From the above results it can be concluded that CBD grown ZnO thin films show better properties as compared to SILAR method

  3. Effect of the niobium additions in the passive films potentiostatically grown in a sulphate medium

    International Nuclear Information System (INIS)

    Kuri, S.E.; Martins, M.; D'Alkaine, C.V.

    1984-01-01

    The stability of passive films potentiostatically grown on stainless steel electrodes was studied in a 2 N sulfuric acid. The effect of Niobium contents in the base metal was considered. The reactivation time was measured using the method of Potential Decay Measurements under Open-Circuit Conditions after electrochemical aging in the passivity region, and its influence on the surface oxidation states, was discussed. (Author) [pt

  4. Effects of different annealing atmospheres on the properties of cadmium sulfide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, E., E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Kahraman, S. [Department of Metallurgy and Material Engineering, Faculty of Technology, Mustafa Kemal University, 31034 Hatay (Turkey); Güder, H.S. [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2015-08-15

    Graphical abstract: The effects of different annealing atmospheres (air and sulfur) on the structural, morphological and optical properties of CdS thin films were studied at three different pH values. - Highlights: • Compactness and smoothness of the films were enhanced after sulfur annealing. • Micro-strain values of some films were improved after sulfur annealing. • Dislocation density values of some films were improved after sulfur annealing. • Band gap values of the films were improved after sulfur annealing. - Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by using chemical bath deposition (CBD) technique. The effects of different annealing atmospheres (air and sulfur) on the structural, morphological and optical properties of CdS thin films were studied at three different pH values. Compactness and smoothness of the films (especially for pH 10.5 and 11) enhanced after sulfur annealing. pH value of the precursor solution remarkably affected the roughness, uniformity and particle sizes of the films. Based on the analysis of X-ray diffraction (XRD) patterns of the films, micro-strain and dislocation density values of the sulfur-annealed films (pH 10.5 and 11) were found to be lower than those of air-annealed films. Air-annealed films (pH 10.5, 11 and 11.5) exhibited higher transmittance than sulfur-annealed films in the wavelength region of 550–800 nm. Optical band gap values of the films were found between 2.31 eV and 2.36 eV.

  5. Misfit dislocations of anisotropic magnetoresistant Nd0.45Sr0.55MnO3 thin films grown on SrTiO3 (1 1 0) substrates

    International Nuclear Information System (INIS)

    Tang, Y.L.; Zhu, Y.L.; Meng, H.; Zhang, Y.Q.; Ma, X.L.

    2012-01-01

    Nd 0.45 Sr 0.55 MnO 3 is an A-type antiferromagnetic manganite showing obvious angular-dependent magnetoresistance, which can be tuned by misfit strain. The misfit strain relaxation of Nd 0.45 Sr 0.55 MnO 3 thin films is of both fundamental and technical importance. In this paper, microstructures of epitaxial Nd 0.45 Sr 0.55 MnO 3 thin films grown on SrTiO 3 (1 1 0) substrates by pulsed laser deposition were investigated by means of (scanning) transmission electron microscopy. The Nd 0.45 Sr 0.55 MnO 3 thin films exhibit a two-layered structure: a continuous perovskite layer epitaxial grown on the substrate followed by epitaxially grown columnar nanostructures. An approximately periodic array of misfit dislocations is found along the interface with line directions of both 〈1 1 1〉 and [0 0 1]. High-resolution (scanning) transmission electron microscopy reveals that all the misfit dislocations possess a〈1 1 0〉-type Burgers vectors. A formation mechanism based on gliding or climbing of the dislocations is proposed to elucidate this novel misfit dislocation configuration. These misfit dislocations have complex effects on the strain relaxation and microstructure of the films, and thus their influence needs further consideration for heteroepitaxial perovskite thin film systems, especially for films grown on substrates with low-symmetry surfaces such as SrTiO 3 (1 1 0) and (1 1 1), which are attracting attention for their potentially new functions.

  6. Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Ardalan, Pendar; Brennan, Thomas P.; Lee, Han-Bo-Ram; Bakke, Jonathan R.; Ding, I-Kang; McGehee, Michael D.; Bent, Stacey F.

    2011-01-01

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO 2 surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO2 nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM. © 2011 American Chemical Society.

  7. Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells

    KAUST Repository

    Ardalan, Pendar

    2011-02-22

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO 2 surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO2 nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM. © 2011 American Chemical Society.

  8. High resolution electron microscopy studies of interfaces between Al2O3 substrates and MBE grown Nb films

    International Nuclear Information System (INIS)

    Mayer, J.; Ruhle, M.; Dura, J.; Flynn, C.P.

    1991-01-01

    This paper reports on single crystal niobium films grown by Molecular Beam Epitaxy (MBE) on (001) S sapphire substrates. Cross-sectional specimens with thickness of 2 O 3 interface could be investigated by high resolution electron microscopy (HREM). The orientation relationship between the metal film and the ceramic substrate was verified by selected area diffraction: (111) Nb parallel (0001) S and [1 bar 10] Nb parallel [2 bar 1 bar 10] S . The atomistic structure of the interface was identified by HREM

  9. Effects of CdCl2 treatment on the recrystallization and electro-optical properties of CdTe thin films

    International Nuclear Information System (INIS)

    Moutinho, H.R.; Al-Jassim, M.M.; Levi, D.H.; Dippo, P.C.; Kazmerski, L.L.

    1998-01-01

    The effects of CdCl 2 processing on the physical and electro-optical properties of CdTe were evaluated for thin films produced by physical vapor deposition and close-spaced sublimation (CSS). Two substrates (CdS and Indium - tin - oxide) were used with the physical vapor deposition (PVD) films specifically to isolate the effects of the Cd(S x Te 1-x ) alloy formed during the treatment of films deposited on CdS. The samples were analyzed by x-ray diffraction (XRD), atomic force microscopy (AFM), and photoluminescence. The observed changes in microstructure were caused by recrystallization, which consisted of the nucleation and development of a new CdTe structure and subsequent grain growth. Nevertheless, for these processes to take place, it was necessary that enough lattice-strain energy was available in the films. For this reason, PVD films did recrystallize, while CSS films did not. For the first time, recrystallization was observed directly in AFM images of CdTe films and confirmed by XRD analysis, which indicated the existence of two lattice parameters in PVD samples treated at 350 degree C. For samples treated at 400 degree C, the CdCl 2 treatment improved the minority-carrier lifetime of the films by more than one order of magnitude. This improvement was attributed to the elimination of deep defect levels within the band gap of the CdTe films as a result of the treatment. The sulfur diffusion into CdTe films deposited on CdS, during the CdCl 2 treatment at 400 degree C, strongly affected the defect structure

  10. Effects of Post Heat Treatments on ZnO Thin-Films Grown on Zn-coated Teflon Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ikhyun; Nam, Giwoong; Lee, Cheoleon; Kim, Dongwhan; Choi, Hyonkwang; Kim, Yangsoo; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of); Kim, Jin Soo [Chonbuk National University, Jeonju (Korea, Republic of); Kim, Jong Su [Yeungnam University, Gyeongsan (Korea, Republic of); Son, Jeong-Sik [Kyungwoon University, Gumi (Korea, Republic of)

    2015-06-15

    ZnO thin films were first grown on Zn-coated Teflon substrates using a spin-coating method, with various post-heating temperatures. The structural and optical properties of the ZnO thin films were then investigated using field-effect scanning-electron microscopy, X-ray diffractometry, and photoluminescence (PL) spectroscopy. The surface morphology of these ZnO thin films exhibited dendritic structures. With increasing post-heating temperature, all samples preferentially exhibited preferential c-axis orientation and increased residual tensile stress. All of the films exhibited preferential c-axis orientation, and the residual tensile stress of those increased with increasing post-heating temperature. The near-band-edge emission (NBE) peaks were red-shifted after post-heating treatment at 400 ℃. The intensity of the deep-level emission (DLE) peaks gradually decreased with increasing post- heating temperature. Moreover, the narrowest ‘full width at half maximum’ (FWHM) and the highest intensity ratio of the NBE to the DLE for thin films, were observed after post-heating at 400 ℃. The ZnO thin films fabricated with the 400 ℃ post-heating process provided the highest crystallinity and optical properties.

  11. La Doping of CdS for Enhanced CdS/CdSe Quantum Dot Cosensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Xiaolei Qi

    2015-01-01

    Full Text Available CdS/CdSe system of quantum dot cosensitized solar cells (QDCSCs is one of the most attractive structures for high-efficiency due to its effect of level adjusting. However, the stepwise structure formed between levels of CdS and CdSe has a limitation for enhancing the efficiencies. Metal ions doping in quantum dots have emerged as a common way for changing the Fermi level, band gap, and conductance. Here we report an innovative concept for the rare earth materials La-doped of the CdS layer in the CdS/CdSe QDCSCs by means of the successive ionic layer adsorption and reaction (SILAR. Then we tested that La doped quantum dots can help more electrons accumulate in CdS film, which makes the Fermi level shift up and form a stepped structure. This method leads to enhanced absorption intensity, obviously increasing current density in CdS/CdSe QDCSCs. Our research is a new exploration for improving efficiencies of quantum dot sensitized solar cells.

  12. Bandgap engineering by substitution of S by Se in nanostructured ZnS1-xSex thin films grown by soft chemical route for nontoxic optoelectronic device applications

    International Nuclear Information System (INIS)

    Sadekar, Harishchandra K.; Ghule, Anil Vithal; Sharma, Ramphal

    2011-01-01

    Highlights: → ZnS 1-x Se x (x = 0 to 1) thin films are successfully deposited on glass substrates by soft chemical route. → Structural, optical and electrical properties are studied. → Change in band gap, crystallite size and resistivity is noted with change in S:Se ratio. → Wide band gap material (ZnS 1-x Se x ) is useful for photosensor and solar cell applications. → It utilizes whole visible light spectrum and is a best alternative to conventionally used toxic CdS. - Abstract: Thin films of nanostructured ZnS 1-x Se x with optimized growth parameters were prepared by soft chemical route on glass substrates. Ammonia free precursors were used at 80 deg. C constant bath temperature. The ratio of sulphur to selenium was changed continuously by changing the composition x (0-1), while atomic concentration of zinc was kept constant. Structure, composition and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD), energy dispersive X-ray analysis (EDAX) and scanning electron microscopy (SEM), atomic force microscopy (AFM) respectively. XRD studies revealed that as-deposited films were nanostructured in nature with cubic zinc blended structure. It was further observed that the preferred orientations are along (1 1 1) plane and crystallite size decreased with increase in the value of x. SEM and AFM images revealed that films were uniform and pinhole free. The optical band gap (E g ) was calculated from the observed transmittance spectra by Urbach method. It was found that the band gap varied linearly from 3.71 to 2.70 eV, as composition x varies 0-1. The electrical properties' study revealed that the decrease in resistivity and increase in photosensitivity, as composition x varied 0-1. The observed interesting properties of ZnS 1-x Se x thin films justified their significance in optoelectronic device fabrication and applications, and as an environment friendly alternative to the commonly used toxic material such as CdS.

  13. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Optical properties and secondary phase identification in PLD-grown Cu2ZnSnS4 for thin-film photovoltaics

    DEFF Research Database (Denmark)

    Crovetto, Andrea; Cazzaniga, Andrea Carlo; Ettlinger, Rebecca Bolt

    2014-01-01

    -bath-deposited CdS due to its important use as a buffer layer in chalcogenide solar cells. The validity of the optical model used to derive optical constants by ellipsometry is discussed in relation to results from direct measurement methods such as UV-visible spectroscopy, Scanning Electron Microscopy (SEM...... in CZTS films independently of the chosen deposition technique. Identification by standard X-ray diffraction (XRD) of some of those phases is challenging since their diffraction peaks overlap with CZTS peaks. In this study we employ Raman spectroscopy to determine which secondary phases are incorporated...

  15. Pyroelectricity of Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown by sol–gel process on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Moalla, R. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France); Le Rhun, G. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Defay, E. [CEA, LETI, MINATEC Campus, 17 Rue des Martyrs, 38054 Grenoble (France); Luxembourg Institute of Science and Technology (LIST), Materials Research & Technology Department (MRT), 41 Rue du Brill, L-4422 Belvaux (Luxembourg); Baboux, N. [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, INSA de Lyon, Bâtiment Blaise Pascal, 7 avenue Jean Capelle, 69621 Villeurbanne Cedex (France); Sebald, G. [Laboratoire de Génie Electrique et Ferroélectricité, LGEF EA 682, INSA de Lyon, Bâtiment Gustave Ferrié, 8 rue de la Physique, 69621 Villeurbanne Cedex (France); Bachelet, R., E-mail: romain.bachelet@ec-lyon.fr [Institut des Nanotechnologies de Lyon, INL-CNRS UMR 5270, Ecole Centrale de Lyon, Bâtiment F7, 36 av. Guy de Collongue, 69134 Ecully Cedex (France)

    2016-02-29

    Pyroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films have been grown by sol–gel process on Si(001). Intrinsic pyroelectric coefficient has been measured through ferroelectric loops recorded at different temperatures and is about − 300 μC/m{sup 2}K. Corresponding converted pyroelectric power density is estimated to be ~ 1 mW/cm{sup 3} for a temperature variation of 10 °C every 6 s. Pyroelectric response of these films has been confirmed by direct measurements of the pyroelectric current with temperature variations at zero electric field. These results are of high interest for integrated thermally-sensitive devices. - Highlights: • Functional oxide films are grown by low-cost sol–gel process and spin-coating. • Pyroelectric Pb(Zr,Ti)O{sub 3} films are integrated in planar capacitor structure on Si. • Bulk intrinsic pyroelectric coefficient is measured: ‐ 300 μC/m{sup 2}K. • Converted pyroelectric energy is estimated: 6 mJ/cm{sup 3} per 10 °C thermal cycle. • Direct measurements of pyroelectricity are done on integrated oxide thin films.

  16. Influence of growth temperature on electrical, optical, and plasmonic properties of aluminum:zinc oxide films grown by radio frequency magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Dondapati, Hareesh; Santiago, Kevin; Pradhan, A. K. [Center for Materials Research, Norfolk State University, 700 Park Avenue, Norfolk, Virginia 23504 (United States)

    2013-10-14

    We have investigated the responsible mechanism for the observation of metallic conductivity at room temperature and metal-semiconductor transition (MST) at lower temperatures for aluminum-doped zinc oxide (AZO) films. AZO films were grown on glass substrates by radio-frequency magnetron sputtering with varying substrate temperatures (T{sub s}). The films were found to be crystalline with the electrical resistivity close to 1.1 × 10{sup −3} Ω cm and transmittance more than 85% in the visible region. The saturated optical band gap of 3.76 eV was observed for the sample grown at T{sub s} of 400 °C, however, a slight decrease in the bandgap was noticed above 400 °C, which can be explained by Burstein–Moss effect. Temperature dependent resistivity measurements of these highly conducting and transparent films showed a MST at ∼110 K. The observed metal-like and metal-semiconductor transitions are explained by taking into account the Mott phase transition and localization effects due to defects. All AZO films demonstrate crossover in permittivity from positive to negative and low loss in the near-infrared region, illustrating its applications for plasmonic metamaterials, including waveguides for near infrared telecommunication region. Based on the results presented in this study, the low electrical resistivity and high optical transmittance of AZO films suggested a possibility for the application in the flexible electronic devices, such as transparent conducting oxide film on LEDs, solar cells, and touch panels.

  17. Positive magnetoresistance in ferromagnetic Nd-doped In2O3 thin films grown by pulse laser deposition

    KAUST Repository

    Xing, G. Z.

    2014-05-23

    We report the magnetic and magnetotransport properties of (In 0.985Nd0.015)2O2.89 thin films grown by pulse laser deposition. The clear magnetization hysteresis loops with the complementary magnetic domain structure reveal the intrinsic room temperature ferromagnetism in the as-prepared films. The strong sp-f exchange interaction as a result of the rare earth doping is discussed as the origin of the magnetotransport behaviours. A positive magnetoresistance (∼29.2%) was observed at 5 K and ascribed to the strong ferromagnetic sp-f exchange interaction in (In0.985Nd0.015)2O 2.89 thin films due to a large Zeeman splitting in an external magnetic field of 50 KOe. © 2014 AIP Publishing LLC.

  18. Co-sensitization of quantum dot sensitized solar cells composed of TiO2 nanocrystalline photoanode with CdS and PbS nanoparticles and effect of PbS on the performance of solar cell

    Directory of Open Access Journals (Sweden)

    Maziar Marandi

    2017-09-01

    Full Text Available In this research, CdS and PbS quantum dots were applied as the light sensitizers in TiO2 based nanostructured solar cells. The PbS quantum dots could absorb a wide range of the sunlight spectrum on earth due to their low bandgap energy. As a result, the cell sensitization is more effective by application of both CdS and PbS quantum dots sensitizers. The TiO2 nanocrystals were synthesized through a hydrothermal process and deposited on FTO glass substrates as the photoanode scaffold. Then PbS quantum dots were grown on the surface of this nanocrystalline layer by a successive ionic layer adsorption and reaction (SILAR method. The CdS quantum dots were over-grown in the next step through a similar deposition method. Finally this sensitized layer was applied as the photoelectrode of the corresponding quantum dot sensitized solar cells. The results demonstrated that the maximum efficiency was achieved for the cell with a photoanode made of co-sensitization through 2 and 6 cycles of PbS and CdS deposition, respectively. The photovoltaic parameters of this cell were measured as Jsc of 10.81 mA/cm2, Voc of 590 mv and energy conversion efficiency of 2.7+0.2%.

  19. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  20. Investigation of electronic quality of electrodeposited cadmium sulphide layers from thiourea precursor for use in large area electronics

    Energy Technology Data Exchange (ETDEWEB)

    Ojo, A.A., E-mail: chartell2006@yahoo.com; Dharmadasa, I.M.

    2016-09-01

    CdS layers used in thin film solar cells and other electronic devices are usually grown by wet chemical methods using CdCl{sub 2} as the Cadmium source and either Na{sub 2}S{sub 2}O{sub 3}, NH{sub 4}S{sub 2}O{sub 3} or NH{sub 2}CSNH{sub 2} as Sulphur sources. Obviously, one of the sulphur precursors should produce more suitable CdS layers required to give the highest performing devices. This can only be achieved by comprehensive experimental work on growth and characterisation of CdS layers from the above mentioned sulphur sources. This paper presents the results observed on CdS layers grown by electrodepositing using two electrode configuration and thiourea as the sulphur precursor. X-ray diffraction (XRD), Raman spectroscopy, optical absorption, scanning electron microscopy (SEM), energy-dispersive X-ray analysis (EDX) and photoelectrochemical (PEC) cell methods have been used to characterise the material properties. In order to test and study the electronic device quality of the layers, ohmic and rectifying contacts were fabricated on the electroplated layers. Schottky barriers, formed on the layers were also compared with previously reported work on Chemical Bath Deposited CBD-CdS layers and bulk single crystals of CdS. Comparatively, Schottky diodes fabricated on electroplated CdS layers using two-electrode system and thiourea precursor exhibit excellent electronic properties suitable for electronic devices such as thin film solar panels and large area display devices. - Highlights: • Precipitate-free electrodeposition of CdS is achievable using Thiourea precursor. • Electrodeposition of CdS using 2-electrode configuration. • The electrodeposited CdS shows excellent electronic properties. • Exploration of the effect of heat treatment temperature and heat treatment duration.

  1. Structural features of epitaxial NiFe2O4 thin films grown on different substrates by direct liquid injection chemical vapor deposition

    Science.gov (United States)

    Datta, R.; Loukya, B.; Li, N.; Gupta, A.

    2012-04-01

    NiFe2O4 (NFO) thin films are grown on four different substrates, i.e., Lead Zinc Niobate-Lead Titanate (PZN-PT), Lead Magnesium Niobate-Lead Titanate (PMN-PT), MgAl2O4 (MAO) and SrTiO3 (STO), by a direct liquid injection chemical vapor deposition technique (DLI-CVD) under optimum growth conditions where relatively high growth rate (˜20 nm/min), smooth surface morphology and high saturation magnetization values in the range of 260-290 emu/ cm3 are obtained. The NFO films with correct stoichiometry (Ni:Fe=1:2) grow epitaxially on all four substrates, as confirmed by energy dispersive X-ray spectroscopy, transmission electron microscopy and x-ray diffraction. While the films on PMN-PT and PZN-PT substrates are partially strained, essentially complete strain relaxation occurs for films grown on MAO and STO. The formations of threading dislocations along with dark diffused contrast areas related to antiphase domains having a different cation ordering are observed on all four substrates. These crystal defects are correlated with lattice mismatch between the film and substrate and result in changes in magnetic properties of the films. Atomic resolution HAADF imaging and EDX line profiles show formation of a sharp interface between the film and the substrate with no inter-diffusion of Pb or other elements across the interface. Antiphase domains are observed to originate at the film-substrate interface.

  2. Simple and green synthesis of protein-conjugated CdS nanoparticles and spectroscopic study on the interaction between CdS and zein

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Dezhi, E-mail: dezhiqin@163.com; Zhang, Li; Du, Xian; Wang, Yabo; Zhang, Qiuxia [Pingdingshan University, College of Chemistry and Environmental Engineering (China)

    2016-09-15

    The present study demonstrates the role of zein molecules in synthesizing CdS nanoassemblies through protein-directed, green synthetic approach. Zein molecules can as capping ligand and stabilizing agent to regulate the nucleation and growth of CdS nanocrystals, and the obtained products are organic–inorganic nanocomposites. The analysis of surface charge and conductivity indicates that strong electrostatic force restricts mobility of ions, which creates a local supersaturation surrounding the binding sites of zein and reduces the activated energy of nucleation. The interaction between Cd{sup 2+}/CdS and zein molecules was systematically investigated through spectroscopy techniques. Fourier transform infrared (FT-IR) spectra were used to envisage the binding of the functional groups of zein with the surface of CdS nanoparticles. Ultraviolet visible (UV–Vis) and photoluminescence (PL) spectra results show that Cd{sup 2+}/CdS might interact with the aromatic amino acids of protein molecules and change its chemical microenvironment. The quantum-confined effect of nanocrystals is confirmed by optical absorption spectrum due to the small size (3–5 nm) of CdS particles. The data of circular dichroism (CD) spectra indicate that the formation of CdS nanocrystals could lead to the conformational change of zein molecules. Moreover, the possible mechanism of CdS nanocrystals growth in zein solution was also discussed. The weak interactions such as Van der Waals, hydrophobic forces and hydrogen bonds in zein molecules should play a crucial factor in the self-assembly of small nanoparticles.

  3. Simulation, fabrication and characterization of ZnO based thin film transistors grown by radio frequency magnetron sputtering.

    Science.gov (United States)

    Singh, Shaivalini; Chakrabarti, P

    2012-03-01

    We report the performance of the thin film transistors (TFTs) using ZnO as an active channel layer grown by radio frequency (RF) magnetron sputtering technique. The bottom gate type TFT, consists of a conventional thermally grown SiO2 as gate insulator onto p-type Si substrates. The X-ray diffraction patterns reveal that the ZnO films are preferentially orientated in the (002) plane, with the c-axis perpendicular to the substrate. A typical ZnO TFT fabricated by this method exhibits saturation field effect mobility of about 0.6134 cm2/V s, an on to off ratio of 102, an off current of 2.0 x 10(-7) A, and a threshold voltage of 3.1 V at room temperature. Simulation of this TFT is also carried out by using the commercial software modeling tool ATLAS from Silvaco-International. The simulated global characteristics of the device were compared and contrasted with those measured experimentally. The experimental results are in fairly good agreement with those obtained from simulation.

  4. Raman Studies on Pre- and Post-Processed CVD Graphene Films Grown under Various Nitrogen Carrier Gas Flows

    Science.gov (United States)

    Beh, K. P.; Yam, F. K.; Abdalrheem, Raed; Ng, Y. Z.; Suhaimi, F. H. A.; Lim, H. S.; Mat Jafri, M. Z.

    2018-04-01

    In this work, graphene films were grown on copper substrates using chemical vapour deposition method under various N2 carrier flow rate. The samples were characterized using Raman spectroscopy. Three sets of Raman measurements have been performed: graphene/Cu (as-grown samples), pre-annealed graphene/glass, and post-annealed graphene/glass. It was found that the Raman spectra of graphene/Cu samples possessed a hump-shaped baseline, additionally higher signal-to-noise ratio (SNR) that leads to attenuation graphene-related bands. Significant improvement of SNR and flat baseline were observed for graphene films transferred on glass substrate. Further analysis on the remaining sets of Raman spectra highlighted minute traces of polymethyl methacrylate (PMMA) could yield misleading results. Hence, the set of Raman spectra on annealed graphene/glass samples would be suitable in further elucidating the effects of N2 carrier flow towards graphene growth. From there, higher N2 flow implied dilution of methanol/H2 mixture, limiting interactions between reactants and substrate. This leads to smaller crystallite size and lesser graphene layers.

  5. Thin-Film Solar Cells with InP Absorber Layers Directly Grown on Nonepitaxial Metal Substrates

    KAUST Repository

    Zheng, Maxwell

    2015-08-25

    The design and performance of solar cells based on InP grown by the nonepitaxial thin-film vapor-liquid-solid (TF-VLS) growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and indium tin oxide transparent top electrode. An ex situ p-doping process for TF-VLS grown InP is introduced. Properties of the cells such as optoelectronic uniformity and electrical behavior of grain boundaries are examined. The power conversion efficiency of first generation cells reaches 12.1% under simulated 1 sun illumination with open-circuit voltage (VOC) of 692 mV, short-circuit current (JSC) of 26.9 mA cm-2, and fill factor (FF) of 65%. The FF of the cell is limited by the series resistances in the device, including the top contact, which can be mitigated in the future through device optimization. The highest measured VOC under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP. The design and performance of solar cells based on indium phosphide (InP) grown by the nonepitaxial thin-film vapor-liquid-solid growth technique is investigated. The cell structure consists of a Mo back contact, p-InP absorber layer, n-TiO2 electron selective contact, and an indium tin oxide transparent top electrode. The highest measured open circuit voltage (VOC) under 1 sun is 692 mV, which approaches the optically implied VOC of ≈795 mV extracted from the luminescence yield of p-InP.

  6. Ellipsometry study on Pd thin film grown by atomic layer deposition with Maxwell–Garnett effective medium approximation model

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Yihang; Zhou, Xueqi; Cao, Kun [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Xiuguo; Deng, Zhang [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Liu, Shiyuan, E-mail: shyliu@hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Shan, Bin [State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); Chen, Rong, E-mail: rongchen@mail.hust.edu.cn [State Key Laboratory of Digital of Manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology, Wuhan 430074 (China); School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China)

    2015-10-30

    Maxwell–Garnett effective medium approximation (MG-EMA) model is chosen to study Pd ultrathin film grown on Si substrate, as well as its growth on self-assembled monolayers (SAMs) modified substrate respectively. The general oscillator (GO) model with one Drude and two Lorentz oscillators is firstly applied to fix the optical constants of Pd. Compared with Pd bulk model, MG-EMA model with GO is more reliable to predict the film thickness verified by X-ray reflection test. The stable growth rate on Si substrate reveals our methods are feasible and the quartz crystal microbalance measurement confirms the stability of the ALD chamber. For Pd coverage, MG-EMA fitting result is similar to the statistical computation from scanning electron microscope when Pd ALD cycles are over 400, while large bias exists for cycles under 400, might be due to that air is not the proper filling medium between nanoparticles. Then we change the filling medium into SAMs as a comparison, better fitting performance is obtained. It is demonstrated that the filling medium between nanoparticles is important for the application of MG-EMA model. - Highlights: • Ultrathin Pd thin films were grown by atomic layer deposition. • The measurement of thin film was important to understand initial growth behavior. • Maxwell–Garnett effective medium approximation model was applied. • Pd nanoparticle size and coverage were studied. • The filling medium between nanoparticles was important for model application.

  7. Influence of deposition temperature on the structural and morphological properties of Be{sub 3}N{sub 2} thin films grown by reactive laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Chale-Lara, F., E-mail: fabio_chale@yahoo.com.mx [Centro de Investigacion Cientifica y de Educacion Superior de Ensenada, Apartado Postal 2681, Ensenada, Baja California, C.P. 22860 (Mexico); Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Unidad Altamira, Km. 14.5 Carretera Tampico-Puerto Industrial, Altamira, Tamaulipas (Mexico); Farias, M.H.; De la Cruz, W. [Centro de Nanociencias y Nanotecnologia, Universidad Nacional Autonoma de Mexico, Apartado Postal 14, Ensenada CP 22860, Baja California (Mexico); Zapata-Torres, M. [Centro de Investigacion en Ciencia Aplicada y Tecnologia Avanzada-IPN, Legaria 694, Col. Irrigacion, Del. Miguel Hidalgo, Mexico D.F. (Mexico)

    2010-10-01

    Be{sub 3}N{sub 2} thin films have been grown on Si(1 1 1) substrates using the pulsed laser deposition method at different substrate temperatures: room temperature (RT), 200 deg. C, 400 deg. C, 600 deg. C and 700 deg. C. Additionally, two samples were deposited at RT and were annealed after deposition in situ at 600 deg. C and 700 deg. C. In order to obtain the stoichiometry of the samples, they have been characterized in situ by X-ray photoelectron (XPS) and reflection electron energy loss spectroscopy (REELS). The influence of the substrate temperature on the morphological and structural properties of the films was investigated using scanning electron microscopy (SEM), atomic force microscopy (AFM) and X-ray diffraction (XRD). The results show that all prepared films presented the Be{sub 3}N{sub 2} stoichiometry. Formation of whiskers with diameters of 100-200 nm appears at the surface of the films prepared with a substrate temperature of 600 deg. C or 700 deg. C. However, the samples grown at RT and annealed at 600 deg. C or 700 deg. C do not show whiskers on the surface. The average root mean square (RMS) roughness and the average grain size of the samples grown with respect the substrate temperature is presented. The films grown with a substrate temperature between the room temperature to 400 deg. C, and the sample annealed in situ at 600 deg. C were amorphous; while the {alpha}Be{sub 3}N{sub 2} phase was presented on the samples with a substrate temperature of 600 deg. C, 700 deg. C and that deposited with the substrate at RT and annealed in situ at 700 deg. C.

  8. Phase-coherent electron transport in (Zn, Al)Ox thin films grown by atomic layer deposition

    Science.gov (United States)

    Saha, D.; Misra, P.; Ajimsha, R. S.; Joshi, M. P.; Kukreja, L. M.

    2014-11-01

    A clear signature of disorder induced quantum-interference phenomena leading to phase-coherent electron transport was observed in (Zn, Al)Ox thin films grown by atomic layer deposition. The degree of static-disorder was tuned by varying the Al concentration through periodic incorporation of Al2O3 sub-monolayer in ZnO. All the films showed small negative magnetoresistance due to magnetic field suppressed weak-localization effect. The temperature dependence of phase-coherence length ( l φ ∝ T - 3 / 4 ), as extracted from the magnetoresistance measurements, indicated electron-electron scattering as the dominant dephasing mechanism. The persistence of quantum-interference at relatively higher temperatures up to 200 K is promising for the realization of ZnO based phase-coherent electron transport devices.

  9. What do we know about speculation in the CDS market?

    NARCIS (Netherlands)

    L. Norden (Lars); K. Radoeva (Kristina)

    2012-01-01

    textabstractWe measure speculation in the CDS market and investigate its determinants. The CDS volume on a firm that exceeds its outstanding debt (= naked CDS) indicates speculation since hedging can be ruled out. Using weekly CDS trading volume data for actively traded U.S. firms during 2008-2012,

  10. Comparative study of ITO and FTO thin films grown by spray pyrolysis

    International Nuclear Information System (INIS)

    Ait Aouaj, M.; Diaz, R.; Belayachi, A.; Rueda, F.; Abd-Lefdil, M.

    2009-01-01

    Tin doped indium oxide (ITO) and fluorine doped tin oxide (FTO) thin films have been prepared by one step spray pyrolysis. Both film types grown at 400 deg. C present a single phase, ITO has cubic structure and preferred orientation (4 0 0) while FTO exhibits a tetragonal structure. Scanning electron micrographs showed homogeneous surfaces with average grain size around 257 and 190 nm for ITO and FTO respectively. The optical properties have been studied in several ITO and FTO samples by transmittance and reflectance measurements. The transmittance in the visible zone is higher in ITO than in FTO layers with a comparable thickness, while the reflectance in the infrared zone is higher in FTO in comparison with ITO. The best electrical resistivity values, deduced from optical measurements, were 8 x 10 -4 and 6 x 10 -4 Ω cm for ITO (6% of Sn) and FTO (2.5% of F) respectively. The figure of merit reached a maximum value of 2.15 x 10 -3 Ω -1 for ITO higher than 0.55 x 10 -3 Ω -1 for FTO.

  11. A configurable CDS for the production laboratory

    CERN Document Server

    Meek, Irish

    2003-01-01

    Various aspects of a configurable chromatography data system (CDS) for the production laboratory are discussed. The Atlas CDS can be configured extensively to fit the production laboratory work flow and meet the needs of analysts. The CDS can also be configured to automatically create a sample sequence with the required number of injections and download methods to the dedicated instrument. The Atlas Quick Start wizard offers uses quick way of generating a sequence from a predefined template and starting a run. (Edited abstract).

  12. Benefits of carbon addition on the hydrogen absorption properties of Mg-based thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Darok, X.; Rougier, A.; Bhat, V.; Aymard, L.; Dupont, L.; Laffont, L.; Tarascon, J.-M.

    2006-01-01

    Mg-Ni thin films were grown using Pulsed Laser Deposition. In situ optical changes from shiny metallic to transparent states were observed for films deposited in vacuum and under an Ar/H 2 gas mixture (93/7%), respectively. Optical changes were also achieved by ex situ hydrogenation under hydrogen gas pressure of 15 bars at 200 deg. C. However, after ex situ hydrogenation, the optical transmittance of the Mg-based hydrogenated thin films did not exceed 25%. Such limitation was attributed to oxygen contamination, as deduced by High Resolution Transmission Electron Microscopy observations, showing the co-existence of both Mg-based and MgO phases for as-deposited films. A significant decrease in oxygen contamination was successfully achieved with the addition of carbon, leading to the preparation of (Mg-based)-C x (x < 20%) thin films showing a faster and easier hydrogenation

  13. Scaling behavior of the surface roughness of platinum films grown by oblique angle deposition

    Science.gov (United States)

    Dolatshahi-Pirouz, A.; Hovgaard, M. B.; Rechendorff, K.; Chevallier, J.; Foss, M.; Besenbacher, F.

    2008-03-01

    Thin platinum films with well-controlled rough surface morphologies are grown by e-gun evaporation at an oblique angle of incidence between the deposition flux and the substrate normal. Atomic force microscopy is used to determine the root-mean-square value w of the surface roughness on the respective surfaces. From the scaling behavior of w , we find that while the roughness exponent α remains nearly unchanged at about 0.90, the growth exponent β changes from 0.49±0.04 to 0.26±0.01 as the deposition angle approaches grazing incidence. The values of the growth exponent β indicate that the film growth is influenced by both surface diffusion and shadowing effects, while the observed change from 0.49 to 0.26 can be attributed to differences in the relative importance of diffusion and shadowing with the deposition angle.

  14. Optical and structural properties of CuSbS2 thin films grown by thermal evaporation method

    International Nuclear Information System (INIS)

    Rabhi, A.; Kanzari, M.; Rezig, B.

    2009-01-01

    Structural, optical and electrical properties of CuSbS 2 thin films grown by thermal evaporation have been studied relating the effects of substrate heating conditions of these properties. The CuSbS 2 thin films were carried out at substrate temperatures in the temperature range 100-200 deg. C . The structure and composition were characterized by XRD, SEM and EDX. X-ray diffraction revealed that the films are (111) oriented upon substrate temperature 170 deg. C and amorphous for the substrate temperatures below 170 deg. C . No secondary phases are observed for all the films. The optical absorption coefficients and band gaps of the films were estimated by optical transmission and reflection measurements at room temperature. Strong absorption coefficients in the range 10 5 -10 6 cm -1 at 500 nm were found. The direct gaps Eg lie between 0.91-1.89 eV range. It is observed that there is a decrease in optical band gap Eg with increasing the substrate temperature. Resistivity of 0.03-0.96 Ω cm, in dependence on substrate temperature was characterized. The all unheated films exhibit p-type conductivity. The characteristics reported here also offer perspective for CuSbS 2 as an absorber material in solar cells applications

  15. Effect of In_xGa_1_−_xAs interlayer on the properties of In_0_._3Ga_0_._7As epitaxial films grown on Si (111) substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gao, Fangliang; Wen, Lei; Zhang, Shuguang; Li, Jingling; Zhang, Xiaona; Li, Guoqiang; Liu, Ying

    2015-01-01

    High-quality In_0_._3Ga_0_._7As films have been epitaxially grown on Si (111) substrate by inserting an In_xGa_1_−_xAs interlayer with various In compositions by molecular beam epitaxy. The effect of In_xGa_1_−_xAs interlayer on the surface morphology and structural properties of In_0_._3Ga_0_._7As films is studied in detail. It reveals that In_0_._3Ga_0_._7As films grown at appropriate In composition in In_xGa_1_−_xAs interlayer exhibit smooth surface with a surface root-mean-square roughness of 1.7 nm; while In_0_._3Ga_0_._7As films grown at different In composition of In_xGa_1_−_xAs interlayer show poorer properties. This work demonstrates a simple but effective method to grow high-quality In_0_._3Ga_0_._7As epilayers on Si substrates, and brings up a broad prospect for the application of InGaAs-based optoelectronic devices on Si substrates. - Highlights: • We provide a simple approach to achieve high-quality In_0_._3Ga_0_._7As films on Si. • An In_0_._2_8Ga_0_._7_2As interlayer can release mismatch strain. • High-quality In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer. • Smooth surface In_0_._3Ga_0_._7As film is grown on Si using 10-nm-thick interlayer.

  16. Doping properties of ZnO thin films for photovoltaic devices grown by URT-IP (ion plating) method

    International Nuclear Information System (INIS)

    Iwata, K.; Sakemi, T.; Yamada, A.; Fons, P.; Awai, K.; Yamamoto, T.; Matsubara, M.; Tampo, H.; Sakurai, K.; Ishizuka, S.; Niki, S.

    2004-01-01

    The Uramoto-gun with Tanaka magnetic field (URT)-ion plating (IP) method is a novel ion plating technique for thin film deposition. This method offers the advantage of low-ion damage, low deposition temperatures, large area deposition and high growth rates. Ga-doped ZnO thin films were grown using the URT-IP method, and the doping properties were evaluated. The opposing goals of low Ga composition and low resistivity are required for industrial applications of transparent conductive oxide (TCO). We have carried out a comparison between the carrier concentration and Ga atomic concentration in Ga-doped ZnO thin films and found the trade-off point for optimal TCO performance. The optimum growth conditions were obtained using a 3% Ga 2 O 3 content ZnO target

  17. Effect of the nature of cationic precursors for SILAR deposition on the performance of CdS and PbS/CdS quantum dot-sensitized solar cells

    International Nuclear Information System (INIS)

    Liu, Yingbo; Li, Zhen; Yu, Libo; Sun, Shuqing

    2015-01-01

    In this work, the influences of cationic precursors on the quality of photoelectrode, consequently on the performance of the quantum dot-sensitized solar cells (QDSCs) have been studied. CdS QDSCs have been prepared using successive ionic layer absorption and reaction (SILAR) method. Three cadmium precursors including nitrate (Cd(NO 3 ) 2 ), chloride (CdCl 2 ), and acetate (Cd(Ac) 2 ) were employed for the synthesis and absorption of CdS nanoparticles on nanostructure TiO 2 film. The loading amount and nanoparticle size of the CdS on mesoporous TiO 2 film showed a significant difference while using various cadmium precursors in the same SILAR cycles. Both the light-harvesting ability and the obtained incident photon-to-current conversion efficiency values show the trend of deposition rate caused by cadmium precursors. Further, it was proposed that an effective cationic precursor could provide a good connection between QD sensitizer and TiO 2 interface by electrochemical impedance spectroscopy analysis. Under AM 1.5 G full one sun illumination, the final power conversion efficiency of CdS QDSC based on Cd(Ac) 2 was 2.10 %, and PCE values of 1.57 and 1.20 % were obtained for solar cells sensitized by CdS QDs prepared by CdCl 2 and Cd(NO 3 ) 2 , respectively. The cationic precursor effect was further applied in PbS/CdS co-sensitized solar cells. The PbS/CdS QDSCs based on acetate cationic precursors provide a photocurrent of 19.24 mA/cm 2 and PCE of 3.23 % in comparison with 11.26 mA and 2.13 % obtained with nitrate acetate salts. Noticeably, the CdS and PbS/CdS QDSCs based on various cationic precursors prepared by SILAR exhibited good photocurrent stability under several light on–off cycles

  18. Effects of Various Parameters on Structural and Optical Properties of CBD-Grown ZnS Thin Films: A Review

    Science.gov (United States)

    Sinha, Tarkeshwar; Lilhare, Devjyoti; Khare, Ayush

    2018-02-01

    Zinc sulfide (ZnS) thin films deposited by chemical bath deposition (CBD) technique have proved their capability in a wide area of applications including electroluminescent and display devices, solar cells, sensors, and field emitters. These semiconducting thin films have attracted a much attention from the scientific community for industrial and research purposes. In this article, we provide a comprehensive review on the effect of various parameters on various properties of CBD-grown ZnS films. In the first part, we discuss the historical background of ZnS, its basic properties, and the advantages of the CBD technique. Detailed discussions on the film growth, structural and optical properties of ZnS thin films affected by various parameters, such as bath temperature and concentration, deposition time, stirring speed, complexing agents, pH value, humidity in the environment, and annealing conditions, are also presented. In later sections, brief information about the recent studies and findings is also added to explore the scope of research work in this field.

  19. Critical thickness of high structural quality SrTiO3 films grown on orthorhombic (101) DyScO3

    International Nuclear Information System (INIS)

    Biegalski, M. D.; Trolier-McKinstry, S.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Nelson, C. T.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.

    2008-01-01

    Strained epitaxial SrTiO 3 films were grown on orthorhombic (101) DyScO 3 substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 A were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 A. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018 deg.). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 deg. C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO 3 films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films

  20. ALD grown nanostructured ZnO thin films: Effect of substrate temperature on thickness and energy band gap

    Directory of Open Access Journals (Sweden)

    Javed Iqbal

    2016-10-01

    Full Text Available Nanostructured ZnO thin films with high transparency have been grown on glass substrate by atomic layer deposition at various temperatures ranging from 100 °C to 300 °C. Efforts have been made to observe the effect of substrate temperature on the thickness of the deposited thin films and its consequences on the energy band gap. A remarkably high growth rate of 0.56 nm per cycle at a substrate temperature of 200 °C for ZnO thin films have been achieved. This is the maximum growth rate for ALD deposited ZnO thin films ever reported so far to the best of our knowledge. The studies of field emission scanning electron microscopy and X-ray diffractometry patterns confirm the deposition of uniform and high quality nanosturtured ZnO thin films which have a polycrystalline nature with preferential orientation along (100 plane. The thickness of the films deposited at different substrate temperatures was measured by ellipsometry and surface profiling system while the UV–visible and photoluminescence spectroscopy studies have been used to evaluate the optical properties of the respective thin films. It has been observed that the thickness of the thin film depends on the substrate temperatures which ultimately affect the optical and structural parameters of the thin films.

  1. Enhanced photovoltaic performance of quantum dot-sensitized solar cells with a progressive reduction of recombination using Cu-doped CdS quantum dots

    International Nuclear Information System (INIS)

    Muthalif, Mohammed Panthakkal Abdul; Lee, Young-Seok; Sunesh, Chozhidakath Damodharan; Kim, Hee-Je; Choe, Youngson

    2017-01-01

    Highlights: • Cu-doped CdS QDs were deposited on TiO_2 by SILAR method. • Cu-doped CdS electrodes contributes reduction of charge recombination and longer electron lifetime. • A promising power conversion efficiency of 3% is obtained for the Cu-doped CdS Quantum dot sensitized solar cell. - Abstract: In this article, we have systematically probed the effect of Cu-doping in CdS quantum dots (QDs) to enhance the photovoltaic performance of the quantum dot-sensitized solar cells (QDSSCs). The Cu-doped CdS photoanodes were prepared by successive ionic layer adsorption and reaction (SILAR) method and the corresponding cell devices were fabricated using CuS counter electrodes with a polysulfide electrolyte. The photovoltaic performance results demonstrate that 3 mM Cu-doped CdS QDs based QDSSCs exhibit the efficiency (η) of 3% including J_S_C = 9.40 mA cm"−"2, V_O_C = 0.637 V, FF = 0.501, which are higher than those with bare CdS (η = 2.05%, J_S_C = 7.12 mA cm"−"2, V_O_C = 0.588 V, FF = 0.489). The structural, topographical and optical properties of the thin films have been studied with the help of X-ray diffraction pattern (XRD), atomic force microscopy (AFM) and UV–vis spectrophotometer. Electrochemical impedance spectroscopy (EIS) and open circuit voltage decay (OCVD) measurements indicate that Cu-dopant can inhibit the charge recombination at the photoanode/electrolyte interface and extend the lifetime of electrons. These results reveal that incorporation of copper metal in CdS QDs is a simple and effective method to improve the photovoltaic properties of QDSSCs.

  2. Enhanced photovoltaic performance of quantum dot-sensitized solar cells with a progressive reduction of recombination using Cu-doped CdS quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Muthalif, Mohammed Panthakkal Abdul [Department of Polymer Science and Chemical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Lee, Young-Seok [School of Electrical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Sunesh, Chozhidakath Damodharan [Department of Polymer Science and Chemical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Kim, Hee-Je [School of Electrical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of); Choe, Youngson, E-mail: choe@pusan.ac.kr [Department of Polymer Science and Chemical Engineering, Pusan National University, Geumjeong-Ku, Jangjeong-Dong, Busan 609-735 (Korea, Republic of)

    2017-02-28

    Highlights: • Cu-doped CdS QDs were deposited on TiO{sub 2} by SILAR method. • Cu-doped CdS electrodes contributes reduction of charge recombination and longer electron lifetime. • A promising power conversion efficiency of 3% is obtained for the Cu-doped CdS Quantum dot sensitized solar cell. - Abstract: In this article, we have systematically probed the effect of Cu-doping in CdS quantum dots (QDs) to enhance the photovoltaic performance of the quantum dot-sensitized solar cells (QDSSCs). The Cu-doped CdS photoanodes were prepared by successive ionic layer adsorption and reaction (SILAR) method and the corresponding cell devices were fabricated using CuS counter electrodes with a polysulfide electrolyte. The photovoltaic performance results demonstrate that 3 mM Cu-doped CdS QDs based QDSSCs exhibit the efficiency (η) of 3% including J{sub SC} = 9.40 mA cm{sup −2}, V{sub OC} = 0.637 V, FF = 0.501, which are higher than those with bare CdS (η = 2.05%, J{sub SC} = 7.12 mA cm{sup −2}, V{sub OC} = 0.588 V, FF = 0.489). The structural, topographical and optical properties of the thin films have been studied with the help of X-ray diffraction pattern (XRD), atomic force microscopy (AFM) and UV–vis spectrophotometer. Electrochemical impedance spectroscopy (EIS) and open circuit voltage decay (OCVD) measurements indicate that Cu-dopant can inhibit the charge recombination at the photoanode/electrolyte interface and extend the lifetime of electrons. These results reveal that incorporation of copper metal in CdS QDs is a simple and effective method to improve the photovoltaic properties of QDSSCs.

  3. Thin yttrium iron garnet films grown by pulsed laser deposition: Crystal structure, static, and dynamic magnetic properties

    Energy Technology Data Exchange (ETDEWEB)

    Sokolov, N. S., E-mail: nsokolov@fl.ioffe.ru; Fedorov, V. V.; Korovin, A. M.; Suturin, S. M.; Baranov, D. A.; Gastev, S. V.; Krichevtsov, B. B.; Bursian, V. E.; Lutsev, L. V. [Ioffe Physical-Technical Institute of Russian Academy of Sciences, St. Petersburg 194021 (Russian Federation); Maksimova, K. Yu.; Grunin, A. I. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Tabuchi, M. [Synchrotron Radiation Research Center, Nagoya University, Nagoya 464-8603 (Japan)

    2016-01-14

    Pulsed laser deposition has been used to grow thin (10–84 nm) epitaxial layers of Yttrium Iron Garnet Y{sub 3}Fe{sub 5}O{sub 12} (YIG) on (111)–oriented Gadolinium Gallium Garnet substrates at different growth conditions. Atomic force microscopy showed flat surface morphology both on micrometer and nanometer scales. X-ray diffraction measurements revealed that the films are coherent with the substrate in the interface plane. The interplane distance in the [111] direction was found to be by 1.2% larger than expected for YIG stoichiometric pseudomorphic film indicating presence of rhombohedral distortion in this direction. Polar Kerr effect and ferromagnetic resonance measurements showed existence of additional magnetic anisotropy, which adds to the demagnetizing field to keep magnetization vector in the film plane. The origin of the magnetic anisotropy is related to the strain in YIG films observed by XRD. Magneto-optical Kerr effect measurements revealed important role of magnetization rotation during magnetization reversal. An unusual fine structure of microwave magnetic resonance spectra has been observed in the film grown at reduced (0.5 mTorr) oxygen pressure. Surface spin wave propagation has been demonstrated in the in-plane magnetized films.

  4. Thin yttrium iron garnet films grown by pulsed laser deposition: Crystal structure, static, and dynamic magnetic properties

    International Nuclear Information System (INIS)

    Sokolov, N. S.; Fedorov, V. V.; Korovin, A. M.; Suturin, S. M.; Baranov, D. A.; Gastev, S. V.; Krichevtsov, B. B.; Bursian, V. E.; Lutsev, L. V.; Maksimova, K. Yu.; Grunin, A. I.; Tabuchi, M.

    2016-01-01

    Pulsed laser deposition has been used to grow thin (10–84 nm) epitaxial layers of Yttrium Iron Garnet Y 3 Fe 5 O 12 (YIG) on (111)–oriented Gadolinium Gallium Garnet substrates at different growth conditions. Atomic force microscopy showed flat surface morphology both on micrometer and nanometer scales. X-ray diffraction measurements revealed that the films are coherent with the substrate in the interface plane. The interplane distance in the [111] direction was found to be by 1.2% larger than expected for YIG stoichiometric pseudomorphic film indicating presence of rhombohedral distortion in this direction. Polar Kerr effect and ferromagnetic resonance measurements showed existence of additional magnetic anisotropy, which adds to the demagnetizing field to keep magnetization vector in the film plane. The origin of the magnetic anisotropy is related to the strain in YIG films observed by XRD. Magneto-optical Kerr effect measurements revealed important role of magnetization rotation during magnetization reversal. An unusual fine structure of microwave magnetic resonance spectra has been observed in the film grown at reduced (0.5 mTorr) oxygen pressure. Surface spin wave propagation has been demonstrated in the in-plane magnetized films

  5. Nanocrystalline CdS{sub 1−x}Se{sub x} alloys as thin films prepared by chemical bath deposition: Effect of x on the structural and optical properties

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Ramirez, E.A. [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico); Hernandez-Perez, M.A., E-mail: mhernandezp0606@ipn.mx [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico); Aguilar-Hernandez, J. [Escuela Superior de Física y Matemáticas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico); Rangel-Salinas, E. [Escuela Superior de Ingeniería Química e Industrias Extractivas, Instituto Politécnico Nacional, CP 07738, México D.F. (Mexico)

    2014-12-05

    Highlights: • CdS1−xSe{sub x} films with tunable structural and optical properties were grown by CBD. • Thin films are composed by a solid solution of the CdS{sub 1−x}Se{sub x} ternary alloy. • Crystal size, band gap and photoluminescence signal, decrease with the composition. • Ternary alloys show hexagonal phase with preferential orientation on (0 0 2) plane. • Films with x ⩾ 0.5 show semi-spherical grains composed by nanoworms structures. - Abstract: CdS{sub 1−x}Se{sub x} thin films were deposited on Corning glass substrates at 75 °C by chemical bath deposition (CBD) varying the composition “x” from 0 to 1 at a constant deposition time of 120 min. The composition of the films was adjusted by modifying the concentration as well as the ratio of the precursors. The morphological, compositional, structural and optical properties of the films were analyzed using several techniques such as Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-ray Diffraction (XRD), UV–Vis Spectroscopy (UV–Vis) and Photoluminescence (PL). The films grow as layers following the ion by ion mechanism, the density of the films decreases with x. Films are constituted by clusters (100–600 nm in diameter) of semispherical particles with sizes fluctuating from 10 to 20 nm. For x ⩾ 0.5 the particles are well-arranged in a “worm-like” structure. All the films are polycrystalline, to x = 0 (CdS) the cubic phase is present, the increase of composition promotes the formation of hexagonal phase or a mixture of both cubic and hexagonal phases. Preferential orientation in the (1 0 0) or (0 0 2) plane is observed. The crystal size decreases from 20 to 6 nm when x is increased. The optical properties can be easily tuned by adjusting the composition. Optical absorption analysis shows that the band gap (E{sub g}) value shifts to red in function of x (from 2.47 to 1.99 eV). Photoluminescence signal changes as “x” varies showing a regular behavior

  6. Effect of annealing on structural and optical properties of Cu_2ZnSnS_4 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Surgina, G.D.; Nevolin, V.N.; Sipaylo, I.P.; Teterin, P.E.; Medvedeva, S.S.; Lebedinsky, Yu.Yu.; Zenkevich, A.V.

    2015-01-01

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu_2ZnSnS_4 (CZTS) thin films grown by reactive Pulsed Laser Deposition in H_2S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N_2 at the optimized conditions. - Highlights: • Cu_2ZnSnS_4 (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H_2S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N_2 effectively inhibits the formation of Sn_xS secondary phases.

  7. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  8. Optical properties of CdS sintered film

    Indian Academy of Sciences (India)

    Unknown

    It is found that the energy band gap of both films is same as 2⋅41 eV. It is indicated ... here is reflectance of light at various wavelengths using the 'Hitachi ... Dark current, photocurrent ... spectra. We plot a graph between (αhν)2 (as ordinate) vs.

  9. Biosynthesis of CdS nanoparticles in banana peel extract.

    Science.gov (United States)

    Zhou, Guang Ju; Li, Shuo Hao; Zhang, Yu Cang; Fu, Yun Zhi

    2014-06-01

    Cadmium sulfide (CdS) nanoparticles (NPs) were synthesized by using banana peel extract as a convenient, non-toxic, eco-friendly 'green' capping agent. Cadmium nitrate and sodium sulfide are main reagents. A variety of CdS NPs are prepared through changing reaction conditions (banana extracts, the amount of banana peel extract, solution pH, concentration and reactive temperature). The prepared CdS colloid displays strong fluorescence spectrum. X-ray diffraction analysis demonstrates the successful formation of CdS NPs. Fourier transform infra-red (FTIR) spectrogram indicates the involvement of carboxyl, amine and hydroxyl groups in the formation of CdS NPs. Transmission electron microscope (TEM) result reveals that the average size of the NPs is around 1.48 nm.

  10. Effect of cesium assistance on the electrical and structural properties of indium tin oxide films grown by magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Song, Jaewon; Hwang, Cheol Seong; Park, Sung Jin; Yoon, Neung Ku [Department of Materials Science and Engineering and Inter-university Semiconductor Research Center, Seoul National University, Seoul 151-742 (Korea, Republic of); Sorona Inc., Pyeongtaek, Gyeonggi 451-841 (Korea, Republic of)

    2009-07-15

    Indium tin oxide (ITO) thin films were deposited by cesium (Cs)-assisted dc magnetron sputtering in an attempt to achieve a high performance at low temperatures. The films were deposited on SiO{sub 2}/Si wafer and glass (Eagle 2000) substrates at a substrate temperature of 100 degree sign C with a Cs vapor flow during the deposition process. The ITO thin films deposited in the presence of Cs vapor showed better crystallinity than the control films grown under normal Ar/O{sub 2} plasma conditions. The resistivity of the films with the Cs assistance was lower than that of the control films. The lowest resistivity of 6.2x10{sup -4} {Omega} cm, which is {approx}20% lower than that of the control sample, was obtained without any postdeposition thermal annealing. The surface roughness increased slightly when Cs vapor was added. The optical transmittance was >80% at wavelengths ranging from 380 to 700 nm.

  11. Thickness dependence of microstructures in La0.9Sr0.1MnO3 thin films grown on exact-cut and miscut SrTiO3 substrates

    International Nuclear Information System (INIS)

    Zhang Hongdi; An Yukai; Mai Zhenhong; Lu Huibin; Zhao Kun; Pan Guoqiang; Li Ruipeng; Fan Rong

    2008-01-01

    The thickness dependence of microstructures of La 0.9 Sr 0.1 MnO 3 (LSMO) thin films grown on exact-cut and miscut SrTiO 3 (STO) substrates, respectively, was investigated by high-angle X-ray diffraction (HXRD), X-ray small-angle reflection (XSAR), X-ray reciprocal space mapping and atomic force microscopy (AFM). Results show that the LSMO films are in pseudocubic structure and are highly epitaxial [0 0 1]-oriented growth on the (0 0 1) STO substrates. The crystalline quality of the LSMO film is improved with thickness. The epitaxial relationship between the LSMO films and the STO substrates is [0 0 1] LSMO -parallel [0 0 1] EXACT-STO , and the LSMO films have a slight mosaic structure along the q x direction for the samples grown on the exact-cut STO substrates. However, an oriented angle of about 0.24 deg. exists between [0 0 1] LSMO and [0 0 1] MISCUT-STO , and the LSMO films have a mosaic structure along the q z direction for that grown on the miscut STO substrates. The mosaic structure of both groups of the samples tends to reduce with thickness. The diffraction intensity of the (0 0 4) peaks increases with thickness of the LSMO film. The XSAR and AFM observations show that for both groups, the interface is sharp and the surface is rather smooth. The mechanism was discussed briefly

  12. Transverse and longitudinal electrooptic properties of highly (100) oriented Pb(Zr,Ti)O{sub 3} films grown on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Jong-Jin [Department of Future Technology, Korea Institute of Machinery and Materials, 66 Sang-Nam Dong, Chang-Won, Gyeong-Nam, 641-010 (Korea, Republic of) and School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of)]. E-mail: finaljin@kmail.kimm.re.kr; Park, Gun-Tae [School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Hyoun-Ee [School of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Dal-Young [Department of Visual Optics, Seoul National University of Technology, 172 Gongreung 2-dong, Nowon-gu, Seoul 139-743 (Korea, Republic of)

    2006-12-05

    The Pb(Zr,Ti)O{sub 3} [PZT] films with a preferred orientation generally have columnar texture. Because the properties of a PZT film are strongly dependent on its crystallographic direction, the electrooptic properties along the longitudinal and transverse direction are expected to be anisotropic. In this study, highly (100) oriented PZT films were grown on glass and ITO (Indium Tin Oxide) coated glass substrates using the sol-gel multi-coating method with lanthanum nitrate and lanthanum nickel nitrate as buffer layers. The longitudinal and transverse electrooptic properties of the textured films were characterized by transmission-mode measuring equipment with a Senarmont compensator using a sample tilting method. The calculated longitudinal and transverse electrooptic coefficients of the highly (100) oriented PZT films were 147 and 250 pm/V, respectively.

  13. Microstructure of epitaxial YBa2Cu3O7-x thin films grown on LaAlO3 (001)

    International Nuclear Information System (INIS)

    Hsieh, Y.; Siegal, M.P.; Hull, R.; Phillips, J.M.

    1990-01-01

    We report a microstructural investigation of the epitaxial growth of YBa 2 Cu 3 O 7-x (YBCO) thin films on LaAlO 3 (001) substrates using transmission electron microscopy (TEM). Epitaxial films grow with two distinct modes: c epitaxy (YBCO) single crystal with the c (axis normal to the surface and a epitaxy (YBCO) single crystal with the c axis in the interfacial plane), where c epitaxy is the dominant mode grown in all samples 35--200 nm thick. In 35 nm YBCO films annealed at 850 degree C, 97±1% of the surface area is covered by c epitaxy with embedded anisotropic a-epitaxial grains. Quantitative analysis reveals the effect of film thickness and annealing temperature on the density, grain sizes, areal coverages, and anisotropic growth of a epitaxy

  14. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  15. Origin of green luminescence in ZnO thin film grown by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Heo, Y.W.; Norton, D.P.; Pearton, S.J.

    2005-01-01

    The properties of ZnO films grown by molecular-beam epitaxy are reported. The primary focus was on understanding the origin of deep-level luminescence. A shift in deep-level emission from green to yellow is observed with reduced Zn pressure during the growth. Photoluminescence and Hall measurements were employed to study correlations between deep-level/near-band-edge emission and carrier density. With these results, we suggest that the green emission is related to donor-deep acceptor (Zn vacancy V Zn - ) and the yellow to donor-deep acceptor (oxygen vacancy, O i - )

  16. Comparative study of zinc oxide and aluminum doped zinc oxide transparent thin films grown by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Suchea, M.; Christoulakis, S.; Katsarakis, N.; Kitsopoulos, T.; Kiriakidis, G.

    2007-01-01

    Pure and aluminum (Al) doped zinc oxide (ZnO and ZAO) thin films have been grown using direct current (dc) magnetron sputtering from pure metallic Zn and ceramic ZnO targets, as well as from Al-doped metallic ZnAl2at.% and ceramic ZnAl2at.%O targets at room temperature (RT). The effects of target composition on the film's surface topology, crystallinity, and optical transmission have been investigated for various oxygen partial pressures in the sputtering atmosphere. It has been shown that Al-doped ZnO films sputtered from either metallic or ceramic targets exhibit different surface morphology than the undoped ZnO films, while their preferential crystalline growth orientation revealed by X-ray diffraction remains always the (002). More significantly, Al-doping leads to a larger increase of the optical transmission and energy gap (E g ) of the metallic than of the ceramic target prepared films

  17. Enhanced photovoltaic performance of quantum dot-sensitized solar cells with a progressive reduction of recombination using Cu-doped CdS quantum dots

    Science.gov (United States)

    Muthalif, Mohammed Panthakkal Abdul; Lee, Young-Seok; Sunesh, Chozhidakath Damodharan; Kim, Hee-Je; Choe, Youngson

    2017-02-01

    In this article, we have systematically probed the effect of Cu-doping in CdS quantum dots (QDs) to enhance the photovoltaic performance of the quantum dot-sensitized solar cells (QDSSCs). The Cu-doped CdS photoanodes were prepared by successive ionic layer adsorption and reaction (SILAR) method and the corresponding cell devices were fabricated using CuS counter electrodes with a polysulfide electrolyte. The photovoltaic performance results demonstrate that 3 mM Cu-doped CdS QDs based QDSSCs exhibit the efficiency (η) of 3% including JSC = 9.40 mA cm-2, VOC = 0.637 V, FF = 0.501, which are higher than those with bare CdS (η = 2.05%, JSC = 7.12 mA cm-2, VOC = 0.588 V, FF = 0.489). The structural, topographical and optical properties of the thin films have been studied with the help of X-ray diffraction pattern (XRD), atomic force microscopy (AFM) and UV-vis spectrophotometer. Electrochemical impedance spectroscopy (EIS) and open circuit voltage decay (OCVD) measurements indicate that Cu-dopant can inhibit the charge recombination at the photoanode/electrolyte interface and extend the lifetime of electrons. These results reveal that incorporation of copper metal in CdS QDs is a simple and effective method to improve the photovoltaic properties of QDSSCs.

  18. In situ photoelectron spectroscopy of LaMnO3 and La0.6Sr0.4MnO3 thin films grown by laser molecular beam expitaxy

    International Nuclear Information System (INIS)

    Oshima, M.; Kobayashi, D.; Horiba, K.; Ohguchi, H.; Kumigashira, H.; Ono, K.; Nakagawa, N.; Lippmaa, M.; Kawasaki, M.; Koinuma, H.

    2004-01-01

    We have constructed a high-resolution photoelectron spectroscopy system combined with a laser molecular beam epitaxy (laser-MBE) chamber and have characterized composition-controlled La 1-x Sr x MnO 3 (LSMO) thin films. The importance of atomically flat surfaces by in situ photoelectron spectroscopy for revealing the intrinsic electronic structures has been demonstrated by comparing O1s, O2s and valence band spectra from the laser-MBE-grown LaMnO 3 and LSMO films with those from the scraped samples. Even for the laser-MBE-grown LSMO films, core levels and band structure exhibit strong dependence on surface morphology. For atomically flat LSMO films, we have also elucidated the hole-doping features into Mn3d e g band by substituting La with Sr by resonant photoelectron spectra

  19. Technical training: CERN Document Server (CDS), Inspire and Library Services

    CERN Multimedia

    IT & GS Departments

    2012-01-01

    A new training course, “CERN Document Server (CDS), Inspire and Library Services”, is available since the beginning of the year. The training course is given by members of CERN’s CDS Team (IT-CIS group) and the Library Services (GIS SIS group) and is intended for all members of personnel of CERN. This course will present CDS and inspirehep.net and the content, scope and scientific information available in or with CDS, as much as the classification and organization of the documents. It is intended to give you the training needed to know how to use CDS most efficiently and in particular covers: the main characteristics and advanced features for the search of documents (scientific, multimedia, etc). the collaborative tools : baskets, alerts, comments, evaluation, etc. the submission of documents in CDS and examples of workflows. An important part of the training is composed of various exercises, designed to acquire practical ability to work with CDS in cases similar to re...

  20. PbS Thin Films for Photovoltaic Applications Obtained by Non-Traditional Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Pérez-García Claudia Elena

    2015-01-01

    Full Text Available To optimize cost-efficiency relation for thin film solar cells, we explore the recently developed versions of chemical deposition of semiconductor films, together with classic CBD (Chemical Bath Deposition: SILAR (Successive Ionic Layer Adsorption and Reaction and PCBD (Photo Chemical Bath Deposition, all of them ammonia-free and ecologically friendly. The films of CdS and PbS were made, and experimental solar cells with CdS window layer and PbS absorber elaborated. We found that band gap of PbS films can be monitored by deposition process due to porosity-induced quantum confinement which depends on the parameters of the process. We expect that the techniques employed can be successfully used for production of optoelectronic devices.

  1. Synthesis of CdS nanoparticles based on DNA network templates

    International Nuclear Information System (INIS)

    Yao Yong; Song Yonghai; Wang Li

    2008-01-01

    CdS nanoparticles have been successfully synthesized by using DNA networks as templates. The synthesis was carried out by first dropping a mixture of cadmium acetate and DNA on a mica surface for the formation of the DNA network template and then transferring the sample into a heated thiourea solution. The Cd 2+ reacted with thiourea at high temperature and formed CdS nanoparticles on the DNA network template. UV-vis spectroscopy, photoluminescence, x-ray diffraction and atomic force microscopy (AFM) were used to characterize the CdS nanoparticles in detail. AFM results showed that the resulted CdS nanoparticles were directly aligned on the DNA network templates and that the synthesis and assembly of CdS nanoparticles was realized in one step. CdS nanoparticles fabricated with this method were smaller than those directly synthesized in a thiourea solution and were uniformly aligned on the DNA networks. By adjusting the density of the DNA networks and the concentration of Cd 2+ , the size and density of the CdS nanoparticles could be effectively controlled and CdS nanoparticles could grow along the DNA chains into nanowires. The possible growth mechanism has also been discussed in detail

  2. Improving the Formatting Tools of CDS Invenio

    CERN Document Server

    Caffaro, J; Pu Faltings, Pearl

    2006-01-01

    CDS Invenio is the web-based integrated digital library system developed at CERN. It is a strategical tool that supports the archival and open dissemination of documents produced by CERN researchers. This paper reports on my Master’s thesis work done on BibFormat, a module in CDS Invenio, which formats documents metadata. The goal of this project was to implement a completely new formatting module for CDS Invenio. In this report a strong emphasis is put on the user-centered design of the new BibFormat. The bibliographic formatting process and its requirements are discussed. The task analysis and its resulting interaction model are detailed. The document also shows the implemented user interface of BibFormat and gives the results of the user evaluation of this interface. Finally the results of a small usability study of the formats included in CDS Invenio are discussed.

  3. Proton exchange mechanism of synthesizing CdS quantum dots in nafion

    International Nuclear Information System (INIS)

    Nandakumar, P.; Vijayan, C.; Murti, Y.V.G.S.; Dhanalakshmi, K.; Sundararajan, G.

    1999-01-01

    Nanocrystals of CdS are synthesized in the proton exchange membrane nafion in different sizes in the range 1.6 to 6 nm. To understand the process leading to the formation of these quantum dots, we have probed the proton exchange by ac conductance measurements in the frequency range 100 Hz to 13 MHz. Nafion shows good electrical conductivity due to proton transport probably via the Grothus mechanism. Incorporation of cadmium ions by replacement of the hydrogen ions in the sulphonic acid group resulted in a large decrease in conductance indicating the reduction of the mobile carrier density. The conductivity plots all show strong frequency dependence with higher conductance towards the higher frequencies where a near-flat frequency response is seen. After the formation of CdS clusters, there is a partial recovery of conductance corresponding to the reinstatement of the protonic carriers on the side groups. The conductivity of the nafion films embedded with the semiconductor quantum dots exhibits a size-dependence with the highest conductivity obtained for the largest clusters. These findings lend clear experimental evidence for the model of synthesis of quantum dots in nafion by the exchange mechanism. (author)

  4. Electroplating of CdTe Thin Films from Cadmium Sulphate Precursor and Comparison of Layers Grown by 3-Electrode and 2-Electrode Systems

    Directory of Open Access Journals (Sweden)

    Imyhamy M. Dharmadasa

    2017-01-01

    Full Text Available Electrodeposition of CdTe thin films was carried out from the late 1970s using the cadmium sulphate precursor. The solar energy group at Sheffield Hallam University has carried out a comprehensive study of CdTe thin films electroplated using cadmium sulfate, cadmium nitrate and cadmium chloride precursors, in order to select the best electrolyte. Some of these results have been published elsewhere, and this manuscript presents the summary of the results obtained on CdTe layers grown from cadmium sulphate precursor. In addition, this research program has been exploring the ways of eliminating the reference electrode, since this is a possible source of detrimental impurities, such as K+ and Ag+ for CdS/CdTe solar cells. This paper compares the results obtained from CdTe layers grown by three-electrode (3E and two-electrode (2E systems for their material properties and performance in CdS/CdTe devices. Thin films were characterized using a wide range of analytical techniques for their structural, morphological, optical and electrical properties. These layers have also been used in device structures; glass/FTO/CdS/CdTe/Au and CdTe from both methods have produced solar cells to date with efficiencies in the region of 5%–13%. Comprehensive work carried out to date produced comparable and superior devices fabricated from materials grown using 2E system.

  5. Elucidating doping driven microstructure evolution and optical properties of lead sulfide thin films grown from a chemical bath

    Science.gov (United States)

    Mohanty, Bhaskar Chandra; Bector, Keerti; Laha, Ranjit

    2018-03-01

    Doping driven remarkable microstructural evolution of PbS thin films grown by a single-step chemical bath deposition process at 60 °C is reported. The undoped films were discontinuous with octahedral-shaped crystallites after 30 min of deposition, whereas Cu doping led to a distinctly different surface microstructure characterized by densely packed elongated crystallites. A mechanism, based on the time sequence study of microstructural evolution of the films, and detailed XRD and Raman measurements, has been proposed to explain the contrasting microstructure of the doped films. The incorporation of Cu forms an interface layer, which is devoid of Pb. The excess Cu ions in this interface layer at the initial stages of film growth strongly interact and selectively stabilize the charged {111} faces containing either Pb or S compared to the uncharged {100} faces that contain both Pb and S. This interaction interferes with the natural growth habit resulting in the observed surface features of the doped films. Concurrently, the Cu-doping potentially changed the optical properties of the films: A significant widening of the bandgap from 1.52 eV to 1.74 eV for increase in Cu concentration from 0 to 20% was observed, making it a highly potential absorber layer in thin film solar cells.

  6. Enhanced solar cell efficiency and stability using ZnS passivation layer for CdS quantum-dot sensitized actinomorphic hexagonal columnar ZnO

    International Nuclear Information System (INIS)

    Chen, Yanli; Tao, Qiang; Fu, Wuyou; Yang, Haibin; Zhou, Xiaoming; Zhang, Yanyan; Su, Shi; Wang, Peng; Li, Minghui

    2014-01-01

    Highlights: • The synthetic of ZnS/CdS QDs/AHC-ZnO photoanode with a simple method. • The power conversion efficiency of the ZnS/CdS QDs/AHC-ZnO is 1.81%. • The effects of photovoltaic performances caused by CdS and ZnS amounts were studied. • ZnS passivation layer enhanced electron lifetime significantly. - Abstract: We report the photoanodes consisting of CdS quantum-dots (QDs) sensitized actinomorphic hexagonal columnar ZnO (CdS QDs/AHC-ZnO) with ZnS passivation layer are applied for solar cells. Simple chemical solution synthesized AHC-ZnO films on transparent conducting glass substrates, and then, AHC-ZnO is functionalized with uniform CdS and ZnS QDs via successive ionic layer adsorption and reaction (SILAR) method. The as-prepared materials were characterized by XRD, SEM, TEM, UV–vis diffused reflectance absorption spectra and photovoltaic performances analysis. Photovoltaic performances results indicate the quantity of CdS QDs as well as the visible light absorption threshold can be effectively controlled by varying the coating cycles during the SILAR process, and the photocurrent density (Jsc) is greatly improved by increasing the amount of ZnS. By optimizing the AHC-ZnO with the amount of CdS and ZnS, the best efficiency of 1.81% was achieved for solar cell under AM 1.5 G illumination with Jsc = 7.44 mA/cm 2 , Voc = 0.57 V and FF = 43%

  7. Role of dislocations and carrier concentration in limiting the electron mobility of InN films grown by plasma assisted molecular beam epitaxy

    Science.gov (United States)

    Tangi, Malleswararao; De, Arpan; Shivaprasad, S. M.

    2018-01-01

    We report the molecular beam epitaxy growth of device quality InN films on GaN epilayer and nano-wall network (NWN) templates deposited on c-sapphire by varying the film thickness up to 1 μm. The careful experiments are directed towards obtaining high mobility InN layers having a low band gap with improved crystal quality. The dislocation density is quantified by using high resolution X-ray diffraction rocking curve broadening values of symmetric and asymmetric reflections, respectively. We observe that the dislocation density of the InN films grown on GaN NWN is less than that of the films grown on the GaN epilayer. This is attributed to the nanoepitaxial lateral overlayer growth (ELOG) process, where the presence of voids at the interface of InN/GaN NWN prevents the propagation of dislocation lines into the InN epilayers, thereby causing less defects in the overgrown InN films. Thus, this new adaptation of the nano-ELOG growth process enables us to prepare InN layers with high electron mobility. The obtained electron mobility of 2121 cm2/Vs for 1 μm thick InN/GaN NWN is comparable with the literature values of similar thickness InN films. Furthermore, in order to understand the reasons that limit electron mobility, the charge neutrality condition is employed to study the variation of electron mobility as a function of dislocation density and carrier concentration. Overall, this study provides a route to attaining improved crystal quality and electronic properties of InN films.

  8. Structural, Optical Constants and Photoluminescence of ZnO Thin Films Grown by Sol-Gel Spin Coating

    Directory of Open Access Journals (Sweden)

    Abdel-Sattar Gadallah

    2013-01-01

    Full Text Available We report manufacturing and characterization of low cost ZnO thin films grown on glass substrates by sol-gel spin coating method. For structural properties, X-ray diffraction measurements have been utilized for evaluating the dominant orientation of the thin films. For optical properties, reflectance and transmittance spectrophotometric measurements have been done in the spectral range from 350 nm to 2000 nm. The transmittance of the prepared thin films is 92.4% and 88.4%. Determination of the optical constants such as refractive index, absorption coefficient, and dielectric constant in this wavelength range has been evaluated. Further, normal dispersion of the refractive index has been analyzed in terms of single oscillator model of free carrier absorption to estimate the dispersion and oscillation energy. The lattice dielectric constant and the ratio of free carrier concentration to free carrier effective mass have been determined. Moreover, photoluminescence measurements of the thin films in the spectral range from 350 nm to 900 nm have been presented. Electrical measurements for resistivity evaluation of the films have been done. An analysis in terms of order-disorder of the material has been presented to provide more consistency in the results.

  9. Bandgap engineering by substitution of S by Se in nanostructured ZnS{sub 1-x}Se{sub x} thin films grown by soft chemical route for nontoxic optoelectronic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Sadekar, Harishchandra K [Thin Film and Nanotechnology Laboratory, Department of Physics, Dr. Babasaheb Ambedkar Marathwada University, Aurangabad 431004, Maharashtra (India); Department of Physics, Arts, Commerce and Science College, Sonai 414105, Maharashtra (India); Ghule, Anil Vithal [Department of Nanotechnology, Dr. Babasaheb Ambedkar Marathwada University, Aurangabad 431004, Maharashtra (India); Sharma, Ramphal [Thin Film and Nanotechnology Laboratory, Department of Physics, Dr. Babasaheb Ambedkar Marathwada University, Aurangabad 431004, Maharashtra (India)

    2011-05-05

    Highlights: > ZnS{sub 1-x}Se{sub x} (x = 0 to 1) thin films are successfully deposited on glass substrates by soft chemical route. > Structural, optical and electrical properties are studied. > Change in band gap, crystallite size and resistivity is noted with change in S:Se ratio. > Wide band gap material (ZnS{sub 1-x}Se{sub x}) is useful for photosensor and solar cell applications. > It utilizes whole visible light spectrum and is a best alternative to conventionally used toxic CdS. - Abstract: Thin films of nanostructured ZnS{sub 1-x}Se{sub x} with optimized growth parameters were prepared by soft chemical route on glass substrates. Ammonia free precursors were used at 80 deg. C constant bath temperature. The ratio of sulphur to selenium was changed continuously by changing the composition x (0-1), while atomic concentration of zinc was kept constant. Structure, composition and surface morphology of as-deposited films were characterized by X-ray diffraction (XRD), energy dispersive X-ray analysis (EDAX) and scanning electron microscopy (SEM), atomic force microscopy (AFM) respectively. XRD studies revealed that as-deposited films were nanostructured in nature with cubic zinc blended structure. It was further observed that the preferred orientations are along (1 1 1) plane and crystallite size decreased with increase in the value of x. SEM and AFM images revealed that films were uniform and pinhole free. The optical band gap (E{sub g}) was calculated from the observed transmittance spectra by Urbach method. It was found that the band gap varied linearly from 3.71 to 2.70 eV, as composition x varies 0-1. The electrical properties' study revealed that the decrease in resistivity and increase in photosensitivity, as composition x varied 0-1. The observed interesting properties of ZnS{sub 1-x}Se{sub x} thin films justified their significance in optoelectronic device fabrication and applications, and as an environment friendly alternative to the commonly used toxic

  10. High electron mobility in Ga(In)NAs films grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Miyashita, Naoya; Ahsan, Nazmul; Monirul Islam, Muhammad; Okada, Yoshitaka; Inagaki, Makoto; Yamaguchi, Masafumi

    2012-01-01

    We report the highest mobility values above 2000 cm 2 /Vs in Si doped GaNAs film grown by molecular beam epitaxy. To understand the feature of the origin which limits the electron mobility in GaNAs, temperature dependences of mobility were measured for high mobility GaNAs and referential low mobility GaInNAs. Temperature dependent mobility for high mobility GaNAs is similar to the GaAs case, while that for low mobility GaInNAs shows large decrease in lower temperature region. The electron mobility of high quality GaNAs can be explained by intrinsic limiting factor of random alloy scattering and extrinsic factor of ionized impurity scattering.

  11. Electrical resistivity of CuAlMo thin films grown at room temperature by dc magnetron sputtering

    Science.gov (United States)

    Birkett, Martin; Penlington, Roger

    2016-07-01

    We report on the thickness dependence of electrical resistivity of CuAlMo films grown by dc magnetron sputtering on glass substrates at room temperature. The electrical resistance of the films was monitored in situ during their growth in the thickness range 10-1000 nm. By theoretically modelling the evolution of resistivity during growth we were able to gain an insight into the dominant electrical conduction mechanisms with increasing film thickness. For thicknesses in the range 10-25 nm the electrical resistivity is found to be a function of the film surface roughness and is well described by Namba’s model. For thicknesses of 25-40 nm the experimental data was most accurately fitted using the Mayadas and Shatkes model which accounts for grain boundary scattering of the conduction electrons. Beyond 40 nm, the thickness of the film was found to be the controlling factor and the Fuchs-Sonheimer (FS) model was used to fit the experimental data, with diffuse scattering of the conduction electrons at the two film surfaces. By combining the Fuchs and Namba (FN) models a suitable correlation between theoretical and experimental resistivity can be achieved across the full CuAlMo film thickness range of 10-1000 nm. The irreversibility of resistance for films of thickness >200 nm, which demonstrated bulk conductivity, was measured to be less than 0.03% following subjection to temperature cycles of -55 and +125 °C and the temperature co-efficient of resistance was less than ±15 ppm °C-1.

  12. Influence of Sn incorporation on the properties of CuInS2 thin films grown by vacuum evaporation method

    International Nuclear Information System (INIS)

    Zribi, M.; Rabeh, M. Ben; Brini, R.; Kanzari, M.; Rezig, B.

    2006-01-01

    Structural, morphological and optical properties of Sn-doped CuInS 2 thin films grown by double source thermal evaporation method were studied. Firstly, the films were annealed in vacuum after evaporation from 250 to 500 deg. C for Sn deposition time equal to 3 min. Secondly, the films deposited for several Sn evaporation times were annealed in vacuum after evaporation at 500 deg. C. The X-ray diffraction spectra indicated that polycrystalline Sn-doped CuInS 2 films were obtained and no Sn binary or ternary phases are observed for the Sn evaporation times equal to 5 min. Scanning electron microscopy observation revealed the decrease of the surface crystallinity with increasing the Sn evaporation times and the annealing temperatures. The Sn-doped samples after annealing have bandgap energy of 1.42-1.50 eV. Furthermore, we found that the Sn-doped CuInS 2 thin films exhibit N-type conductivity after annealing

  13. Photo- and Electrochromic Properties of Activated Reactive Evaporated MoO3 Thin Films Grown on Flexible Substrates

    Directory of Open Access Journals (Sweden)

    K. Hari Krishna

    2008-01-01

    Full Text Available The molybdenum trioxide (MoO3 thin films were grown onto ITO-coated flexible Kapton substrates using plasma assisted activated reactive evaporation technique. The film depositions were carried out at constant glow power and oxygen partial pressures of 8 W and 1×10−3 Torr, respectively. The influence of substrate temperature on the microstructural and optical properties was investigated. The MoO3 thin films prepared at a substrate temperature of 523 K were found to be composed of uniformly distributed nanosized grains with an orthorhombic structure of α-MoO3. These nanocrystalline MoO3 thin films exhibited higher optical transmittance of about 80% in the visible region with an evaluated optical band gap of 3.29 eV. With the insertion of 12.5 mC/cm2, the films exhibited an optical modulation of 40% in the visible region with coloration efficiency of 22 cm2/C at the wavelength of 550 nm. The MoO3 films deposited at 523 K demonstrated better photochromic properties and showed highest color center concentration for the irradiation time of 30 minutes at 100 mW/cm2.

  14. Growth and electrical properties of AlOx grown by mist chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Toshiyuki Kawaharamura

    2013-03-01

    Full Text Available Aluminum oxide (AlOx thin films were grown using aluminum acetylacetonate (Al(acac3 as a source solute by mist chemical vapor deposition (mist CVD. The AlOx thin films grown at temperatures above 400°C exhibited a breakdown field (EBD over 6 MV/cm and a dielectric constant (κ over 6. It is suggested that residual OH bonding in the AlOx thin films grown at temperatures below 375°C caused degradation of the breakdown field (EBD. With FC type mist CVD, the reaction proceeded efficiently (Ea = 22–24 kJ/mol because the solvent, especially H2O, worked as a stronger oxygen source. The AlOx film could be grown at 450°C with a high deposition rate (23 nm/min and smooth surface (RMS = 1.5 nm. Moreover, the AlOx thin films grown by mist CVD had excellent practicality as insulators because the gate leakage current (IG of the oxide thin film transistor (TFT with an IGZO/AlOx stack was suppressed below 1 pA at a gate voltage (VG of 20 V.

  15. Polycrystalline ZnO: B grown by LPCVD as TCO for thin film silicon solar cells

    International Nuclear Information System (INIS)

    Fay, Sylvie; Steinhauser, Jerome; Nicolay, Sylvain; Ballif, Christophe

    2010-01-01

    Conductive zinc oxide (ZnO) grown by low pressure chemical vapor deposition (LPCVD) technique possesses a rough surface that induces an efficient light scattering in thin film silicon (TF Si) solar cells, which makes this TCO an ideal candidate for contacting such devices. IMT-EPFL has developed an in-house LPCVD process for the deposition of nanotextured boron doped ZnO films used as rough TCO for TF Si solar cells. This paper is a general review and synthesis of the study of the electrical, optical and structural properties of the ZnO:B that has been performed at IMT-EPFL. The influence of the free carrier absorption and the grain size on the electrical and optical properties of LPCVD ZnO:B is discussed. Transport mechanisms at grain boundaries are studied. It is seen that high doping of the ZnO grains facilitates the tunnelling of the electrons through potential barriers that are located at the grain boundaries. Therefore, even if these potential barriers increase after an exposition of the film to a humid atmosphere, the heavily doped LPCVD ZnO:B layers show a remarkable stable conductivity. However, the introduction of diborane in the CVD reaction induces also a degradation of the intra-grain mobility and increases over-proportionally the optical absorption of the ZnO:B films. Hence, the necessity to finely tune the doping level of LPCVD ZnO:B films is highlighted. Finally, the next challenges to push further the optimization of LPCVD ZnO:B films for thin film silicon solar cells are discussed, as well as some remarkable record cell results achieved with LPCVD ZnO:B as front electrode.

  16. A photoelectrochemical study of CdS modified TiO2 nanotube arrays as photoanodes for cathodic protection of stainless steel

    International Nuclear Information System (INIS)

    L, Jing; Lin Changjian; Li Juntao; Lin Zequan

    2011-01-01

    An electrodeposited CdS nanoparticles-modified highly-ordered TiO 2 nanotube arrays (CdS-TNs) photoelectrode and its performance of photocathodic protection are reported. The self-organized TiO 2 nanotube arrays are fabricated by electrochemical anodization in an organic-inorganic mixed electrolyte and sensitized with CdS nanoparticles by electrodeposition via a single-step direct current. The morphology, crystalline phase, and composition of the CdS-TNs films were characterized systematically by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and ultraviolet-visible (UV-Vis) spectroscopy, respectively. The photoelectrochemical performances of the CdS-TNs film under illumination and dark conditions in 0.5 M NaCl solution were evaluated through the electrochemical measurements. It is indicated that the TNs incorporated by CdS effectively harvest solar light in the UV as well as the visible light (up to 480 nm) region. It is supposed that the high photoelectro-response activity of the CdS-TNs is attributed to the increased efficiency of charge separation and transport of electrons. The electrode potentials of 304 stainless steel coupled with the CdS-TNs is found to be negatively shifted for about 246 mV and 215 mV under UV and white light irradiation, respectively, which can be remained for 24 h even in darkness. It is implied that the CdS-TNs are able to effectively function a photogenerated cathodic protection for metals both under the UV and visible light illumination.

  17. Dynamic Modeling of CDS Index Tranche Spreads

    DEFF Research Database (Denmark)

    Dorn, Jochen

    This paper provides a Market Model which implies a dynamics for standardized CDS index tranche spreads, i.e. tranches which securitise CDS index series and dispose of predefined subordination. This model is useful for pricing options on tranches with future Issue Dates as well as for modeling...... options on structured credit derivatives. With the upcoming regulation of the CDS market in perspective, the model presented here is also an attempt to face the effects on pricing approaches provoked by an eventual Clearing Chamber . It becomes also possible to calibrate Index Tranche Options with bespoke...... tenors/tranche subordination to market data obtained by more liquid Index Tranche Options with standard characteristics....

  18. Structural, electrical, and optical properties of polycrystalline NbO_2 thin films grown on glass substrates by solid phase crystallization

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Kamisaka, Hideyuki; Hirose, Yasushi; Hasegawa, Tetsuya

    2017-01-01

    We investigated the structural, electrical, and optical properties of polycrystalline NbO_2 thin films on glass substrates. The NbO_2 films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P_O_2). The electrical and optical properties of the precursor films systematically changed with P_O_2, demonstrating that the oxygen content of the precursor films can be finely controlled with P_O_2. The precursors were crystallized into polycrystalline NbO_2 films by annealing under vacuum at 600 C. The NbO_2 films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10"2 Ω cm, which is much lower than the bulk value of 1 x 10"4 Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO_2 crystal. Both oxygen-rich and -poor NbO_2 films showed lower ρ than that of the stoichiometric film. The NbO_2 film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Domain structure and magnetic properties of epitaxial SrRuO sub 3 films grown on SrTiO sub 3 (100) substrates by ion beam sputtering

    CERN Document Server

    Oh, S H

    2000-01-01

    The domain structure of epitaxial SrRuO sub 3 thin films grown on SrTiO sub 3 (100) substrates by using ion beam sputtering has been investigated with transmission electron microscopy (TEM) and X-ray diffraction (XRD). The SrRuO sub 3 films grown in the present study revealed a unique cube-on-cube epitaxial relationship, i.e., (100) sub S sub R sub O ll (100) sub S sub T sub O , [010] sub S sub R sub O ll [101] sub S sub T sub O , prevailing with a cubic single-domain structure. The cubic SrRuO sub 3 thin films that were inherently with free from RuO sub 6 octahedron tilting exhibited higher resistivity with suppressed magnetic properties. The Curie temperature of the thin films was suppressed by 60 K from 160 K for the bulk specimen, and the saturation magnetic moment was reduced by a significant amount. The tetragonal distortion of the SrRuO sub 3 thin films due to coherent growth with the substrate seemed to result in a strong magnetic anisotropy.

  20. Study of the optical properties and structure of ZnSe/ZnO thin films grown by MOCVD with varying thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    Jabri, S., E-mail: slaheddine.jabri@fst.rnu.tn [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia); Amiri, G.; Sallet, V. [Groupe d’Etude de la Matière Condensée, CNRS-Université de Versailles St Quentin, Université Paris-Saclay, 45 avenue des Etats Unis, 78035 Versailles Cedex (France); Souissi, A. [Laboratoire de Photovoltaïque, Centre de Recherches et des Technologies de l’Energie, Technopole Borj Cedria, B.P. 95, Hammammlif 2050 (Tunisia); Meftah, A. [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia); Galtier, P. [Groupe d’Etude de la Matière Condensée, CNRS-Université de Versailles St Quentin, Université Paris-Saclay, 45 avenue des Etats Unis, 78035 Versailles Cedex (France); Oueslati, M. [Unité des nanomatériaux et photoniques, Faculté des Sciences de Tunis, Campus Universitaire Ferhat Hachad, El Manar, 2092 Tunis (Tunisia)

    2016-05-15

    ZnSe layers were grown on ZnO substrates by the metal organic chemical vapor deposition technique. A new structure appeared at lower thicknesses films. The structural properties of the thin films were studied by the X-ray diffraction (XRD) and Raman spectroscopy methods. First, Raman selection rules are explicitly put forward from a theoretical viewpoint. Second, experimentally-retrieved-intensities of the Raman signal as a function of polarization angle of incident light are fitted to the obtained theoretical dependencies in order to confirm the crystallographic planes of zinc blend ZnSe thin film, and correlate with DRX measurements. Raman spectroscopy has been used to characterize the interfacial disorder that affects energy transport phenomena at ZnSe/ZnO interfaces and the Photoluminescence (PL) near the band edge of ZnSe thin films.

  1. Fabrication and characterization of high-mobility solution-based chalcogenide thin-film transistors

    KAUST Repository

    Mejia, Israel I.; Salas Villaseñ or, Ana L.; Cha, Dong Kyu; Alshareef, Husam N.; Gnade, Bruce E.; Quevedo-Ló pez, Manuel Angel Quevedo

    2013-01-01

    We report device and material considerations for the fabrication of high-mobility thin-film transistors (TFTs) compatible with large-area and inexpensive processes. In particular, this paper reports photolithographically defined n-type TFTs (n-TFTs) based on cadmium sulfide (CdS) films deposited using solution-based techniques. The integration process consists of four mask levels with a maximum processing temperature of 100 °C. The TFT performance was analyzed in terms of the CdS semiconductor thickness and as a function of postdeposition annealing in a reducing ambient. The IonI off ratios are ∼107 with field-effect mobilities of ∼5.3 and ∼4.7cm2V̇s for Al and Au source-drain contacts, respectively, using 70 nm of CdS. Transmission electron microscopy and electron energy loss spectroscopy were used to analyze the CdS-metal interfaces. © 1963-2012 IEEE.

  2. Evidence for Chemical and Electronic Nonuniformities in the Formation of the Interface of RbF-Treated Cu(In,Ga)Se2 with CdS.

    Science.gov (United States)

    Nicoara, Nicoleta; Kunze, Thomas; Jackson, Philip; Hariskos, Dimitrios; Duarte, Roberto Félix; Wilks, Regan G; Witte, Wolfram; Bär, Marcus; Sadewasser, Sascha

    2017-12-20

    We report on the initial stages of CdS buffer layer formation on Cu(In,Ga)Se 2 (CIGSe) thin-film solar cell absorbers subjected to rubidium fluoride (RbF) postdeposition treatment (PDT). A detailed characterization of the CIGSe/CdS interface for different chemical bath deposition (CBD) times of the CdS layer is obtained from spatially resolved atomic and Kelvin probe force microscopy and laterally integrating X-ray spectroscopies. The observed spatial inhomogeneity in the interface's structural, chemical, and electronic properties of samples undergoing up to 3 min of CBD treatments is indicative of a complex interface formation including an incomplete coverage and/or nonuniform composition of the buffer layer. It is expected that this result impacts solar cell performance, in particular when reducing the CdS layer thickness (e.g., in an attempt to increase the collection in the ultraviolet wavelength region). Our work provides important findings on the absorber/buffer interface formation and reveals the underlying mechanism for limitations in the reduction of the CdS thickness, even when an alkali PDT is applied to the CIGSe absorber.

  3. Synthesis and Characterization of CdS Nanoparticles with Strong Electrolyte Behavior

    International Nuclear Information System (INIS)

    Zhang Yu; Fu, Degang; Liu Juzheng

    2000-01-01

    The CdS nanoparticles whose structure is similar to a strong electrolyte were synthesized by the colloidal chemical method. The CdS nanoparticles with Cd 2+ -rich surface are capped by the electrically neutral ligand of 2,2'-bipyridine (bpy), and the counterion, BPh 4 - , is adsorbed around the particle as balance charge. The ω donation from 2,2'-bipyridine at 2-position to the Cd 2+ -rich surface of the CdS nanoparticles was characterized by X-ray photoelectron spectroscopy (XPS). These CdS nanoparticles can redisperse in pyridine (py) or DMF, and have high stability. The determination of electroconductivity and the electrophoresis deposition in dilute solution containing the CdS nanoparticles further prove the rationality of the above electrolyte structure of the CdS nanoparticles

  4. Effect of the nature of cationic precursors for SILAR deposition on the performance of CdS and PbS/CdS quantum dot-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Yingbo; Li, Zhen; Yu, Libo; Sun, Shuqing, E-mail: sunshuqing@tju.edu.cn [Tianjin University, Department of Chemistry (China)

    2015-03-15

    In this work, the influences of cationic precursors on the quality of photoelectrode, consequently on the performance of the quantum dot-sensitized solar cells (QDSCs) have been studied. CdS QDSCs have been prepared using successive ionic layer absorption and reaction (SILAR) method. Three cadmium precursors including nitrate (Cd(NO{sub 3}){sub 2}), chloride (CdCl{sub 2}), and acetate (Cd(Ac){sub 2}) were employed for the synthesis and absorption of CdS nanoparticles on nanostructure TiO{sub 2} film. The loading amount and nanoparticle size of the CdS on mesoporous TiO{sub 2} film showed a significant difference while using various cadmium precursors in the same SILAR cycles. Both the light-harvesting ability and the obtained incident photon-to-current conversion efficiency values show the trend of deposition rate caused by cadmium precursors. Further, it was proposed that an effective cationic precursor could provide a good connection between QD sensitizer and TiO{sub 2} interface by electrochemical impedance spectroscopy analysis. Under AM 1.5 G full one sun illumination, the final power conversion efficiency of CdS QDSC based on Cd(Ac){sub 2} was 2.10 %, and PCE values of 1.57 and 1.20 % were obtained for solar cells sensitized by CdS QDs prepared by CdCl{sub 2} and Cd(NO{sub 3}){sub 2}, respectively. The cationic precursor effect was further applied in PbS/CdS co-sensitized solar cells. The PbS/CdS QDSCs based on acetate cationic precursors provide a photocurrent of 19.24 mA/cm{sup 2} and PCE of 3.23 % in comparison with 11.26 mA and 2.13 % obtained with nitrate acetate salts. Noticeably, the CdS and PbS/CdS QDSCs based on various cationic precursors prepared by SILAR exhibited good photocurrent stability under several light on–off cycles.

  5. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Hardy, Matthew T., E-mail: matthew.hardy.ctr@nrl.navy.mil; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J. [Electronics Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375 (United States); McConkie, Thomas O.; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287-1504 (United States); Nepal, Neeraj [Sotera Defense Solutions, 2200 Defense Hwy Suite 405, Crofton, Maryland 21114 (United States)

    2016-03-15

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10{sup 13 }cm{sup −2} and no degradation in mobility (1760 cm{sup 2}/V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE.

  6. Morphological and microstructural stability of N-polar InAlN thin films grown on free-standing GaN substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Hardy, Matthew T.; Storm, David F.; Downey, Brian P.; Katzer, D. Scott; Meyer, David J.; McConkie, Thomas O.; Smith, David J.; Nepal, Neeraj

    2016-01-01

    The sensitivity of the surface morphology and microstructure of N-polar-oriented InAlN to variations in composition, temperature, and layer thickness for thin films grown by plasma-assisted molecular beam epitaxy (PAMBE) has been investigated. Lateral compositional inhomogeneity is present in N-rich InAlN films grown at low temperature, and phase segregation is exacerbated with increasing InN fraction. A smooth, step-flow surface morphology and elimination of compositional inhomogeneity can be achieved at a growth temperature 50 °C above the onset of In evaporation (650 °C). A GaN/AlN/GaN/200-nm InAlN heterostructure had a sheet charge density of 1.7 × 10 13  cm −2 and no degradation in mobility (1760 cm 2 /V s) relative to 15-nm-thick InAlN layers. Demonstration of thick-barrier high-electron-mobility transistors with good direct-current characteristics shows that device quality, thick InAlN layers can be successfully grown by PAMBE

  7. Structure and optical band gaps of (Ba,Sr)SnO{sub 3} films grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schumann, Timo; Raghavan, Santosh; Ahadi, Kaveh; Kim, Honggyu; Stemmer, Susanne, E-mail: stemmer@mrl.ucsb.edu [Materials Department, University of California, Santa Barbara, California 93106-5050 (United States)

    2016-09-15

    Epitaxial growth of (Ba{sub x}Sr{sub 1−x})SnO{sub 3} films with 0 ≤ x ≤ 1 using molecular beam epitaxy is reported. It is shown that SrSnO{sub 3} films can be grown coherently strained on closely lattice and symmetry matched PrScO{sub 3} substrates. The evolution of the optical band gap as a function of composition is determined by spectroscopic ellipsometry. The direct band gap monotonously decreases with x from to 4.46 eV (x = 0) to 3.36 eV (x = 1). A large Burnstein-Moss shift is observed with La-doping of BaSnO{sub 3} films. The shift corresponds approximately to the increase in Fermi level and is consistent with the low conduction band mass.

  8. Surface structure determinations of crystalline ionic thin films grown on transition metal single crystal surfaces by low energy electron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Roberts, Joel Glenn [Univ. of California, Berkeley, CA (United States)

    2000-05-01

    The surface structures of NaCl(100), LiF(100) and alpha-MgCl2(0001) adsorbed on various metal single crystals have been determined by low energy electron diffraction (LEED). Thin films of these salts were grown on metal substrates by exposing the heated metal surface to a molecular flux of salt emitted from a Knudsen cell. This method of investigating thin films of insulators (ionic salts) on a conducting substrate (metal) circumvents surface charging problems that plagued bulk studies, thereby allowing the use of electron-based techniques to characterize the surface.

  9. A kinetic model for stress generation in thin films grown from energetic vapor fluxes

    Energy Technology Data Exchange (ETDEWEB)

    Chason, E.; Karlson, M. [School of Engineering, Brown University, Providence, Rhode Island 02912 (United States); Colin, J. J.; Abadias, G. [Institut P' , Département Physique et Mécanique des Matériaux, Université de Poitiers-CNRS-ENSMA, SP2MI, Téléport 2, Bd M. et P. Curie, F-86962 Chasseneuil-Futuroscope (France); Magnfält, D.; Sarakinos, K. [Nanoscale Engineering Division, Department of Physics, Chemistry and Biology, Linköping University, SE-581 83 Linköping (Sweden)

    2016-04-14

    We have developed a kinetic model for residual stress generation in thin films grown from energetic vapor fluxes, encountered, e.g., during sputter deposition. The new analytical model considers sub-surface point defects created by atomic peening, along with processes treated in already existing stress models for non-energetic deposition, i.e., thermally activated diffusion processes at the surface and the grain boundary. According to the new model, ballistically induced sub-surface defects can get incorporated as excess atoms at the grain boundary, remain trapped in the bulk, or annihilate at the free surface, resulting in a complex dependence of the steady-state stress on the grain size, the growth rate, as well as the energetics of the incoming particle flux. We compare calculations from the model with in situ stress measurements performed on a series of Mo films sputter-deposited at different conditions and having different grain sizes. The model is able to reproduce the observed increase of compressive stress with increasing growth rate, behavior that is the opposite of what is typically seen under non-energetic growth conditions. On a grander scale, this study is a step towards obtaining a comprehensive understanding of stress generation and evolution in vapor deposited polycrystalline thin films.

  10. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  11. Properties of epitaxial Ba2YCu3O7-x films on LaAlO3(001) grown using optimized conditions

    International Nuclear Information System (INIS)

    Siegal, M.P.; Phillips, J.M.; van Dover, R.B.; Tiefel, T.H.; Marshall, J.H.; Carlson, D.J.

    1990-01-01

    The superconducting and structural properties of Ba 2 YCu 3 O 7-x (BYCO) films on LaAlO 3 (001) substrates can be improved by carefully optimizing the post-deposition annealing parameters. Films are grown by codeposition of BaF 2 , Y, and Cu in the correct stoichiometric ratio to within 1% of 2:1:3. Compositional deviations greater than ± 1% result in the degradation of film quality. Important annealing parameters include the ambient, annealing temperature, oxidation temperature, and duration of the anneal. Films are characterized for epitaxial quality (χ min ), morphology, critical temperature (T c ), sharpness of the superconducting transition (ΔT), and critical current density (J c ). The optimized films have relatively smooth morphology with χ min c > 90 K, ΔT c > 10 6 A/cm 2 in essentially zero magnetic field at 77 K

  12. Effect of annealing on structural and optical properties of Cu{sub 2}ZnSnS{sub 4} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Surgina, G.D., E-mail: silvereye@bk.ru [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation); Nevolin, V.N. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); P.N. Lebedev Physical Institute of the Russian Academy of Sciences, Moscow 119991 (Russian Federation); Sipaylo, I.P.; Teterin, P.E. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Medvedeva, S.S. [Immanuel Kant Baltic Federal University, Kaliningrad 236041 (Russian Federation); Lebedinsky, Yu.Yu.; Zenkevich, A.V. [National Research Nuclear University “Moscow Engineering Physics Institute”, Moscow 115409 (Russian Federation); Moscow Institute of Physics and Technology, Dolgoprudny, Moscow region 141700 (Russian Federation)

    2015-11-02

    In this work, we compare the effect of different types of thermal annealing on the morphological, structural and optical properties of Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films grown by reactive Pulsed Laser Deposition in H{sub 2}S flow. Rutherford backscattering spectrometry, atomic force microscopy, X-ray diffraction, Raman spectroscopy and optical spectrophotometry data reveal dramatic increase of the band gap and the crystallite size without the formation of secondary phases upon annealing in N{sub 2} at the optimized conditions. - Highlights: • Cu{sub 2}ZnSnS{sub 4} (CZTS) thin films were grown at room temperature. • Reactive Pulsed Laser Deposition in H{sub 2}S flow was used as a growth method. • Effect of annealing conditions on CZTS structural and optical properties is revealed. • Both the grain size and the band gap of CZTS film increase following the annealing. • Annealing in N{sub 2} effectively inhibits the formation of Sn{sub x}S secondary phases.

  13. Unraveling the role of SiC or Si substrates in water vapor incorporation in SiO 2 films thermally grown using ion beam analyses

    Science.gov (United States)

    Corrêa, S. A.; Soares, G. V.; Radtke, C.; Stedile, F. C.

    2012-02-01

    The incorporation of water vapor in SiO 2 films thermally grown on 6H-SiC(0 0 0 1) and on Si (0 0 1) was investigated using nuclear reaction analyses. Water isotopically enriched in deuterium ( 2H or D) and in 18O was used. The dependence of incorporated D with the water annealing temperature and initial oxide thickness were inspected. The D amount in SiO 2/SiC structures increases continuously with temperature and with initial oxide thickness, being incorporated in the surface, bulk, and interface regions of SiO 2 films. However, in SiO 2/Si, D is observed mostly in near-surface regions of the oxide and no remarkable dependence with temperature or initial oxide thickness was observed. At any annealing temperature, oxygen from water vapor was incorporated in all depths of the oxide films grown on SiC, in contrast with the SiO 2/Si.

  14. Structural and thermal characterization of La5Ca9Cu24O41 thin films grown by pulsed laser deposition on (1 1 0) SrTiO3 substrates

    International Nuclear Information System (INIS)

    Svoukis, E.; Athanasopoulos, G.I.; Altantzis, Th.; Lioutas, Ch.; Martin, R.S.; Revcolevschi, A.; Giapintzakis, J.

    2012-01-01

    In the present study stoichiometric, b-axis oriented La 5 Ca 9 Cu 24 O 41 thin films were grown by pulsed laser deposition on (1 1 0) SrTiO 3 substrates in the temperature range 600–750 °C. High resolution transmission electron microscopy was employed to investigate the growth mechanism and the epitaxial relationship between the SrTiO 3 substrates and the La 5 Ca 9 Cu 24 O 41 films grown at 700 °C. The 3-ω method was used to measure the cross-plane thermal conductivity of La 5 Ca 9 Cu 24 O 41 films in the temperature range 50–350 K. The observed glass-like behavior is attributed to atomic-scale defects, grain boundaries and an interfacial layer formed between film and substrate.

  15. Ellipsometric investigation of nitrogen doped diamond thin films grown in microwave CH{sub 4}/H{sub 2}/N{sub 2} plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ficek, Mateusz, E-mail: rbogdan@eti.pg.gda.pl [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Sankaran, Kamatchi J.; Haenen, Ken [Institute for Materials Research (IMO), Hasselt University, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); IMOMEC, IMEC vzw, Wetenschapspark 1, B-3590 Diepenbeek (Belgium); Ryl, Jacek; Darowicki, Kazimierz [Department of Electrochemistry, Corrosion and Material Engineering, Gdansk University of Technology, 11/12 Narutowicza St., 80-233 Gdansk (Poland); Bogdanowicz, Robert [Department of Metrology and Optoelectronics, Faculty of Electronics, Telecommunications and Informatics, Gdansk University of Technology, 11/12 G. Narutowicza St., 80-233 Gdansk (Poland); Materials and Process Simulation Center, California Institute of Technology, Pasadena, California 91125 (United States); Lin, I-Nan [Department of Physics, Tamkang University, Tamsui 251, Taiwan (China)

    2016-06-13

    The influence of N{sub 2} concentration (1%–8%) in CH{sub 4}/H{sub 2}/N{sub 2} plasma on structure and optical properties of nitrogen doped diamond (NDD) films was investigated. Thickness, roughness, and optical properties of the NDD films in the VIS–NIR range were investigated on the silicon substrates using spectroscopic ellipsometry. The samples exhibited relatively high refractive index (2.6 ± 0.25 at 550 nm) and extinction coefficient (0.05 ± 0.02 at 550 nm) with a transmittance of 60%. The optical investigation was supported by the molecular and atomic data delivered by Raman studies, bright field transmission electron microscopy imaging, and X-ray photoelectron spectroscopy diagnostics. Those results revealed that while the films grown in CH{sub 4}/H{sub 2} plasma contained micron-sized diamond grains, the films grown using CH{sub 4}/H{sub 2}/(4%)N{sub 2} plasma exhibited ultranano-sized diamond grains along with n-diamond and i-carbon clusters, which were surrounded by amorphous carbon grain boundaries.

  16. TL and OSL studies on undoped diamond films grown by hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Soni, Anuj, E-mail: anujsoni.phy@gmail.com [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Choudhary, R.K. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Polymeris, G.S. [Ankara University, Institute of Nuclear Sciences (Turkey); Mishra, D.R. [Radiological Physics and Advisory Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Mishra, P. [Materials Processing Division, Bhabha Atomic Research Center, Mumbai 400 085 (India); Kulkarni, M.S. [Radiation Safety Systems Division, Bhabha Atomic Research Center, Mumbai 400 085 (India)

    2016-09-15

    In this work, approximately 0.5 µm thick diamond films were grown on a silicon substrate by hot filament chemical vapour deposition (HFCVD) method in a gas mixture of hydrogen and methane. The batch to batch reproducibility of the sample using this technique was found to be very good. The obtained film was characterized by micro laser Raman spectroscopy (MLRS), grazing incidence X-ray diffractometry (GIXRD), scanning electron microscopy (SEM) and atomic force miscroscopy (AFM) techniques. MLRS and GIXRD results confirmed the formation of diamond whereas SEM and AFM analyses indicated uniform morphology of the film with an average grain size of 200 nm. The deposited film was studied for ionizing radiation dosimetry applications using the thermoluminescence (TL) and optically stimulated luminescence (OSL) techniques after irradiating the film by a calibrated 5 mCi, {sup 90}Sr/{sup 90}Y beta source. In the TL measurement, for a heating rate of 4 K/s, broad glow curve was obtained which was deconvoluted into seven TL peaks. The integrated TL counts were found to vary linearly with increasing the radiation dose up to 10 kGy. The characteristic TL output seen in the temperature range 200–300 °C, may be considered good for thermal stability of the film and it could also avoid TL fading during storage and non-interference of any black body radiation during the measurement. However, in comparison to TL output, the OSL response for 470 nm LED stimulation was found to be lesser. The CW–OSL decay curve has shown two components contributing to the OSL signal, having photoionization cross-section 1.5×10{sup −18} and 5.2×10{sup −19} cm{sup 2} respectively. The studies have revealed the possibility of using diamond film for high dose radiation dosimetry with TL/OSL method.

  17. Critical thickness of high structural quality SrTiO{sub 3} films grown on orthorhombic (101) DyScO{sub 3}.

    Energy Technology Data Exchange (ETDEWEB)

    Biegalski, M. D.; Trolier-McKinstry, S.; Nelson, C. T.; Schlom, D. G.; Fong, D. D.; Eastman, J. A.; Fuoss, P. H.; Streiffer, S. K.; Heeg, T.; Schubert, J.; Tian, W.; Pan, X. Q.; Hawley, M. E.; Bernhagen, M.; Reiche, P.; Uecker, R.; Pennsylvania State Univ.; Forschungszentrum Julich; Univ. Michigan; LANL; Max-Born-Strabe

    2008-12-01

    Strained epitaxial SrTiO{sub 3} films were grown on orthorhombic (101) DyScO{sub 3} substrates by reactive molecular-beam epitaxy. The epitaxy of this substrate/film combination is cube on cube with a pseudocubic out-of-plane (001) orientation. The strain state and structural perfection of films with thicknesses ranging from 50 to 1000 {angstrom} were examined using x-ray scattering. The critical thickness at which misfit dislocations was introduced was between 350 and 500 {angstrom}. These films have the narrowest rocking curves (full width at half maximum) ever reported for any heteroepitaxial oxide film (0.0018{sup o}). Only a modest amount of relaxation is seen in films exceeding the critical thicknesses even after postdeposition annealing at 700 C in 1 atm of oxygen. The dependence of strain relaxation on crystallographic direction is attributed to the anisotropy of the substrate. These SrTiO{sub 3} films show structural quality more typical of semiconductors such as GaAs and silicon than perovskite materials; their structural relaxation behavior also shows similarity to that of compound semiconductor films.

  18. Structural and optical properties of nano-structured tungsten-doped ZnO thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngom, B.D. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa)], E-mail: bdngom@tlabs.ac.za; Mpahane, T. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Manyala, N. [Department of Physics and Electronics National University of Lesotho (Lesotho); Nemraoui, O. [NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Buttner, U. [Engineering Department, University of Stellenbosch (South Africa); Kana, J.B. [Department of Physique University of Yaounde 1 (Cameroon); Fasasi, A.Y. [Centre for Energy Research and Development, Obafemi Awolowo University, Ile-Ife, Osun State (Nigeria); Maaza, M. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); NANO-Sciences Laboratories, Materials Research Group, iThemba LABS, National Research Foundation (South Africa); Beye, A.C. [African Laser Centre, CSIR campus, P.O. Box 395, Pretoria (South Africa); Groupes de Laboratoires de physique des Solides et Sciences des Materiaux, Faculte des sciences et Techniques Universite Cheikh Anta Diop de Dakar (UCAD), B.P. 25114 Dakar-Fann Dakar (Senegal)

    2009-01-15

    Novel highly c-oriented tungsten-doped zinc oxide (WZO) thin films with 1 wt% were grown by pulsed laser deposition (PLD) technique on corning 1737F glass substrate. The effects of laser energy on the structural, morphological as well as optical transmission properties of the films were studied. The films were highly transparent with average transmittance exceeding 87% in the wavelength region lying between 400 and 2500 nm. X-ray diffraction analysis (XRD) results indicated that the WZO films had c-axis preferred orientation with wurtzite structure. Film thickness and the full width at half maximum (FWHM) of the (0 0 2) peaks of the films were found to be dependent on laser fluence. The composition determined through Rutherford backscattering spectroscopy (RBS) appeared to be independent of the laser fluence. By assuming a direct band gap transition, the band gap values of 3.36, 3.34 and 3.31 eV were obtained for corresponding laser fluence of 1, 1.7 and 2.7 J cm{sup -2}, respectively. Compared with the reported undoped ZnO band gap value of 3.37 eV, it is conjectured that the observed low band gap values obtained in this study may be attributable to tungsten incorporation in the films as well as the increase in laser fluence. The high transparency makes the films useful as optical windows while the high band gap values support the idea that the films could be good candidates for optoelectronic applications.

  19. A photoelectrochemical study of CdS modified TiO{sub 2} nanotube arrays as photoanodes for cathodic protection of stainless steel

    Energy Technology Data Exchange (ETDEWEB)

    L, Jing; Lin Changjian, E-mail: cjlin@xmu.edu.cn; Li Juntao; Lin Zequan

    2011-06-01

    An electrodeposited CdS nanoparticles-modified highly-ordered TiO{sub 2} nanotube arrays (CdS-TNs) photoelectrode and its performance of photocathodic protection are reported. The self-organized TiO{sub 2} nanotube arrays are fabricated by electrochemical anodization in an organic-inorganic mixed electrolyte and sensitized with CdS nanoparticles by electrodeposition via a single-step direct current. The morphology, crystalline phase, and composition of the CdS-TNs films were characterized systematically by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, and ultraviolet-visible (UV-Vis) spectroscopy, respectively. The photoelectrochemical performances of the CdS-TNs film under illumination and dark conditions in 0.5 M NaCl solution were evaluated through the electrochemical measurements. It is indicated that the TNs incorporated by CdS effectively harvest solar light in the UV as well as the visible light (up to 480 nm) region. It is supposed that the high photoelectro-response activity of the CdS-TNs is attributed to the increased efficiency of charge separation and transport of electrons. The electrode potentials of 304 stainless steel coupled with the CdS-TNs is found to be negatively shifted for about 246 mV and 215 mV under UV and white light irradiation, respectively, which can be remained for 24 h even in darkness. It is implied that the CdS-TNs are able to effectively function a photogenerated cathodic protection for metals both under the UV and visible light illumination.

  20. The CDS and the Government Bonds Markets During the Last Financial Crisis

    Directory of Open Access Journals (Sweden)

    Križanič France

    2015-11-01

    Full Text Available Financial market had developed a special instrument to insure the buyers of bonds. This instrument is so called Credit Default Swap (CDS. The CDS price is a kind of insurance premium that the buyer of CDS pays to the seller of CDS in exchange for compensation of possible loss in operation. Paper analyses causality between CDS price and dynamics of bond yields and influence of macroeconomic factors on it in four selected countries during the last financial crisis. Analysis results show that there is no important macroeconomic variable included in the analysis that preceded the CDS prices connected with German government bonds. Sellers of CDS were apparently aware of the systemic nature of the financial crisis in the euro area. In the case of the United Kingdom, Russia and Slovenia we can observe the unemployment rate as the most important macroeconomic variable that preceded the CDS prices for government bonds.

  1. Real time spectroscopic ellipsometry for analysis and control of thin film polycrystalline semiconductor deposition in photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Koirala, Prakash; Attygalle, Dinesh; Aryal, Puruswottam; Pradhan, Puja; Chen, Jie [Center for Photovoltaics Innovation and Commercialization and Department of Physics and Astronomy, University of Toledo, Toledo, OH 43606 (United States); Marsillac, Sylvain [Department of Electrical and Computer Engineering, Old Dominion University, Norfolk, VA 23529 (United States); Ferlauto, Andre S.; Podraza, Nikolas J.; Collins, Robert W. [Center for Photovoltaics Innovation and Commercialization and Department of Physics and Astronomy, University of Toledo, Toledo, OH 43606 (United States)

    2014-11-28

    Real time spectroscopic ellipsometry (RTSE) from the near-infrared to ultraviolet has been applied for analysis of the deposition of polycrystalline thin films that form the basis of two key photovoltaic heterojunction configurations, superstrate SnO{sub 2}/CdS/CdTe and substrate Mo/Cu(In{sub 1−x}Ga{sub x})Se{sub 2}/CdS. The focus of this work is to develop capabilities for monitoring and controlling the key steps in the fabrication of these device structures. Analysis of RTSE data collected during sputter deposition of CdS on a rough SnO{sub 2} transparent top contact provides the time evolution of the CdS effective thickness, or film volume per unit substrate area. This thickness includes interface, bulk, and surface roughness layer components and affects the CdS/CdTe heterojunction performance and the quantum efficiency of the solar cell in the blue region of the solar spectrum. Similarly, analysis of RTSE data collected during co-evaporation of Cu(In{sub 1−x}Ga{sub x})Se{sub 2} (CIGS; x ∼ 0.3) on a rough Mo back contact provides the evolution of a second phase of Cu{sub 2−x}Se within the CIGS layer. During the last stage of CIGS deposition, the In, Ga, and Se co-evaporants convert this Cu{sub 2−x}Se phase to CIGS, and RTSE identifies the endpoint, specifically the time at which complete conversion occurs and single-phase, large-grain CIGS is obtained in this key stage. - Highlights: • Real time spectroscopic ellipsometry (RTSE) study of CdS and CuIn{sub 1−x}Ga{sub x}Se{sub 2} (CIGS) films. • RTSE during CdS deposition provides the evolution of the CdS effective thickness. • RTSE for CIGS film enables to measure and control the composition and thickness. • The work leads to the development of optical models for processing steps.

  2. Eu{sup 3+} activated GaN thin films grown on sapphire by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Perea-Lopez, Nestor; Tao, Jonathan H. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); McKittrick, Joanna [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Mechanical and Aerospace Engineering, University of California at San Diego, La Jolla, CA 92093 (United States); Talbot, Jan B. [Materials Science and Engineering Program, University of California at San Diego, La Jolla, CA 92093 (United States); Department of Nanoengineering, University of California at San Diego, La Jolla, CA 92093 (United States); Raukas, M.; Laski, J.; Mishra, K.C. [OSRAM SYLVANIA Central Research, Beverly, MA 01915-1068 (United States); Hirata, Gustavo [CCMC-UNAM, Km. 107 Carretera Tijuana-Ensenada, C. P. 22800 Ensenada Baja California (Mexico)

    2008-07-01

    By means of pulsed laser deposition, polycrystalline thin films of GaN doped with Eu{sup 3+} were grown on sapphire. The PLD target was formed in three steps. First, stoichiometric amounts of Ga{sub 2}O{sub 3} and Eu{sub 2}O{sub 3} were dissolved in nitric acid, which produces Ga{sub (1-x)}Eu{sub x} (NO{sub 3}){sub 3}. Next, the nitrates were oxidized in a tubular furnace with O{sub 2} flow forming Ga{sub 2(1-x)}Eu{sub 2x}O{sub 3}. Finally, the oxide powder was flushed with anhydrous ammonia to produce the desired nitride product: Ga{sub (1-x)}Eu{sub x}N. Film growth was done in a stainless steel vacuum chamber partially filled with N{sub 2} (400 mTorr). For the deposit, the 3{sup rd} harmonic of a Nd:YAG laser ({lambda}=355 nm) was focused on the surface of the target. After deposition, annealing in NH{sub 3} was required to produce films with pure GaN hexagonal phase. The luminescence of the film was characterized by photo- and cathodoluminescence. In addition, the chemical and structural properties were analyzed by X-ray diffraction, scanning electron microscopy and energy dispersive spectroscopy. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  3. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  4. Epitaxial single-crystal thin films of MnxTi1-xO2-δ grown on (rutile)TiO2 substrates with pulsed laser deposition: Experiment and theory

    Energy Technology Data Exchange (ETDEWEB)

    Ilton, Eugene S.; Droubay, Timothy C.; Chaka, Anne M.; Kovarik, Libor; Varga, Tamas; Arey, Bruce W.; Kerisit, Sebastien N.

    2015-02-01

    Epitaxial rutile-structured single-crystal MnxTi1-xO2-δ films were synthesized on rutile- (110) and -(001) substrates using pulsed laser deposition. The films were characterized by reflection high-energy electron diffraction (RHEED), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), and aberration-corrected transmission electron microscopy (ACTEM). Under the present conditions, 400oC and PO2 = 20 mTorr, single crystal epitaxial thin films were grown for x = 0.13, where x is the nominal average mole fraction of Mn. In fact, arbitrarily thick films could be grown with near invariant Mn/Ti concentration profiles from the substrate/film interface to the film surface. In contrast, at x = 0.25, Mn became enriched towards the surface and a secondary nano-scale phase formed which appeared to maintain the basic rutile structure but with enhanced z-contrast in the tunnels, or tetrahedral interstitial sites. Ab initio thermodynamic calculations provided quantitative estimates for the destabilizing effect of expanding the β-MnO2 lattice parameters to those of TiO2-rutile, the stabilizing effect of diluting Mn with increasing Ti concentration, and competing reaction pathways.

  5. Cu2ZnSnS4 thin films grown by flash evaporation and subsequent annealing in Ar atmosphere

    International Nuclear Information System (INIS)

    Caballero, R.; Izquierdo-Roca, V.; Merino, J.M.; Friedrich, E.J.; Climent-Font, A.; Saucedo, E.; 2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" data-affiliation=" (IREC, Catalonia Institute for Energy Research, C. Jardins de les Dones de Negre 1, Sant Adriá del Besòs, E-08930 Barcelona (Spain); IN2UB, Departament d'Electrònica, Universitat de Barcelona, C. Martí i Franquès 1, E-08028 Barcelona (Spain))" >Pérez-Rodríguez, A.; León, M.

    2013-01-01

    A study of Cu 2 ZnSnS 4 thin films grown by flash evaporation and subsequently annealed in Ar atmosphere has been carried out. Prior to thin film deposition, Cu 2 ZnSnS 4 bulk compounds with stoichiometric and Zn-rich compositions were synthesized as evaporation sources. The characteristics of the bulk compounds and thin films were investigated by X-ray diffraction, Raman spectroscopy, scanning electron microscopy and elastic back scattering. Cu 2 ZnSnS 4 deposited films contain lower concentrations of Zn than the bulk compounds used as evaporation sources, which is related to a preferential Zn re-evaporation during the deposition process. The desired kesterite composition for solar cell applications was achieved by using a Zn-rich compound as the evaporation source plus a thermal treatment at 620 °C in Ar atmosphere. - Highlights: ► Cu 2 ZnSnS 4 (CZTS) thin films by flash evaporation + annealing in Ar atmosphere ► Difficulty of growing a single phase kesterite material ► X-ray diffraction and Raman spectroscopy to identify the different phases ► Importance of the starting film composition to get the desired CZTS material ► Annealing treatment to obtain the optimum material to be used for CZTS solar cells

  6. Comparative Study of Furnace and Flash Lamp Annealed Silicon Thin Films Grown by Plasma Enhanced Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Maheshwar Shrestha

    2018-03-01

    Full Text Available Low-temperature growth of microcrystalline silicon (mc-Si is attractive for many optoelectronic device applications. This paper reports a detailed comparison of optical properties, microstructure, and morphology of amorphous silicon (a-Si thin films crystallized by furnace annealing and flash lamp annealing (FLA at temperatures below the softening point of glass substrate. The initial a-Si films were grown by plasma enhanced chemical vapor deposition (PECVD. Reflectance measurement indicated characteristic peak in the UV region ~280 nm for the furnace annealed (>550 °C and flash lamp annealed films, which provided evidence of crystallization. The film surface roughness increased with increasing the annealing temperature as well as after the flash lamp annealing. X-ray diffraction (XRD measurement indicated that the as-deposited samples were purely amorphous and after furnace crystallization, the crystallites tended to align in one single direction (202 with uniform size that increased with the annealing temperature. On the other hand, the flash lamp crystalized films had randomly oriented crystallites with different sizes. Raman spectroscopy showed the crystalline volume fraction of 23.5%, 47.3%, and 61.3% for the samples annealed at 550 °C, 650 °C, and with flash lamp, respectively. The flash lamp annealed film was better crystallized with rougher surface compared to furnace annealed ones.

  7. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    Directory of Open Access Journals (Sweden)

    Marsetio Noorprajuda

    2018-04-01

    Full Text Available The effect of oxygen partial pressure (PO2 on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (−c-polarity to aluminum (+c-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002 and (10−12 X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  8. The use of CDS/ISIS software in Africa | Abboy | Innovation

    African Journals Online (AJOL)

    CDS/ISIS is free-of-charge software that has been developed by UNESCO and is distributed through a network of country distributors and more recently through the World Wide Web. CDS/ISIS is ideally suited for the manipulation of bibliographic data. Literature sources reveal that the use of CDS/ISIS is widespread in Africa ...

  9. Revelation of rutile phase by Raman scattering for enhanced photoelectrochemical performance of hydrothermally-grown anatase TiO2 film

    Science.gov (United States)

    Cho, Hsun-Wei; Liao, Kuo-Lun; Yang, Jih-Sheng; Wu, Jih-Jen

    2018-05-01

    Photoelectrochemical (PEC) performances of the anatase TiO2 films hydrothermally grown on the seeded fluorine-doped tin oxide (FTO) substrates are examined in this work. Structural characterizations of the TiO2 films were conducted using Raman scattering spectroscopy. Although there is no obvious rutile peak appearing, an asymmetrical peak centered at ∼399 cm-1 was observed in the Raman spectra of the TiO2 films deposited either on the low-temperature-formed seed layers or with low concentrations of Ti precursor. The asymmetrical Raman shift can be deconvoluted into the B1g mode of anatase and Eg mode of rutile TiO2 peaks centered at ∼399 cm-1 and ∼447 cm-1, respectively. Therefore, a minute quantity of rutile phase was inspected in the anatase film using Raman scattering spectroscopy. With the same light harvesting ability, we found that the PEC performance of the anatase TiO2 film was significantly enhanced as the minute quantity of rutile phase existing in the film. It is ascribed to the formation of the anatase/rutile heterojunction which is beneficial to the charge separation in the photoanode.

  10. First principles calculations of point defect diffusion in CdS buffer layers: Implications for Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4}-based thin-film photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Varley, J. B.; Lordi, V. [Lawrence Livermore National Laboratory, Livermore, California 94550 (United States); He, X.; Rockett, A. [Department of Materials Science and Engineering, University of Illinois at Urbana-Champaign, Urbana, Illinois 61801 (United States)

    2016-01-14

    We investigate point defects in CdS buffer layers that may arise from intermixing with Cu(In,Ga)Se{sub 2} (CIGSe) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTSSe) absorber layers in thin-film photovoltaics (PV). Using hybrid functional calculations, we characterize the migration barriers of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities and assess the activation energies necessary for their diffusion into the bulk of the buffer. We find that Cu, In, and Ga are the most mobile defects in CIGS-derived impurities, with diffusion expected to proceed into the buffer via interstitial-hopping and cadmium vacancy-assisted mechanisms at temperatures ∼400 °C. Cu is predicted to strongly favor migration paths within the basal plane of the wurtzite CdS lattice, which may facilitate defect clustering and ultimately the formation of Cu-rich interfacial phases as observed by energy dispersive x-ray spectroscopic elemental maps in real PV devices. Se, Zn, and Sn defects are found to exhibit much larger activation energies and are not expected to diffuse within the CdS bulk at temperatures compatible with typical PV processing temperatures. Lastly, we find that Na interstitials are expected to exhibit slightly lower activation energies than K interstitials despite having a larger migration barrier. Still, we find both alkali species are expected to diffuse via an interstitially mediated mechanism at slightly higher temperatures than enable In, Ga, and Cu diffusion in the bulk. Our results indicate that processing temperatures in excess of ∼400 °C will lead to more interfacial intermixing with CdS buffer layers in CIGSe devices, and less so for CZTSSe absorbers where only Cu is expected to significantly diffuse into the buffer.

  11. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom)

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films.

  12. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films

  13. The characteristics and residual stress of aluminum nitride films grown by two-stage sputtering of mid-frequency power

    International Nuclear Information System (INIS)

    Lin, T.-C.; Cheng, H.-E.; Tang, S.-H.; Liu, W.-C.; Lee, Antony H.C.

    2008-01-01

    The [0 0 2] oriented aluminum nitride has a high surface acoustic wave speed and high mechanic-electron couple coefficient. It is a potential material for manufacturing piezoelectric devices in high frequency application. The AlN films deposited onto silicon substrates were fabricated by two-stage sputtering process with mid-frequency generator. The results showed that the film did not have well [0 0 2] preferred orientation at 1.0 and 1.5 kW, and exhibited a [0 0 2] preferred orientation at 2.0 kW. The adhesion was poor when the film had a high preferred orientation because the substrate was damaged by high energetic atoms bombardment. A two-stage growth method was investigated in order to get high [0 0 2] preferred orientation and good adhesion. A good performance was obtained at the first stage power of 1.5 kW and the second stage power of 2.0 kW. The film showed a tensile stress state when the film was deposited at 1.0 kW. In contrast, the stress state was changed to compressive when the films were grown at 2.0 kW. The two-stage growth could succeed not only to get a high [0 0 2] preferred orientation but also to develop a reducing global stress film

  14. Structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films grown on glass substrates by solid phase crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Kamisaka, Hideyuki [Department of Chemistry, The University of Tokyo (Japan); Hirose, Yasushi; Hasegawa, Tetsuya [Kanagawa Academy of Science and Technology (KAST), Kawasaki (Japan); Department of Chemistry, The University of Tokyo (Japan)

    2017-03-15

    We investigated the structural, electrical, and optical properties of polycrystalline NbO{sub 2} thin films on glass substrates. The NbO{sub 2} films were crystallized from amorphous precursor films grown by pulsed laser deposition at various oxygen partial pressures (P{sub O2}). The electrical and optical properties of the precursor films systematically changed with P{sub O2}, demonstrating that the oxygen content of the precursor films can be finely controlled with P{sub O2}. The precursors were crystallized into polycrystalline NbO{sub 2} films by annealing under vacuum at 600 C. The NbO{sub 2} films possessed extremely flat surfaces with branching patterns. Even optimized films showed a low resistivity (ρ) of 2 x 10{sup 2} Ω cm, which is much lower than the bulk value of 1 x 10{sup 4} Ω cm, probably because of the inferior crystallinity of the films compared with that of a bulk NbO{sub 2} crystal. Both oxygen-rich and -poor NbO{sub 2} films showed lower ρ than that of the stoichiometric film. The NbO{sub 2} film with the highest ρ showed an indirect bandgap of 0.7 eV. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  15. CdS thin films prepared by continuous wave Nd:YAG laser

    Science.gov (United States)

    Wang, H.; Tenpas, Eric W.; Vuong, Khanh D.; Williams, James A.; Schuesselbauer, E.; Bernstein, R.; Fagan, J. G.; Wang, Xing W.

    1995-08-01

    We report new results on continuous wave Nd:YAG laser deposition of cadmium sulfide thin films. Substrates were soda-lime silicate glass, silica glass, silicon, and copper coated formvar sheets. As deposited films were mixtures of cubic and hexagonal phases, with two different grain sizes. As revealed by SEM micrographs, films had smooth surface morphology. As revealed by TEM analysis, grain sizes were extremely small.

  16. Interface termination and band alignment of epitaxially grown alumina films on Cu-Al alloy

    Science.gov (United States)

    Yoshitake, Michiko; Song, Weijie; Libra, Jiří; Mašek, Karel; Šutara, František; Matolín, Vladimír; Prince, Kevin C.

    2008-02-01

    Epitaxial ultrathin alumina films were grown on a Cu-9 at. % Al(111) substrate by selective oxidation of Al in the alloy in ultrahigh vacuum. The photoelectron spectra of Al 2p and valence band were measured in situ during oxidation. By analyzing multiple peaks of Al 2p, the interface atomic structure was discussed. The energy difference between the Fermi level of the substrate and the valence band maximum of alumina (band offset) was obtained. The relation between the interface atomic structure and the band offset was compared with the reported first-principles calculations. A novel method for controlling the band offset was proposed.

  17. Effects of CdS Buffer Layers on Photoluminescence Properties of Cu2ZnSnS4 Solar Cells

    Directory of Open Access Journals (Sweden)

    A. Le Donne

    2015-01-01

    Full Text Available Cu2ZnSnS4 (CZTS absorber layers grown by sputtering were investigated by photoluminescence before and after the chemical bath deposition of CdS in order to evaluate the possible passivation of point defects by Cd atoms at the absorber/buffer layer interface. According to the literature, a broad emission around 1.21 eV was observed at low temperature under above bandgap excitation of the as-grown CZTS samples. Broad bands at 1.075 eV and 0.85 eV were detected for the first time under below bandgap excitation of the as-grown CZTS samples at low temperature, which were explained in terms of radiative transitions involving point defect-related levels determined in the literature by first-principles calculations. The emissions observed in the as-grown samples were monitored by both above and below bandgap excitations also in standard CZTS solar cells produced on the same layers. The obtained results suggest that, as in the case of Cu(In, GaSe2, Cd atoms passivate point defects at the absorber/buffer layer interface also in CZTS.

  18. Luminescent properties of LuAG:Yb and YAG:Yb single crystalline films grown by Liquid Phase Epitaxy method

    International Nuclear Information System (INIS)

    Zorenko, Yu; Zorenko, T.; Gorbenko, V.; Voznyak, T.; Popielarski, P.; Batentschuk, M.; Osvet, A.; Brabec, Ch; Kolobanov, V.; Spasky, D.; Fedorov, A.

    2016-01-01

    In this work, investigation of the spectroscopic parameters of the luminescence of Yb"3"+ ions in single crystalline films of Lu_3Al_5O_1_2 and Y_3Al_5O_1_2 garnets was performed using the synchrotron radiation excitation with the energy in the range of Yb"3"+ charge transitions (CT), exciton range and the onset of interband transitions of these garnets. The basic spectroscopic parameters of the Yb"3"+ CT luminescence in LuAG and YAG hosts were determined and summarized with taking into account the differences in the band gap structure of these garnets. - Highlights: • Single crystalline films of Yb doped LuAG and YAG garnets were grown by LPE method. • Yb"3"+ luminescence of LuAG:Yb and YAG:Yb film were studied using synchrotron radiation. • Basic parameters of Yb"3"+ charge transfer luminescence in LuAG and YAG were determined.

  19. Mechanistic aspects of biogenic synthesis of CdS nanoparticles using Bacillus licheniformis

    Science.gov (United States)

    Tripathi, R. M.; Singh Bhadwal, Akhshay; Singh, Priti; Shrivastav, Archana; Singh, M. P.; Shrivastav, B. R.

    2014-06-01

    A novel eco-friendly effort has been made for the synthesis of cadmium sulfide (CdS) nanoparticles using bacterial biomass. Although some articles have been reported on CdS nanoparticles synthesis by bacteria, here we have synthesized CdS nanoparticles using non-pathogenic bacteria Bacillus licheniformis MTCC 9555. UV-Vis spectroscopy was carried out to confirm the formation of CdS nanoparticles; the peak occurring at 368 nm gives the indication of synthesis of CdS nanoparticles. The size and morphology of the synthesized CdS nanoparticles were analyzed by transmission electron microscopy (TEM) and the nanoparticles are found to have a narrow size of 5.1 ± 0.5 nm with spherical morphology. Further, the nanoparticles were examined by energy dispersive x-ray (EDX) spectroscopy to identify the presence of elements and confirmed the existence of Cd and S in single nanoparticles. X-ray diffraction (XRD) analysis exhibited 2θ values corresponding to CdS nanocrystals. Fourier transform infrared spectroscopy (FTIR) provides the evidence for the presence of proteins as possible biomolecules responsible for the stabilization of the synthesized CdS nanoparticles.

  20. Synthesis of CdS nanorods in soft template under gamma-irradiation.

    Science.gov (United States)

    Zhao, Bing; Wang, Yanli; Zhang, Haijiao; Jiao, Zheng; Wang, Haobo; Ding, Guoji; Wu, Minghong

    2009-02-01

    CdS nano material which has a band gap of 2.42 eV at room temperature is a typical II-VII semiconductor having many commercial or potential applications, e.g., light-emitting diodes, solar cell and optoelectronic devices. In this paper, we use a new strategy to synthesize CdS nanorods. CdS nanorods were prepared in soft template under gamma-irradiation though the reaction of cadmium sulphide and thiacetamide (TAA). The formation process and characters of CdS nanorods was investigated in detail by transmission electron microscopy (TEM), electron diffraction (ED) pattern, X-ray powder diffraction (XRD), ultraviolet spectrophotometer (UV) and photoluminescence spectrophotometer (PL). In the experiment we proposed that the irradiation of gamma-ray accelerated the formation of S(2-) under acidic condition (pH = 3) and vinyl acetate (VAc) monomer formed pre-organized nano polymer tubules which were used as both templates and nanoreacters for the growth of CdS nanorods. In this process, we have obtained the CdS polycrystal nanorods with PVAc nano tubules and CdS single-crystal nanorods. The result of X-ray powder diffraction confirms that the crystal type of CdS nanorods is cubic F-43 m (216). The results from transmission electron microscopy and electron diffraction show that the concentrations of reactants and the dose rate of gamma-ray are key to produce appropriate CdS nanorods. Relatively low concentrations (Cd2+: 0.008-0.02 mol/L, Cd2+ : S(2-) = 1 : 2) of reactants and long time (1-2 d) of irradiation in low dose rate (6-14 Gy/min) are propitious to form CdS single-crystal nanorods with small diameter (less than 100 nm) and well length (2-5 microm). UV and PL characterizations show the sample have well optical properties.