Retinal Layers Measurements following Silicone Oil Tamponade for Retinal Detachment Surgery.
Jurišić, Darija; Geber, Mia Zorić; Ćavar, Ivan; Utrobičić, Dobrila Karlica
2017-12-19
This study aimed to investigate the influence of silicone oil on the retinal nerve fiber layer (RNFL) thickness in patients with primary rhegmatogenous retinal detachment who underwent vitreoretinal surgery. The study included 47 patients (eyes), who underwent a pars plana vitrectomy with the silicone oil tamponade. The control group included unoperated eye of all participants. Spectral-domain optical coherence tomography (SD-OCT) was used for the measurements of peripapilar and macular RNFL thickness. The average peripapillary RNFL thickness was significantly higher in the silicone oil filled eyes during endotamponade and after its removal. The eyes with elevated IOP had less thickening of the RNFL in comparison to the eyes with normal IOP. Central macular thickness and macular volume were decreased in the silicone oil filled eyes in comparison to the control eyes. In conclusion, silicone oil caused peripapilar RNFL thickening in the vitrectomized eyes during endotamponade and after silicone oil removal.
Instrumental studies on silicone oil adsorption to the surface of intraocular lenses
Energy Technology Data Exchange (ETDEWEB)
Kim, Chun Ho [Lab. of Tissue Engineering, Korea Institute of Radiological and Medical Sciences, Seoul 139-706 (Korea, Republic of); Joo, Choun-Ki [Department of Ophthalmology and Visual Science, Medical College of Catholic University, Seoul 137-701 (Korea, Republic of); Chun, Heung Jae, E-mail: chunhj@catholic.ac.kr [Institute of Cell and Tissue Engineering, Medical College of Catholic University, Seoul 137-701 (Korea, Republic of); Yoo, Bok Ryul [Organosilicone Chemistry Laboratory, Korea Institute of Science and Technology, Seoul 130-650 (Korea, Republic of); Noh, Dong Il; Shim, Young Bock [Research Institute of Biomedical Engineering, Korea Bone Bank Co. Ltd., Seoul 153-782 (Korea, Republic of)
2012-12-01
Highlights: Black-Right-Pointing-Pointer It was found that PHEMA and Acrysof IOLs possess silicone oil repellant ability. Black-Right-Pointing-Pointer The residual silicone oil was detected on the surfaces of PMMA and silicone IOLs. Black-Right-Pointing-Pointer XPS studies showed that silicone oil coverage of PMMA lenses was 12%. Black-Right-Pointing-Pointer Silicone oil covered the entire surface of the silicone IOLs. - Abstract: The purpose of this study was to examine the degree of adherence of silicone oil to various intraocular lenses (IOLs) through comparison of the physico-chemical properties of the oil and IOLs. Four kinds of IOLs comprising various biomaterials were examined: PMMA (720A Trade-Mark-Sign ), PHEMA (IOGEL 1103 Trade-Mark-Sign ), Acrysof (MA60BM Trade-Mark-Sign ), and silicone (SI30NB Trade-Mark-Sign ). Each lens was immersed in silicone oil or carboxylated silicone (CS-PDMS) oil for 72 h. For determination of the changes in chemical and elemental compositions on the surfaces of IOLs caused by the contact with silicone oil, IOLs were washed and rinsed with n-pentane to remove as much of the adsorbed silicone oil as possible, then subjected to Fourier transform infrared spectroscopic (FTIR) and X-ray photoelectron spectroscopic (XPS) analyses. The results of FTIR studies strongly indicate that washing with n-pentane completely removed the adhered silicone oil on the surfaces of PHEMA and Acrysof IOLs, whereas the residual silicone oil was detected on the surfaces of PMMA and silicone IOLs. XPS studies showed that silicone oil coverage of PMMA lenses was 12%, even after washing with n-pentane. In the case of silicone IOLs, the relative O1s peak area of carboxyl group in the residual CS-PDMS oil was found to be {approx}2.7%. Considering that 2.8% carboxyl group-substituted silicone oil was used in the present study, CS-PDMS oil covered the entire surface of the silicone IOLs.
International Nuclear Information System (INIS)
Xin, Ganchao; Wang, Yiping; Sun, Yong; Huang, Qunwu; Zhu, Li
2015-01-01
Highlights: • Electrical performance of MJ solar cells immersed by silicon oil was studied under 500×. • Theoretical cell photocurrent losses caused by silicon oil absorption were estimated. • Cell performance changes operated in silicon oil (1.0–30.0 mm) were analyzed. • Critical silicon oil thickness on top of MJ solar cells was estimated to be 6.3 mm. - Abstract: In order to better apply direct liquid-immersion cooling (LIC) method in temperature control of solar cells in high concentrating photovoltaic (CPV) systems, electrical characteristics of GaInP/GaInAs/Ge triple-junction solar cells immersed in dimethyl silicon oil of 1.0–30.0 mm thickness were studied experimentally under 500 suns and 25 °C. Theoretical photocurrent losses caused by spectrum transmittance decrease from spectral absorption of silicon oil were estimated for three series sub-cells, and an in-depth analysis of the electrical performances changes of the operated cell in silicon oil was performed. Compared with cell performances without liquid-immersion, the conversion efficiency and the maximum output power of the immersed solar cell in silicon oil of 1.0 mm thickness has increased from 39.567% and 19.556 W to 40.572% and 20.083 W respectively. However, the cell electrical performances decrease with increasing silicon oil thickness in the range of 1.0–30.0 mm, and the efficiency and the maximum output power of the cell have become less than those without liquid-immersion when the silicon oil thickness exceeds 6.3 mm
Complications of cataract surgery in eyes filled with silicone oil.
Kanclerz, Piotr; Grzybowski, Andrzej; Schwartz, Stephen G; Lipowski, Paweł
2018-03-01
The aim of the study was to evaluate complications of cataract surgery in eyes filled with silicone oil. This retrospective, noncomparative, consecutive case series analyzed medical files of patients with eyes filled with silicone oil undergoing cataract surgery. Phacoemulsification with posterior chamber intraocular lens implantation was conducted with or without concurrent silicone oil removal. In this study, 121 eyes of 120 patients were included. In 32 eyes (26.4%) with evident silicone oil microemulsification or silicone oil-associated open-angle glaucoma, silicone oil was removed prior to phacoemulsification through a pars plana incision and no cases of posterior capsular rupture occurred during the subsequent cataract surgery. In the remaining 89 eyes, phacoemulsification was performed with silicone oil in the vitreous cavity. In these eyes, the rate of posterior capsular rupture was 9/89 (10.1%) and the rate of silicone oil migration into the anterior chamber through an apparently intact posterior capsule was 5/89 (5.6%). In 94 eyes (77.7%), an intraocular lens was inserted into the capsular bag, in 3 eyes (2.5%) into the sulcus, and in 1 eye (0.8%) a transscleral suturing was performed. In this series, complications related to the silicone oil were not uncommon during cataract surgery. In the majority of patients without evident silicone oil microemulsification or silicone oil-associated open-angle glaucoma, cataract surgery and posterior chamber intraocular lens implantation were performed while leaving the silicone oil in place.
Treatment of acute infectious endophthalmitis by vitrectomy surgery with silicon oil tamponade
Directory of Open Access Journals (Sweden)
Xiao-Dong Han
2015-09-01
Full Text Available AIM: To observe theclinical effect of acute infectious endophthalmitis by vitrectomy surgery with silicon oil tamponade.METHODS:The clinical data of 23 patients(23 eyesdiagnosed with acute endophthalmitis in our hospital from January, 2008 to February, 2013 were retrospectively analyzed, excluding the patients with intraocular foreign body. All the patients were undergone routine closed three-channel vitrectomy with silicon oil, including 6 eyes(complicated with traumatic cataractwith Ⅰ lensectomy and Ⅰ intraocular lens(IOLimplantation, 3 eyes(complicated with traumatic cataractwith Ⅰ lensectomy and Ⅱ IOL implantation, 4 eyes(complicated with cataract during silicon oil tamponadewith Ⅱ lensectomy and Ⅱ IOL implantation, 5 eyes(4 eyes with traumatic endophthalmitis and 1 eye with entophthalmia caused by glaucoma filtering bleb leakingreserved lens, 1 eye(post-cataract surgery entophthalmiawith Ⅰ IOL explantation and Ⅱ IOL implantation, and 4 eyes(post-cataract surgery entophthalmiareserved lens. RESULTS:Within follow-up 6~24mo, inflammation after vitrectomy surgery with silicon oil tamponade was controlled in all the 23 patients(23 eyes. Final visual acuity was improved in 21 eyes(91%. The intraocular pressure(IOPof 2 eyes were over 30mmHg. IOP of 1 eye was controlled after silicon oil removed, and IOP of the other eye after silcon oil extraction was still high and needed to be controlled by IOP lowering drugs. CONCLUSION: The patients of acuteinfectious endophthalmitis should undergo vitrectomy with silicon oil tamponade as early as possible, which can effectively controli endophthalmitis and improve visual acuity.
Clinical study of secondary glaucoma after silicone oil tamponade
Directory of Open Access Journals (Sweden)
Xiao-Chun Chen
2013-12-01
Full Text Available AIM: To evaluate the related risk factors and treatment method of secondary glaucoma after pars plana vitrectomy and silicone oil tamponade.METHODS: The retrospective study analyzed 114 patients(118 eyeswho were treated with pars plana vitrectomy combined with silicone oil tamponade. Lenses were reserved in 78 eyes and were taken away in 40 eyes among which intraocular lens were implanted in 17 eyes. There were 39 eyes in which the filling time of silicone was no more than 6 months while 79 eyes in which the filling time was more than 6 months. The follow-up time was(16.2±4.9months. Primary and secondary glaucoma were excluded before the vitrectomy. Silicone oil glaucoma(SOGwas diagnosed when intraocular pressure(IOPwas measured higher than 21mmHg(1mmHg=0.133kPathree times in succession at least 1 month after silicone oil tamponade and inflammation and neovascular glaucoma should be excluded. Anti-glaucoma drugs were administrated once SOG was diagnosed. If the IOP was not controlled after 2 weeks, the silicone oil was taken away. If the IOP was still high, the anti-glaucoma surgery was operated. The data was analyzed by the SPSS 16.0 software using a binary logistic regression analysis. RESULTS: Among the 32 eyes of SOG, lenses were removed in 16 eyes(50%. The filling time of silicone oil was more than 6 months in 27 eyes(84.4%and silicone oil emulsion happened in 20 eyes(62.5%. IOP returned normal in 17 eyes after administrating anti-glaucoma medication within 2 weeks. Silicone oils were taken away in 15 eyes. However, 4 eyes still had high IOP after surgery; one of them was given anti-glaucoma drugs once more; two of them underwent trabeculectomy and one of them underwent cyclophotocoagulation. The lens conditions(whether it was taken away or not, P=0.024, the silicone oil filling time(whether the time was more than 6 months, P=0.014, and the status of the silicone oil(whether emulsification occurred or not, P=0.000were all found to be
Methods To Determine the Silicone Oil Layer Thickness in Sprayed-On Siliconized Syringes.
Loosli, Viviane; Germershaus, Oliver; Steinberg, Henrik; Dreher, Sascha; Grauschopf, Ulla; Funke, Stefanie
2018-01-01
The silicone lubricant layer in prefilled syringes has been investigated with regards to siliconization process performance, prefilled syringe functionality, and drug product attributes, such as subvisible particle levels, in several studies in the past. However, adequate methods to characterize the silicone oil layer thickness and distribution are limited, and systematic evaluation is missing. In this study, white light interferometry was evaluated to close this gap in method understanding. White light interferometry demonstrated a good accuracy of 93-99% for MgF 2 coated, curved standards covering a thickness range of 115-473 nm. Thickness measurements for sprayed-on siliconized prefilled syringes with different representative silicone oil distribution patterns (homogeneous, pronounced siliconization at flange or needle side, respectively) showed high instrument (0.5%) and analyst precision (4.1%). Different white light interferometry instrument parameters (autofocus, protective shield, syringe barrel dimensions input, type of non-siliconized syringe used as base reference) had no significant impact on the measured average layer thickness. The obtained values from white light interferometry applying a fully developed method (12 radial lines, 50 mm measurement distance, 50 measurements points) were in agreement with orthogonal results from combined white and laser interferometry and 3D-laser scanning microscopy. The investigated syringe batches (lot A and B) exhibited comparable longitudinal silicone oil layer thicknesses ranging from 170-190 nm to 90-100 nm from flange to tip and homogeneously distributed silicone layers over the syringe barrel circumference (110- 135 nm). Empty break-loose (4-4.5 N) and gliding forces (2-2.5 N) were comparably low for both analyzed syringe lots. A silicone oil layer thickness of 100-200 nm was thus sufficient for adequate functionality in this particular study. Filling the syringe with a surrogate solution including short
Cerebral migration of intraocular silicone oil: an MRI study
DEFF Research Database (Denmark)
Kiilgaard, Jens Folke; Milea, Dan; Løgager, Vibeke
2011-01-01
for retinal detachment. Methods: Nineteen patients included in this study were referred for silicone oil removal after uncomplicated retinal detachment surgery using internal silicone oil tamponade. Patients with a previous history of intraocular silicone oil, glaucoma or optic pit were excluded. After...
Early Decomposition of Retained Heavy Silicone Oil Droplets
Directory of Open Access Journals (Sweden)
Touka Banaee
2012-01-01
Full Text Available Purpose: To report a case of early decomposition of retained heavy silicone oil droplets. Case Report: The single highly myopic eye of a 16-year-old boy with history of scleral buckling and buckle revision developed redetachment due to inferior retinal dialysis. The patient underwent pars plana vitrectomy and injection of heavy silicone oil. Early emulsification of the silicone oil was observed following surgery, which was removed 4 weeks later in another operation. Retained heavy silicone droplets lost their heavier- than-water specific gravity within 2 months together with extensive iris depigmentation, and release of pigment granules into the anterior chamber and vitreous cavity. Conclusion: This case report demonstrates that heavy silicone oil droplets can undergo in vivo chemical decomposition with possible toxic effects on ocular tissues.
Wong , S Chien; Ramkissoon , Yashin D; Lopez , Mauricio; Page , Kristopher; Parkin , Ivan P; Sullivan , Paul M
2009-01-01
Abstract Background / aims: To investigate the effect of hydroxypropylmethylcellulose (HPMC) on the physical interaction (contact angle) between silicone oil and a silicone intraocular lens (IOL). Methods: In vitro experiments were performed, to determine the effect of HPMC (0.5%, 1% or 2%), with or without an additional simple mechanical manoeuvre, on the contact angle of silicone oil at the surface of both silicone and acrylic (control) IOLs. A balanced salt solu...
Thiolated silicone oil: Synthesis, gelling and mucoadhesive properties
Partenhauser, Alexandra; Laffleur, Flavia; Rohrer, Julia; Bernkop-Schnürch, Andreas
2015-01-01
The aim of this study was the development of novel thiolated silicone oils and their evaluation with regard to gelling and mucoadhesive properties. A thiol coupling of 220 ± 14 and 127 ± 33 μmol/g polymer for 3-mercaptopropionic acid (MPA)- and cysteine-coupled silicone oil was determined, respectively. The dynamic viscosity of MPA–silicone raised significantly (p Thiolated silicone oils can be regarded superior in comparison to commonly used silicone oils due to a prolonged retention time in the small intestine as site of action. Gelling and mucoadhesive features are advantageous for antiflatulent as well as mucoprotective biomaterials. Thus, these novel thiomers seem promising for an upgrade of currently available products for the treatment of dyspepsia, reflux oesophagitis and even inflammatory bowel diseases such as ulcerative colitis or Crohn’s disease. PMID:25660565
Zha, Chen; Wang, Changlu; Li, Andrew
2018-02-09
The common bed bug [Cimex lectularius L. (Hemiptera: Cimicidae)] and tropical bed bug [Cimex hemipterus F. (Hemiptera: Cimicidae)] resurged in the United States and many other countries over the past decades. The need for safe and effective bed bug control products propelled the development of numerous 'green insecticides', mostly with essential oils listed as active ingredients. Various inorganic and organic oils also were used for bed bug management. However, there are no published studies on their toxicities against bed bugs. In this study, we screened 18 essential oils, three silicone oils, and paraffin oil (C5-20 paraffins) for their toxicities against bed bugs. All the oils exhibited insecticidal activity in topical assays. Their toxicities varied significantly; all of the evaluated essential oils were less effective than silicone oils and paraffin oil. The LD50 values of the most effective essential oil (blood orange), paraffin oil, and the most effective silicone oil (dodecamethylpentasiloxane) are 0.184 ± 0.018, 0.069 ± 0.012, and 0.036 ± 0.005 mg per bug, respectively. Direct spray of 1% water solution of 3-[hydroxy (polyethyleneoxy) propyl] heptamethyltrisiloxane, the only silicone oil that mixes well with water, resulted in 92% bed bug mortality after 1 d. Results of this study indicate silicone oils and paraffin oil have the potential to be used as safer alternative bed bug control materials. © The Author(s) 2017. Published by Oxford University Press on behalf of Entomological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.
Droplets on posterior surface of intraocular lens in silicone oil filled eye.
Directory of Open Access Journals (Sweden)
Sharma Y
2003-01-01
Full Text Available Silicone oil adherence to silicone IOLs after silicone oil removal is a known complication in pseudophakic patients. Droplet removal is difficult and may require IOL exchange. We describe two cases in which silicone oil droplets were observed early in the postoperative period in PMMA pseudophakic eyes and disappeared during silicone oil-fluid exchange--a phenomenon that has not been reported earlier in human PMMA pseudophakic eyes.
Silicone oil migration along the optic nerve after intraocular tamponade.
Gargallo Vaamonde, Á; Ibáñez Muñoz, D; Salceda Artola, J; Garatea Aznar, P; Zalazar, R; Yanguas Barea, N
2016-11-01
We present a case of silicone oil migration trough the optic nerve in a diabetic patient with retinal detachment and review the etiologic mechanism and clinical implications. Intracranial silicone oil migration is an uncommon complication associated with silicone oil tamponade. Copyright © 2016 Sociedad Española de Oftalmología. Publicado por Elsevier España, S.L.U. All rights reserved.
Some considerations on silicone oil in high-current and energy-disconnecting mechanisms
International Nuclear Information System (INIS)
Vogel, H.F.
1984-03-01
Silicone oil is considered inflammable. The dissociation products generated by an electric arc under silicone oil are known to form a highly explosive mixture with air. We calculate the arc energy required for dissociation to be 32 kJ per liter of gas mixture at standard pressure and temperature. We calculate the arc voltage gradient at a pressure of 50 atm and current density of 1 kA/mm 2 to be 0.5 kV/cm, resulting in an arc voltage of several kV, depending on the arc length. In a multikiloampere arc, the resulting arc power is likely to cause a pressure of many atmospheres in a shock wave
Vision loss associated with the use and removal of intraocular silicone oil
Directory of Open Access Journals (Sweden)
Patrick D Williams
2009-02-01
Full Text Available Patrick D Williams1, Christopher G Fuller1, Ingrid U Scott2, Dwain G Fuller1, Harry W Flynn Jr31Texas Retina Associates, Dallas, TX, USA; 2Departments of Ophthalmology and Health Evaluation Sciences, Penn State College of Medicine, Hershey, PA, USA; 3Department of Ophthalmology, Bascom Palmer Eye Institute, University of Miami Miller School of Medicine, Miami, FL, USAPurpose: To describe vision loss associated with the use or removal of silicone oil retinal tamponade.Methods: Records were reviewed of all patients with a decrease in visual acuity of at least 3 Snellen lines from best acuity with 5000 centistoke silicone oil in place or after removal of silicone oil at a single retina-only practice between 1996 and 2006.Results: Nine patients (6 men, 3 women with a mean age of 48 years (range, 16–61 met study inclusion criteria. Seven patients lost at least three Snellen lines of vision while the silicone oil was in place. Four patients had late modest improvements in acuity when compared to their final recorded Snellen vision before silicone oil removal, however no patients exhibited visual improvement when comparing their final recorded visual acuities after oil removal with best recorded acuities under oil tamponade. Loss of the foveal depression was a consistent feature on optical coherence tomography.Conclusions: Vision loss is a possible complication of silicone oil use and removal. Late visual improvement may occur in some patients. Further research is warranted to elucidate the mechanism(s of vision loss associated with the use or removal of silicone oil.Keywords: retinal tamponade, visual acuity, snellen vision, silicone oil
Nitric oxide levels in the anterior chamber of vitrectomized eyes with silicon oil
Directory of Open Access Journals (Sweden)
Paulo Escarião
2013-10-01
Full Text Available PURPOSE: To investigate the nitric oxide levels in the anterior chamber of eyes who underwent pars plana vitrectomy (PPV with silicone oil. METHODS: Patients who underwent PPV with silicon oil injection, from february 2005 to august 2007, were selected. Nine patients (nine eyes participated in the study (five women and four men. Nitric oxide concentration was quantified after the aspiration of aqueous humor samples during the procedure of silicon oil removal. Data such as: oil emulsification; presence of oil in the anterior chamber; intraocular pressure and time with silicone oil were evaluated. Values of p <0.05 were considered to be statistically significant. RESULTS: A positive correlation between nitric oxide concentration and time with silicon oil in the vitreous cavity (r=0.799 was observed. The nitric oxide concentration was significantly higher (p=0.02 in patients with silicon oil more than 24 months (0.90µmol/ml ± 0.59, n=3 in the vitreous cavity comparing to patients with less than 24 months (0.19µmol/ml ± 0.10, n=6. CONCLUSION: A positive correlation linking silicone oil time in the vitreous cavity with the nitric oxide concentration in the anterior chamber was observed.
Heavy Silicone Oil and Intraocular Inflammation
Directory of Open Access Journals (Sweden)
Francesco Morescalchi
2014-01-01
Full Text Available In the past two decades, many advances have been made in vitrectomy instrumentation, surgical techniques, and the use of different tamponade agents. These agents serve close retinal breaks, confine eventual retinal redetachment, and prevent proliferative vitreoretinopathy (PVR. Long-acting gases and silicone oil are effective internal tamponade agents; however, because their specific gravity is lower than that of the vitreous fluid, they may provide adequate support for the superior retina but lack efficacy for the inferior retina, especially when the fill is subtotal. Thus, a specific role may exist for an internal tamponade agent with a higher specific gravity, such as heavy silicone oils (HSOs, Densiron 68, Oxane HD, HWS 45-300, HWS 46-3000, and HeavySil. Some clinical evidence seems to presume that heavy tamponades are more prone to intraocular inflammation than standard silicone if they remain in the eye for several months. In this review, we discuss the fundamental clinical and biochemical/molecular mechanisms involved in the inflammatory response after the use of heavy tamponade: toxicity due to impurities or instability of the agent, direct toxicity and immunogenicity, oil emulsification, and mechanical injury due to gravity. The physical and chemical properties of various HSOs and their efficacy and safety profiles are also described.
Thiolated silicone oils as adhesive skin protectants for improved barrier function.
Partenhauser, A; Zupančič, O; Rohrer, J; Bonengel, S; Bernkop-Schnürch, A
2016-06-01
The purpose of this study was the evaluation of thiolated silicone oil as novel skin protectant exhibiting prolonged residence time, enhanced barrier function and reinforced occlusivity. Two silicone conjugates were synthesized with mercaptopropionic acid (MPA) and thioglycolic acid (TGA) as thiol ligands. Adhesion, protection against artificial urine and water vapour permeability with both a Payne cup set-up and transepidermal water loss (TEWL) measurements on porcine skin were assessed. Silicone thiomers showed pronounced substantivity on skin with 22.1 ± 6.3% and 39.2 ± 6.7% remaining silicone after 8 h for silicone-TGA and silicone-MPA, respectively, whereas unmodified silicone oil and dimethicone were no longer detectable. In particular, silicone-MPA provided a protective shield against artificial urine penetration with less than 25% leakage within 6 h. An up to 2.5-fold improved water vapour impermeability for silicone-MPA in comparison with unmodified control was discovered with the Payne cup model. In addition, for silicone-MPA a reduced TEWL by two-thirds corresponding to non-thiolated control was determined for up to 8 h. Thiolation of silicone oil leads to enhanced skin adhesiveness and barrier function, which is a major advantage compared to commonly used silicones and might thus be a promising treatment modality for various topical applications. © 2015 Society of Cosmetic Scientists and the Société Française de Cosmétologie.
Experimental Study of Silicon Oil Effect on Two-Phase Closed Thermosyphon
Energy Technology Data Exchange (ETDEWEB)
Jung, Jun Yeong; Jeong, Yong Hoon [KAIST, Daejeon (Korea, Republic of)
2015-05-15
Two-phase closed thermosyphon (TPCT) is vertically oriented wickless heat pipe that has working fluid in the interior. The TPCT transports a large amount of heat from evaporator to condenser by phase change of working fluid, and the working fluid passively returns to evaporator by gravity. Due to these advantages of the TPCT, the TPCT is considered as method of PRHR (Passive Residual Heat Removal) system in nuclear system. Parametric studies have done to investigate the heat transfer characteristics of the TPCT. Different working fluids such as water, ethanol, methanol and acetone were used at various filling ratios and at different operating temperatures to find maximum heat transport capabilities of TPCT. Effect of heat transfer rate, filling ratio and aspect ratio were investigated. Inclined angle effect was investigated at several filling ratios and working fluids. This study is interested in silicon oil effect on the TPCT. To carry out the experiment, experimental apparatus is designed and manufactured. In design process, the TPCT operation limit is considered This study is interested in silicon oil effect on the TPCT. Experiments were carried out at three oil weight percent with three input power. Effect of oil on the TPCT is evaluated by inner wall temperature distribution and thermal resistance. In this study, silicon oil effect on TPCT was investigated. The TPCT was operated with several oil weight percent and input power. From experiment, overall, the silicon oil reduced evaporator thermal performance, but enhanced condenser thermal performance. However, the TPCT total thermal performance was reduced by 100 c St silicon oil.
Experimental Study of Silicon Oil Effect on Two-Phase Closed Thermosyphon
International Nuclear Information System (INIS)
Jung, Jun Yeong; Jeong, Yong Hoon
2015-01-01
Two-phase closed thermosyphon (TPCT) is vertically oriented wickless heat pipe that has working fluid in the interior. The TPCT transports a large amount of heat from evaporator to condenser by phase change of working fluid, and the working fluid passively returns to evaporator by gravity. Due to these advantages of the TPCT, the TPCT is considered as method of PRHR (Passive Residual Heat Removal) system in nuclear system. Parametric studies have done to investigate the heat transfer characteristics of the TPCT. Different working fluids such as water, ethanol, methanol and acetone were used at various filling ratios and at different operating temperatures to find maximum heat transport capabilities of TPCT. Effect of heat transfer rate, filling ratio and aspect ratio were investigated. Inclined angle effect was investigated at several filling ratios and working fluids. This study is interested in silicon oil effect on the TPCT. To carry out the experiment, experimental apparatus is designed and manufactured. In design process, the TPCT operation limit is considered This study is interested in silicon oil effect on the TPCT. Experiments were carried out at three oil weight percent with three input power. Effect of oil on the TPCT is evaluated by inner wall temperature distribution and thermal resistance. In this study, silicon oil effect on TPCT was investigated. The TPCT was operated with several oil weight percent and input power. From experiment, overall, the silicon oil reduced evaporator thermal performance, but enhanced condenser thermal performance. However, the TPCT total thermal performance was reduced by 100 c St silicon oil
Arici, Ceyhun; Aras, Cengiz; Tokman, Hrisi Bahar; Torun, Muzeyyen Mamal
2016-01-01
To investigate the in vitro antimicrobial activity of silicone oil against anaerobic agents, specifically Propionibacterium acnes, Peptostreptococcus spp., Peptostreptococcus anaerobius, Bacteroides fragilis, Fuobacterium spp., and Clostridium tertium. A 0.5 McFarland turbidity of Propionibacterium acnes, Peptostreptococcus spp., Peptostreptococcus anaerobius, Bacteroides fragilis, Fuobacterium spp., and Clostridium tertium was prepared, and 0.1 mL was inoculated into 0.9 mL of silicone oil. Control inoculations were performed in anaerobic blood agar and fluid thioglycollate medium without silicone oil. Propionibacterium acnes retained their viability on the 3rd day in the presence of silicone oil. In total, 9.7 × 10(6) colonies were enumerated from 1 mL of silicone oil. After a prolonged incubation of 7 days, the number of colonies observed was 9.2 × 10(6). The other bacteria disappeared after the 3rd day of incubation in silicone oil. Propionibacterium acnes, which is the most common chronic postoperative endophthalmitis agent, is thought to be resistant to silicone oil.
Ultrastructural effects of silicone oil on the clear crystalline lens of the human eye.
Soliman, Wael; Sharaf, Mohamed; Abdelazeem, Khaled; El-Gamal, Dalia; Nafady, Allam
2018-03-01
To evaluate light and electron microscopic changes of the anterior capsule and its epithelium after clear lens extraction of vitrectomized myopic eyes with silicone oil tamponade. This prospective, controlled, non-randomized, interventional study included 20 anterior lens capsular specimens that were excised during combined clear lens extraction and silicone oil removal from previously vitrectomized highly myopic patients with silicone oil tamponade for previous retinal detachment surgeries. The specimens were examined via light microscopy and electron microscopy and compared with 20 anterior capsule specimens removed during clear lens extraction of non-vitrectomized highly myopic eyes. Light microscopic examination of clear lens anterior capsule specimens of vitrectomized myopic eyes filled with silicone oil showed relatively more flat cells with irregular outline of lens' epithelial cells with wide intercellular spaces, deeply stained nuclei, and multiple intracytoplasmic vacuoles. Scanning electron microscopy revealed collagenous surfaces filled with multiple pits, depressions, and abnormal deposits. Transmission electron microscopy revealed lens epithelial cells with apoptotic changes, many cytoplasmic vacuoles, and filopodia-like protrusions between lens epithelial cells and the capsule. Epithelial proliferation and multilayering were also observed. silicone oil may play a role in the development of apoptotic and histopathological changes in clear lens epithelial cells. Clarity of the lens at the time of silicone oil removal does not indicate an absence of cataractous changes. We found justification of combined clear lens extraction and silicone oil removal or combined phacovitrectomy when silicone oil injection is planned, but further long-term studies with larger patient groups are required.
Energy Technology Data Exchange (ETDEWEB)
Luo, Bingcheng; Wang, Xiaohui, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn; Li, Longtu, E-mail: wxh@tsinghua.edu.cn, E-mail: llt-dms@mail.tsinghua.edu.cn [State Key Laboratory of New Ceramics and Fine Processing, School of Materials Science and Engineering, Tsinghua University, Beijing 100084 (China); Sun, Hui [Aero-Engine Control System Institute, Aviation Industry Corporation of China, Jiangsu, Wuxi 214063 (China)
2016-06-13
Silicone oil modified poly(vinylidene fluoride-co-hexafluoropropylene) (P(VDF-HFP)) films were fabricated by the blending, casting, and hot-molding methods. The dielectric constant was increased for the 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films, while the dielectric loss for all blend films are decreased. D-E loops of 7.4 wt. % and 17.0 wt. % silicone oil modified P(VDF-HFP) films become slimmer than the pristine P(VDF-HFP) films. The maximum discharged energy density of 10.3 J/cm{sup 3} was obtained in 7.4 wt. % silicone oil modified P(VDF-HFP) films at the external electric field of 398 kV/mm. The Gibbs energy, miscibility, and phase behavior of binary mixture of P(VDF-HFP) silicone oil were investigated using molecular simulations and the extended Flory–Huggins model revealing favorable interactions and compatibility between P(VDF-HFP) and silicone oil.
Massive Silicone Oil Migration into the Subconjunctival Space: A Leakage Mechanism Dilemma
Directory of Open Access Journals (Sweden)
Jesús Téllez
2018-05-01
Full Text Available Purpose: To report a case in which an early, massive silicone oil migration into the subconjunctival space occurred in a patient after sutureless vitrectomy with the presence of a previously implanted pars plana glaucoma drainage device. Case Report: An 80-year-old man presented with neovascular glaucoma secondary to a proliferative diabetic retinopathy in his left eye. After an intracamerular bevacizumab injection and panretinal photocoagulation, a 23-gauge pars plana vitrectomy (PPV combined with a superotemporal Ahmed pars plana glaucoma valve implantation was performed. Afterwards, the patient underwent a new 23-gauge PPV for a dense vitreous hemorrhage. Intravitreal 1,000 centistokes silicone oil was placed to prevent recurrent intraocular bleeding. No sutures were performed. In the first postoperative month, a massive migration of silicone oil into the 360° subconjunctival space was noted until no intraocular silicone oil was observed. Conclusions: We discuss the possible leakage mechanisms in this particular case.
DEFF Research Database (Denmark)
Laugesen, Caroline S; Steffansen, Bente; Scherfig, Erik
2005-01-01
PURPOSE: To examine the in vivo pharmacokinetics of intravitreal 5-Fluorouracil (5-FU) following tamponade with 5-FU prodrug silicone oil formulations. METHOD: Two different alkoxycarbonyl 5-FU prodrugs denoted C12 and C18 were synthesized and formulated as silicone oil suspensions. A total of 26...
Oil Contact Angles in a Water-Decane-Silicon Dioxide System: Effects of Surface Charge.
Xu, Shijing; Wang, Jingyao; Wu, Jiazhong; Liu, Qingjie; Sun, Chengzhen; Bai, Bofeng
2018-04-19
Oil wettability in the water-oil-rock systems is very sensitive to the evolution of surface charges on the rock surfaces induced by the adsorption of ions and other chemical agents in water flooding. Through a set of large-scale molecular dynamics simulations, we reveal the effects of surface charge on the oil contact angles in an ideal water-decane-silicon dioxide system. The results show that the contact angles of oil nano-droplets have a great dependence on the surface charges. As the surface charge density exceeds a critical value of 0.992 e/nm 2 , the contact angle reaches up to 78.8° and the water-wet state is very apparent. The variation of contact angles can be confirmed from the number density distributions of oil molecules. With increasing the surface charge density, the adsorption of oil molecules weakens and the contact areas between nano-droplets and silicon dioxide surface are reduced. In addition, the number density distributions, RDF distributions, and molecular orientations indicate that the oil molecules are adsorbed on the silicon dioxide surface layer-by-layer with an orientation parallel to the surface. However, the layered structure of oil molecules near the silicon dioxide surface becomes more and more obscure at higher surface charge densities.
Factors having implications on re-retinal detachments after silicone oil removal
Directory of Open Access Journals (Sweden)
Manish P Nagpal
2012-01-01
Full Text Available Aim: To investigate factors having implications on re-retinal detachments (reRD after silicone oil removal (SOR. Materials and Methods: A retroprospective study of 412 eyes (with attached retina after vitrectomy with silicone oil for rhegmatogenous RD which underwent SOR was conducted and were followed up for six months after SOR. They were studied for various factors like encirclage, 360° retinopexy, oil emulsification at the time of SOR, duration of oil tamponade and previous retinal surgeries prior to SOR with their implications on reRD after SOR. Results: Encirclage, 360 laser barrage, both, emulsification of oil (P=0.021, P=0.001, P=0.001, P=0.001, respectively were associated with lower risks of reRD after SOR whereas duration of tamponade (P=0.980 was not. Conclusion: Factors like encirclage, 360 retinopexy, their combination, oil emulsification reduced the incidence of re RD after SOR whereas duration of tamponade does not have statistical significant correlation with re RD after SOR.
Supercritical fluid extraction of silicone oil from uranate microspheres prepared by sol-gel process
International Nuclear Information System (INIS)
Kumar, R.; Venkatakrishnan, R.; Sivaraman, N.; Srinivasan, T.G.; Vasudeva Rao, P.R.
2005-01-01
Supercritical fluid extraction of silicone oil from urania microspheres prepared through sol-gel route was investigated. The influence of pressure, temperature, and flow rate on the extraction efficiency was studied. Experimental conditions were optimised for the complete removal of silicone oil from urania microspheres. (author)
Electrolyte composition of retro-oil fluid and silicone oil-related visual loss
Scheerlinck, Laura M E; Kuiper, Jonas J W; Liem, Albert T A; Schellekens, Peter A W J F; van Leeuwen, Redmer
2016-01-01
PURPOSE: Up to one-third of patients with intra-ocular silicone oil (SO) tamponade for complex macula-on retinal detachment may experience an unexplained visual loss during or after SO tamponade. Although the underlying mechanism is unknown, previous studies suggested that accumulation of retinal
Passive Removal of Silicone Oil with Temporal Head Position through Two 23-Gauge Cannulas
Directory of Open Access Journals (Sweden)
Zhong Lin
2016-01-01
Full Text Available Purpose. To report a new approach for removal of silicone oil. Methods. All surgeries were performed using 23-gauge vitrectomy system with two transconjunctival sutureless cannulas. At the beginning, most of the silicone oil was removed by traditional microinvasive vitrectomy system through inferior-temporal cannula. Then, the blood transfusion tube is removed from the inferior-temporal cannula, and the fluid-air exchange is performed. A passive fluid-air exchange was performed to aspirate the residual silicone oil after gradually turning the patient’s head temporally by approximately 90° gradually. Results. After the surgery, all patients had a clear anterior chamber and vitreous cavity on slit lamp and B scan examination, respectively. The mean time taken for silicone oil removal and total surgery was 8.0±1.4 minutes and 12.4±2.5 minutes, respectively. The mean intraocular pressure 1 day, 3 days, 1 week, 1 month, and 3 months after surgery was 9.0±5.8 mmHg, 11.3±7.6 mmHg, 16.1±6.9 mmHg, 17.7±4.8 mmHg, and 17.1±3.5 mmHg, respectively. Conclusion. This new approach may provide a safe and fast method to remove the silicone oil.
Zafar, Shakir; Shakir, Munira; Mahmood, Syed Asaad; Amin, Saima; Iqbal, Zafar
2016-01-01
To compare the efficacy and complications of using 1000-centistoke versus 5000-centistoke silicone oil for complex retinal detachment repair. Case series. LRBT Tertiary Eye Hospital, Karachi, from January 2007 to June 2013. Eighty-five eyes (85 patients) presenting with superior rhegmatogenous retinal detachments associated with PVR grades B and C (involving not more than 3 clock hours) were randomized to either 1000 centistokes (n=44) or 5000 centistokes (n=41) silicone oil group. All patients underwent 23-gauge pars plana vitrectomy surgery with silicone oil intraocular tamponade. Patient data was analysed at 18 months post-operatively. IBM SPSS 21 was used for data analysis. There were 52 male and 33 female patients aged between 22 and 70 years (45.2 ±16.2). After the first surgery, successful reattachment of the retina was achieved in 67 eyes (78.8%); of which 35 eyes were in 1000-centistoke and 32 eyes in 5000-centistoke groups. Mean pre-operative Best Corrected Visual Acuity (BCVA) was 1.63 ±0.54 which was improved to a mean post-operative BCVAof 1.46 ±0.78 (1.42 ±0.74 in 1000-centistoke group; 1.49 ±0.78 in 5000 centistoke group). The 1000-centistoke group had a significantly higher frequency of oil emulsification which necessitated early removal of silicone oil. There were 66 eyes (77%) with at least one complication (34 eyes in 1000-centistoke group; 32 eyes in 5000-centistoke group) including cataract, corneal abnormalities, raised IOP, hypotony, vitreous haemorrhage and retinal redetachment. Although visual and anatomical outcomes were comparable between the two groups, the 1000-centistoke silicone oil group developed early oil emulsification necessitating its early removal.
Directory of Open Access Journals (Sweden)
Mahgoub MM
2017-12-01
Full Text Available Mohamed M Mahgoub,1,2 Maged M Roshdy,1,2 Sherine S Wahba1,2 1Ophthalmology Department, Ain Shams University, 2Ophthalmology Department, Al Watany Eye Hospital, Cairo, Egypt Purpose: To study the subconjunctival silicone oil (SCSO parameters associated with dellen formation following microincision vitrectomy (MIV.Patients and methods: This was a case–control study of 20 eyes with SCSO following MIV. Ten of them suffered postoperative dellen formation. Dellen occurrence, their sizes, number of loci, and distance between SCSO and the limbus were recorded. The outcome after silicone-oil removal was evaluated.Results: The SCSO was at 3.1±1.2 mm from the limbus. All cases with dellen had SCSO within 2 mm of the limbus (P<0.001. No other factors were found to be associated with dellen formation (age, P=0.414; sex, P=0.656; laterality, P=1; indication for pars plana vitrectomy, P=0.655; instrument gauge, P=0.211; circumference involved by SCSO, P=0.252. All the dellen healed after surgical evacuation of SCSO (P<0.001, leaving scars.Conclusion: Dellen can be associated with MIV secondary to SCSO near the limbus. Resolution with scarring occurred following SCSO evacuation. Therefore, SCSO should be evacuated as early as possible to avoid long-term peripheral corneal morbidity. Keywords: silicone oil-removal, subconjunctival silicone oil, dellen, vitrectomy
Directory of Open Access Journals (Sweden)
Tina Xirou
2011-05-01
Full Text Available Purpose: To report a case of macular hole closure after the exchange of a silicone-oil tamponade with gas C3F8 14%. Method: A 64-year-old female patient with a stage IV macular hole underwent a three-port pars-plana vitrectomy and internal limiting membrane peeling. Due to the patient’s chronic illness (respiratory problems, a silicone-oil tamponade was preferred. However, the macula hole was still flat opened four months postoperatively. Therefore, the patient underwent an exchange of silicone oil with gas C3F8 14%. No face-down position was advised postoperatively due to her health problems. Results: Macular hole closure was confirmed with optical coherence tomography six weeks after exchanging the silicone oil with gas. Conclusions: Macular hole surgery using a silicone-oil tamponade has been proposed as treatment of choice for patients unable to posture. In our case, the use of a long-acting gas (C3F8 14%, even without posturing, proved to be more effective.
Nazir, Habiba; Lv, Piping; Wang, Lianyan; Lian, Guoping; Zhu, Shiping; Ma, Guanghui
2011-12-01
Emulsions are commonly used in foods, pharmaceuticals and home-personal-care products. For emulsion based products, it is highly desirable to control the droplet size distribution to improve storage stability, appearance and in-use property. We report preparation of uniform-sized silicone oil microemulsions with different droplets diameters (1.4-40.0 μm) using SPG membrane emulsification technique. These microemulsions were then added into model shampoos and conditioners to investigate the effects of size, uniformity, and storage stability on silicone oil deposition on hair surface. We observed much improved storage stability of uniform-sized microemulsions when the droplets diameter was ≤22.7 μm. The uniform-sized microemulsion of 40.0 μm was less stable but still more stable than non-uniform sized microemulsions prepared by conventional homogenizer. The results clearly indicated that uniform-sized droplets enhanced the deposition of silicone oil on hair and deposition increased with decreasing droplet size. Hair switches washed with small uniform-sized droplets had lower values of coefficient of friction compared with those washed with larger uniform and non-uniform droplets. Moreover the addition of alginate thickener in the shampoos and conditioners further enhanced the deposition of silicone oil on hair. The good correlation between silicone oil droplets stability, deposition on hair and resultant friction of hair support that droplet size and uniformity are important factors for controlling the stability and deposition property of emulsion based products such as shampoo and conditioner. Copyright © 2011 Elsevier Inc. All rights reserved.
Directory of Open Access Journals (Sweden)
Xiao Zheng
2013-08-01
Full Text Available AIM: To explore the relations of clinical efficacy and surgical timing of vitrectomy combined with silicone oil tamponade for severe infectious traumatized endophthalmitis.METHODS: Totally 59 patients(59 eyeswith severe infectious traumatized endophthalmitis accepted vitrectomy combined with silicone oil tamponade. Patients were divided into two groups by different surgical timing. Group A accepted operation in 24 hours. Group B accepted operation 24 hours after injury. Retina status during operation, clinical efficacy and best-corrected visual acuity were observed and recorded. RESULTS: The cases of early operation group got lesser retina injury and higher efficacy and better best-corrected visual acuity. CONCLUSION:Vitrectomy combined with silicone oil tamponade is an effective way to cure severe infected traumatized endophthalmitis. Early surgical treatment is the key to achieve better effect.
Silicone oil removal after rhegmatogenous retinal detachment: comparing techniques
Tan, H. S.; Dell'omo, R.; Mura, M.
2012-01-01
Purpose To assess the outcome of silicone oil removal after rhegmatogenous retinal detachment (RRD) surgery, and to compare results of a two-port (infusion-extraction) versus a three-port (full vitrectomy) approach. Methods Primary outcome measure was the rate of redetachment. Secondary outcome
Nanoparticle enhanced evaporation of liquids: A case study of silicone oil and water
Zhang, Wenbin; Shen, Rong; Lu, Kunquan; Ji, Ailing; Cao, Zexian
2012-01-01
Evaporation is a fundamental physical phenomenon, of which many challenging questions remain unanswered. Enhanced evaporation of liquids in some occasions is of enormous practical significance. Here we report the enhanced evaporation of the nearly permanently stable silicone oil by dispersing with nanopariticles including CaTiO3, anatase and rutile TiO2. An evaporation rate as high as 1.33 mg/h·cm2 was measured in silicone oil when dispersed with 100 nm-sized CaTiO3 particles. Dependence of e...
Felsovalyi, Flora; Janvier, Sébastien; Jouffray, Sébastien; Soukiassian, Hervé; Mangiagalli, Paolo
2012-12-01
Recent increased regulatory scrutiny concerning subvisible particulates (SbVPs) in parenteral formulations of biologics has led to the publication of numerous articles about the sources, characteristics, implications, and approaches to monitoring and detecting SbVPs. Despite varying opinions on the level of associated risks and method of regulation, nearly all industry scientists and regulators agree on the need for monitoring and reporting visible and subvisible particles. As prefillable drug delivery systems have become a prominent packaging option, silicone oil, a common primary packaging lubricant, may play a role in the appearance of particles. The goal of this article is to complement the current SbVP knowledge base with new insights into the evolution of silicone-oil-related particulates and their interactions with components in prefillable systems. We propose a "toolbox" for improved silicone-oil-related particulate detection and enumeration, and discuss the benefits and limitations of approaches for lowering and controlling silicone oil release in parenterals. Finally, we present surface cross-linking of silicone as the recommended solution for achieving significant SbVP reduction without negatively affecting functional performance. Copyright © 2012 Wiley Periodicals, Inc.
Silicon Oil DC200(R)5CST as AN Alternative Coolant for Cvd Diamond Windows
Vaccaro, A.; Aiello, G.; Meier, A.; Schere, T.; Schreck, S.; Spaeh, P.; Strauss, D.; Gantenbein, G.
2011-02-01
The production of high power mm-wave radiation is a key technology in large fusion devices, since it is required for localized plasma heating and current drive. Transmission windows are necessary to keep the vacuum in the gyrotron system and also act as tritium barriers. With its excellent optical, thermal and mechanical properties, synthetic CVD (Chemical Vapor Deposition) diamond is the state of the art material for the cw transmission of the mm-wave beams produced by high power gyrotrons. The gyrotrons foreseen for the W7-X stellarator are designed for cw operation with 1 MW output power at 140 GHz. The output window unit is designed by TED (Thales Electron Devices, France) using a single edge circumferentially cooled CVD-diamond disc with an aperture of 88 mm. The window unit is cooled by de-ionized water which is considered as chemical aggressive and might cause corrosion in particular at the brazing. The use of a different coolant such as silicon oil could prevent this issue. The cooling circuit has been simulated by steady-state CFD analysis. A total power generation of 1 kW (RF transmission losses) with pure Gaussian distribution has been assumed for the diamond disc. The performance of both water and the industrial silicon oil DC200(R) have been investigated and compared with a focus on the temperature distribution on the disc, the pressure drop across the cooling path and the heat flux distribution. Although the silicon oil has a higher viscosity (~x5), lower heat capacity (~x1/2) and lower thermal conductivity (~x1/3), it has proven to be a good candidate as alternative to water.
Dwell Time and Surface Parameter Effects on Removal of Silicone Oil From D6ac Steel Using TCA
Boothe, R. E.
2003-01-01
This study was conducted to evaluate the impact of dwell time, surface roughness, and the surface activation state on 1,1,1-trichloroethane's (TCA's) effectiveness for removing silicone oil from D6ac steel. Silicone-contaminated test articles were washed with TCA solvent, and then the surfaces were analyzed for residue, using Fourier transform infrared spectroscopy. The predominant factor affecting the ability to remove the silicone oil was surface roughness.
Directory of Open Access Journals (Sweden)
Assieh Behdad
2015-12-01
Full Text Available Salinity reduced the efficiency of agricultural production like maize as one of the most important cereals for food and oil for humans. Silicon is the second most abundant element in the soil and alleviates the biotic and abiotic stresses in plants. The aim of this study is evaluate the effect of silicon and nanosilicon on improvement of salt stress in maize (Zea mays. For this propose, the interaction between the effects of different levels of salinity (0 and 100 mM, silicon and nanosilicon (50, 100 and 150 mg /mL was studied in completely randomized block design with factorial experiments and with three replications. The results showed that salinity significantly decreased root and shoot growth, amount of chlorophyll and carotenoid pigments, protein and potassium contents, compared to control. Treating plants with silicon and nanosilicon caused reduction of salinity effects and increase above indices. Salinity stress also caused a significant increase in proline, anthocyanin and soluble carbohydrate contents, lipid peroxidation, and catalase activity and treatment with silicon and nanosilicon alleviates effects of salt stress and reduced the amount of above indices. 150 mg/mL of nanosilicon showed the maximum effect on diminishing negative effects of salt stress on all examined parameters. So, the use of this element is proposed as alleviator of salt stress on maize.
DEFF Research Database (Denmark)
Buch, H; Vesti Nielsen, N
2000-01-01
We present a man who, after bilateral excimer laser photorefractive keratectomy (PRK) for high myopia in the right eye, had repeated retinal detachment surgery with lensectomy and injection of silicone oil. Visual acuity fluctuated in accordance with significant central corneal thickness diurnal...... variation. The case illustrates the possibility of PRK as a predisposing factor for keratopathy after retinal detachment surgery with silicone injection in an aphakic eye....
Energy Technology Data Exchange (ETDEWEB)
Jarque, Erika A.; Mansur, Claudia R.E. [Universidade Federal do Rio de Janeiro (IMA/UFRJ), RJ (Brazil). Inst. de Macromoleculas Professora Eloisa Mano], e-mails: alegrio@ima.ufrj.br, celias@ima.ufrj.br
2011-07-01
The process of demulsification has great importance in the petroleum industry, since the formation of emulsions is a natural phenomenon in this sector. Several polymers have been used commercially as additives emulsion destabilizing, among them are the block copolymers of poly (ethylene oxide)-poly (propylene oxide) (PEO-PPO). This work aims to study the efficiency of five additives based on silicones polyethers, which have structures in their chains of poly (ethylene oxide) (PEO) or PEO-PPO copolymers. The results show that the addition of these additives in the water / oil reduced the values of interfacial tension of systems. From the testing of gravitational separation water / oil was observed that all the additives promoted the breakdown of water / oil, but those who hold in their structures the chains of block copolymers of PEO-PPO were the most efficient, and that the caused a smaller reduction in the interfacial tensions of these systems. (author)
Rhatigan, Maedbh; McElnea, Elizabeth; Murtagh, Patrick; Stephenson, Kirk; Harris, Elaine; Connell, Paul; Keegan, David
2018-01-01
To report anatomic and visual outcomes following silicone oil removal in a cohort of patients with complex retinal detachment, to determine association between duration of tamponade and outcomes and to compare patients with oil removed and those with oil in situ in terms of demographic, surgical and visual factors. We reported a four years retrospective case series of 143 patients with complex retinal detachments who underwent intraocular silicone oil tamponade. Analysis between anatomic and visual outcomes, baseline demographics, duration of tamponade and number of surgical procedures were carried out using Fisher's exact test and unpaired two-tailed t -test. One hundred and six patients (76.2%) had undergone silicone oil removal at the time of review with 96 patients (90.6%) showing retinal reattachment following oil removal. Duration of tamponade was not associated with final reattachment rate or with a deterioration in best corrected visual acuity (BCVA). Patients with oil removed had a significantly better baseline and final BCVA compared to those under oil tamponade ( P =0.0001, <0.0001 respectively). Anatomic and visual outcomes in this cohort are in keeping with those reported in the literature. Favorable outcomes were seen with oil removal but duration of oil tamponade does not affect final attachment rate with modern surgical techniques and should be managed on a case by case basis.
Acute Central Retinal Artery Occlusion Associated with Intraocular Silicone Oil Tamponade
Directory of Open Access Journals (Sweden)
Mehmet Yasin Teke
2012-05-01
Full Text Available Many systemic and ocular factors may cause acute central retinal artery occlusion (CRAO. Herein, we aimed to describe a case of CRAO due to intraocular silicone oil (SO tamponade. To the best of our knowledge, a case like our has not been reported previously. A 58-yearold male patient had undergone combined pars plana vitrectomy-lensectomy and intraocular SO for lens luxation and vitreus hemorrhage associated with a blunt ocular trauma in his right eye. Two weeks after the surgery, he presented with acute vision loss in the same eye. He was diagnosed with acute CRAO and it should be related with mechanical press or raised intraocular pressure (IOP associated with SO. He was treated by partial removal of SO immediately. In spite of the regression of retina edema, his visual acuity did not improve due to optic atrophy. SO may cause CRAO due to raised IOP and/or its mechanical pressure and this complication must be kept in mind. (Turk J Oph thal mol 2012; 42: 238-40
Spontaneous resolution of macular edema after silicone oil removal
Directory of Open Access Journals (Sweden)
Eyyup Karahan
2014-12-01
Full Text Available AIM:To investigate the macular changes in eyes filled with silicone oil (SO and course of these changes after SO removal.METHODS:A retrospective optical coherence tomography scan review was conducted for twenty-four patients who underwent uncomplicated pars plana vitrectomy with SO tamponade for complex retinal detachments were detected with optical coherence tomography before, and one week, one month and three months after SO removal.RESULTS:Mean duration of SO tamponade was 3.6±1.0mo (range:3-7mo. Cystoid macular edema (CME was detected in 3 eyes before SO removal. Submacular fluid was represented in 1 eye before silicone SO removal. Resolution of CME and submacular fluid was achieved 1mo after SO removal in all eyes. Mean best corrected visual acuity (BCVA was 1.15±0.65 (range, hand movement to 0.2 before SO removal in the eyes without macular changes. After SO removal, the mean BCVA values at 1wk and 1 and 3mo, and 0.82±0.23, 0.76±0.21, and 0.70±0.19, all of which were significantly better than baseline (P=0.030, 0.017, 0.006 respectively. In the eyes with macular CME and subretinal fluid the mean BCVA was significantly improved at 3mo after SO removal compared with baseline (P=0.037.CONCLUSION:Decreased visual acuity in eyes filled with SO could be caused by macular complications due to SO. CME and subretinal fluid may resolve without any additional macular surgery after SO removal.
Directory of Open Access Journals (Sweden)
Do T
2014-08-01
Full Text Available Tan Do,1 Do N Hon,1 Tin Aung,2 Nguyen DTN Hien,1 Claude L Cowan Jr3 1Vietnam National Institute of Ophthalmology, Hanoi, Vietnam; 2Singapore National Eye Center, Singapore; 3Georgetown University Medical Center, Washington, DC, USA Background/aims: To compare treatment outcomes with and without silicone oil tamponade in patients undergoing pars plana vitrectomy (PPV for severe endogenous bacterial endophthalmitis (BEE. Methods: One hundred and eight consecutive patients with severe BEE (defined by the absence of pupil red reflex at presentation and/or dense vitreous opacity on ultrasound and no improvement after 24–36 hours of medical treatment were randomly assigned to two treatment groups: Group 1, standard PPV with intravitreal antibiotics; and Group 2, PPV with intravitreal antibiotics and silicone tamponade. Overall success was defined as: a visual acuity ≥ count fingers at 1 meter, with an attached retina, and no intraocular oil. Results: Fifty three patients were randomized to Group 1 and 55 patients to Group 2. The mean age of study subjects was 32 years and baseline clinical characteristics were similar in both groups. At the final follow-up visit at 9 months, the overall success rate of Group 2 (67.3% was significantly better than Group 1 (43.4%; P=0.01. There were also fewer devastating complications (such as inoperable retinal detachment, phthisis bulbi in Group 2 compared with Group 1 (21.8% versus 43.4%; P=0.01. Conclusion: The outcome at 9 months of patients with BEE treated by vitrectomy with silicone oil was better than those treated by vitrectomy alone. Keywords: bacterial endogenous endophthalmitis, vitrectomy, silicone oil endotamponade, randomized controlled trial
Directory of Open Access Journals (Sweden)
Hai-Jun Yang
2015-01-01
Full Text Available AIM:To evaluate the efficacy and safety of silicone oil removal with a 23G transconjunctival sutureless vitrectomy system linked disposable transfusion tube and self-made suction tip. METHODS: The suction tip was made with a 23G infusion tube be cut from the end of the 5mm. It was used to connect the disposable transfusion tube and 23G puncture cannula. The disposable transfusion tube which was cut from the end of the MaiFei's pipe was connected with the effusion box of the vitreous cutter. Intraocular silicone oil was proactive suction and removed through two incisions on pars plana ciliaris with the vitreous cutter suction system. RESULTS: Only 13 cases(9.8%need suture puncture ports in 132 cases in the operation. Operation time was 7-28min. The average operation time was 15.1± 6.2min. In early postoperative, there were 107 cases(81.1%appeared lower intraocular pressure(CONCLUSION: The surgery that silicone oil is removed through two incisions with a 23G transconjunctival sutureless vitrectomy system linked disposable transfusion tube and self-made suction tip has the advantages of safe, effective, fast, economic, and it is worthy of popularization and application in clinical.
Production of monodispersed Oil-in Water Emulsion Using Crossflow-Type Silicon Microchannel Plate
Energy Technology Data Exchange (ETDEWEB)
Kawakatsu, Takahiro.; Komori, Hideaki.; Yonemoto, Toshikuni. [Tohoku University, Miyagi (Japan). Chemical Engineering Department; Nakajima, Mitsutoshi.; Kikuchi, Yuji. [National Food Research Institute, Ibaraki (Japan)
1999-04-01
A novel method for continuous productin of monodispersed oil-in-water (O/W) emulsion is developed using acrossflow-type silicaon microchannel plate. On the single crystal silicon plate, a liquid flow path for continuous phase was made, and at each side of th wall of the path an array of regular-sized slits was precisely fabricated. A flat glass plate was tightly attached on the microchannel plate to cover the top of the slits to form the array of microchannels. Regular-sized oil (triolein) droplets were generated by squeezing the oil through the microchannels into the continuous-phase water (0.3 wt% sodium lauryl sulfate solutin) flowing in the liquid path. Oil droplet size is significantly dependent on the microchannel structure, which is identified with the microchannel width, height, and the length of the terrace (a flat area at the microchannel outlet). Three types of microchannel plates having different microchannel structures generate monodispersed emulsions of different average droplet sizes, 16,20, and 48 {mu}m at the watr flow rate of 1.4x10{sup -2}mL{center_dot}min{sup -1}. For the microchannel plate which generates large droplets of 48 {mu}m, increasing the flow rate causes decreasing droplet size. However, for the microchannel plate which generates small droplets of 16 or 20 {mu}m, the size is not affected by the flow rate within the range from 1.4x10{sup -2}to 2.4 mL{center_dot}min{sup -1}. In every case, the droplet size distribution is narrow, and the geometric standard deviation is 1.03 or less. (author)
Directory of Open Access Journals (Sweden)
Miki M
2013-02-01
Full Text Available Michiko Miki, Mari Ueki, Tetsuya Sugiyama, Shota Kojima, Tsunehiko IkedaDepartment of Ophthalmology, Osaka Medical College, Takatsuki, JapanPurpose: To report the short-term efficacy and safety of the transfer of an Ahmed™ glaucoma valve (AGV™ tube from the vitreous into the anterior chamber, in a patient with neovascular glaucoma who had undergone pars plana AGV™ implantation and ultimately needed a silicone oil tamponade.Case: A 41-year-old male with proliferative diabetic retinopathy in both eyes was referred to us for treatment in December 2009. Although the patient previously underwent several surgeries, he ultimately lost vision in his right eye. His left eye suffered from neovascular glaucoma after undergoing a pars plana vitrectomy for tractional retinal detachment. After several vitreous and glaucoma surgeries, the patient underwent implantation of a pars plana AGV™. Postoperatively, although his intraocular pressure was stabilized at approximately 10 mmHg, he had repeated vitreous hemorrhage and hyphema without improvement. He ultimately underwent PPV with a silicone oil tamponade and at the same time, the AGV™ tube was pulled out from the vitreous and inserted into the anterior chamber in order to avoid complications caused by the silicone oil.Results: At 19 months postoperative, the patient’s intraocular pressure had stabilized at 10 mmHg with no recurrence of vitreous hemorrhage and hyphema. Eventually, he lost vision in his left eye because of cerebral hemorrhage.Conclusion: The findings show that insertion of a pars plana AGV™ tube into the anterior chamber in a patient undergoing a silicone oil tamponade is both effective and safe in the short-term.Keyword: tube implantation, glaucoma surgery, tube transfer, pars plana, proliferative diabetic retinopathy, intraocular pressure
Oil-in-oil emulsions stabilised solely by solid particles.
Binks, Bernard P; Tyowua, Andrew T
2016-01-21
A brief review of the stabilisation of emulsions of two immiscible oils is given. We then describe the use of fumed silica particles coated with either hydrocarbon or fluorocarbon groups in acting as sole stabilisers of emulsions of various vegetable oils with linear silicone oils (PDMS) of different viscosity. Transitional phase inversion of emulsions, containing equal volumes of the two oils, from silicone-in-vegetable (S/V) to vegetable-in-silicone (V/S) occurs upon increasing the hydrophobicity of the particles. Close to inversion, emulsions are stable to coalescence and gravity-induced separation for at least one year. Increasing the viscosity of the silicone oil enables stable S/V emulsions to be prepared even with relatively hydrophilic particles. Predictions of emulsion type from calculated contact angles of a silica particle at the oil-oil interface are in agreement with experiment provided a small polar contribution to the surface energy of the oils is included. We also show that stable multiple emulsions of V/S/V can be prepared in a two-step procedure using two particle types of different hydrophobicity. At fixed particle concentration, catastrophic phase inversion of emulsions from V/S to S/V can be effected by increasing the volume fraction of vegetable oil. Finally, in the case of sunflower oil + 20 cS PDMS, the study is extended to particles other than silica which differ in chemical type, particle size and particle shape. Consistent with the above findings, we find that only sufficiently hydrophobic particles (clay, zinc oxide, silicone, calcium carbonate) can act as efficient V/S emulsion stabilisers.
Alexander, P; Prasad, R; Ang, A; Poulson, A V; Scott, J D; Snead, M P
2008-06-01
For rhegmatogenous retinal detachment, reattachment with a single procedure is associated with better visual outcomes. In the past, silicone oil has been used mostly as a last resort following failed primary surgery. This study evaluates a novel approach to patients at high risk of primary failure, using silicone tamponade as the primary stage of a planned two-stage procedure. We report a series of 140 eyes that underwent primary surgery for rhegmatogenous retinal detachment. Patients at higher risk of surgical failure (eg giant retinal tear, inability to posture, poor view, uncertainty of location of primary break, primary proliferative vitreoretinopathy (PVR), multiple tears with rolled posterior edges, retinoschisis/detachment, staphyloma with macular hole) were managed by a planned staged procedure using primary silicone oil tamponade. This was followed by silicone removal at a later date. Fifty-four eyes underwent scleral buckling alone, with primary success in 52/54 (96%). Fifty-three eyes underwent vitrectomy and gas, achieving primary success in 50/53 (94%). Thirty-three eyes were classified high risk and managed with primary silicone. Silicone was safely removed in 22/25. In eight eyes, silicone was retained without attempt at removal. In total, primary retinal reattachment was achieved in 128 of 140 eyes (91.4%). Of these, 124 (97%) did not require long-term tamponade. Only four eyes (2.9%) developed PVR. A planned two-stage approach to highrisk cases of retinal detachment using primary silicone oil tamponade followed by silicone removal can achieve a high primary reattachment rate with less than 3% incidence of PVR.
Evaluation of the efficiency of silicone polyether additives as foam inhibitor in crude oil
International Nuclear Information System (INIS)
Fraga, Assis K.; Santos, Raquel F.; Mansur, Claudia R.E.
2011-01-01
This work evaluates the chemical and physico-chemical properties of commercial anti-foam products based on silicone polyethers along with their efficiency in inhibiting foaming. The commercial surfactants were characterized by nuclear magnetic resonance (NMR) spectroscopy, size exclusion chromatography (SEC), determination of solubility in different solvents and measurement of the surface and interfacial tensions. A method to test the formation of foam in oil was used to mimic the operating conditions in gas-oil separators. The results show that the most polar additive was the most efficient in breaking up the foam. (author)
Directory of Open Access Journals (Sweden)
Fu-Lin Gao
2015-06-01
Full Text Available AIM:To research blood pressure and blood glucose variability during peroperative period for patients with secondary neovasular glaucoma(NVGafter silicone oil removed in proliferative diabetic retinaopathy(PDR.METHODS: Totally, 271 patients(271 eyesundergone surgery of vitrectomy and silicon-oil tamponade combined with cataract were respective analyzed. Fourteen patients(14 eyeswith secondary NVG after silicon oil removed and randomly controlled group of no NVG according with ages, operation method in the same time were studied. The blood pressure and blood glucose variability during peroperative period was analyzed, and did comparison after excluded contralateral eye. The complications of 271 patients were surveyed in following-up period 1~12mo. The incidence of NVG, the time, blood pressure, blood glucose and glycated hemoglobin(Hbc%variability during peroperative period was statisticed and compared by software of SPSS 11.0.RESULTS: Fourteen eyes(5.2%of 271 cases was with secondary NVG(female: 4 eyes, 28.6%; male: 10 eyes, 71.4%, average ages was 57.07 years(49~68 years. NVG presented in the 107~ 135d after vitrectomy and 7~45d(average 31.78dafter silicon-oil removed. Diabetes mellitus was 10~15(average 13.2a. In NVG group, the variability of blood glucose was 4.0~10.2mmol/L(mean 8.52±3.24mmol/L, variable coefficient was 0.48. In NNVG group, the variability of blood glucose was 5.0~8.2mmol/L(mean 7.22±0.24mmol/L, variable coefficient was 0.43. It was significantly difference in comparison in variable coefficient(PPPPCONCLUSION: There are significant variability on fasting blood glucose, daytime SBP and night DBP during perioperative in PDR patients with secondary NVG. It might be occurred 1wk after silicone oil removal surgery.
Horita, Susumu; Jain, Puneet
2017-08-01
A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.
The 2014 oil bust: Causes and consequences
International Nuclear Information System (INIS)
Tokic, Damir
2015-01-01
This article suggests that the 2014 oil price collapse was possibly triggered by the falling Euro versus the US Dollar. Specifically, the USD/EUR exchange rate likely adjusted to the sudden economic growth outlook divergence between the US and the EU, as evident by the relative short term interest rate spread measures, and triggered a “strong dollar” trade, which is negative for the crude oil prices. Thus, in our view, the 2014 oil price bust is another episode of oil price inefficiency, similar to the 2008 oil bubble. The key argument presented in this article is that, as long as there are temporary economic growth divergences between the US and the EU, the resulting exchange rate volatility is likely to create the pricing inefficiencies in crude oil, which in fact are mean-reverting, as the economic growth divergences eventually dissipate. -- Highlights: •The 2014 oil price collapse was possibly triggered by the falling Euro versus the US Dollar. •The economic divergence between the US and the EU possibly caused the USD/EUR volatility. •The oil fundamentals contributed to the price collapse with the negative sentiment
International Nuclear Information System (INIS)
Ali, S.; Ramzan, S.; Raza, R.; Ahmed, F.; Hussain, R.; Ullah, S.; Ali, S.
2013-01-01
Silica reinforced rubbers are used as chemical resistant seals at high temperature. In this study the effect of alkali and silicon oil on the thermal and thermo-mechanical properties of the silica reinforced butyl rubber exposed as an interface between two liquid media at elevated temperature is investigated. Rubber bladder containing alkaline solution was immersed in silicon oil at 195+-5 degree C for multiple cycles and loss in its thermal, thermo-mechanical and mechanical properties were studied by TGA, DMA and Tinius Olsen Testing Machine supported by FTIR and Optical microscopy. It was observed that the thermal and thermo-mechanical properties of butyl rubber were negatively affected due to leaching out of silica filler embedded in an organic matrix at elevated temperature. The thermal stability of exposed rubber was decreased around 200 degree C and the loss of storage modulus was observed up to 99.5% at -59 degree C. (author)
The performance of silicon solar cells operated in liquids
International Nuclear Information System (INIS)
Wang Yiping; Fang Zhenlei; Zhu Li; Huang Qunwu; Zhang Yan; Zhang Zhiying
2009-01-01
Better performance can be achieved when the bare silicon solar cells are immersed into liquids for the enhanced heat removing. In this study, the performance of solar cells immersed in liquids was examined under simulated sunlight. To distinguish the effects of the liquid optic and electric properties on the solar cells, a comparison between immersion of the solar module and the bare solar cells was carried out. It was found that the optic properties of the liquids can cause minor efficiency changes on the solar cells, while the electric properties of the liquids, the molecular polarizable and ions, are responsible for the most of the changes. The bare solar cells immersed in the non-polar silicon oil have the best performance. The accelerated life tests were carried out at 150 deg. C high temperature and under 200 W/m 2 ultraviolet light irradiation, respectively. It was found that the silicon oil has good stability. This study can give support on the cooling of the concentrated photovoltaic systems by immersing the solar cells in the liquids directly
Mohamed, Ahmed Abdel Alim; Abdrabbo, Mohamed
2013-01-01
Ahmed Abdel Alim Mohamed,1 Mohamed Abdrabbo21Ain Shams University, Faculty of Medicine, Ophthalmology Department, Cairo; 2Faculty of Medicine, Ophthalmology Department, Benha University, Benha, EgyptBackground: The purpose of this study was to assess the outcome of pars plana vitrectomy using a 20-gauge transconjunctival cannulated sutureless system with air or silicone oil tamponade in cases of retinal detachment of different etiologies.Methods: A prospective study was performed in 60 eyes f...
These include synthetics such as silicone fluids and tung oils, wood-derivative oils such as resin/rosin, animal fats/oil, and seed oils. Many have similar physical properties to petroleum-based, such as water insolubility and formation of slicks.
Directory of Open Access Journals (Sweden)
Rashad MA
2016-01-01
Full Text Available Mohammad Ahmad Rashad, Ahmed Abdel Aliem Mohamed, Asmaa Ismail Ahmed Department of Ophthalmology, Faculty of Medicine, Ain Shams University, Cairo, Egypt Purpose: To assess the pathological macular changes with optical coherence tomography (OCT before the removal of silicone oil (SiO in eyes that had undergone pars plana vitrectomy for complicated forms of retinal detachment (RD.Patients and methods: Subjects included 48 patients (51 eyes with complicated RD including proliferative vitreoretinopathy, proliferative diabetic retinopathy, recurrent RD, penetrating trauma, uveitis, giant retinal tears, and macular holes. All the eyes had undergone SiO injection. Furthermore, all eyes had been planned for the removal of SiO 6–12 months after the primary surgery. Finally, all eyes had a fundus examination and OCT examination before the silicone oil removal.Results: OCT findings indicated epiretinal membrane in 41% of the eyes, macular edema in 17%, macular detachment in 13.5%, macular thinning in 13.5%, macular holes in 10%, and subretinal membranes in 2%. Preoperative OCT was normal in only 12% of the eyes, while a clinical fundus examination was normal in 43% (P<0.001. Eyes with normal OCT had significantly better mean logMAR (0.35 than eyes with pathological changes detected through OCT (1.28; P<0.001. Surgical modifications were made during the removal of SiO in 74.5% of the eyes.Conclusion: OCT detected significantly more pathological changes than a clinical fundus examination. This had an impact on both surgical step modification during the removal of SiO and predictability of visual outcome after the removal of SiO. Keywords: optical coherence tomography, silicone oil, pars plana vitrectomy, proliferative vitreoretinopathy, proliferative diabetic retinopathy
International Nuclear Information System (INIS)
Jacobsson, M.
1994-01-01
Liability and compensation for pollution damage caused by oil spills from laden tankers is governed by two international conventions: the 1969 Civil Liability Convention and the 1971 Fund Convention. The Civil Liability Convention established a system of strict liability for tanker owners and introduced compulsory liability insurance. The Fund Convention created a system of supplementary compensation administered by an intergovernmental organization, the International Oil Pollution Compensation Fund (IOPC Fund), which at present has 56 member states (August 1993). The IOPC Fund pays compensation to victims of oil pollution in member states when the compensation from the ship owner and his insurer is insufficient. (author)
Directory of Open Access Journals (Sweden)
K. T. Karthikeyan
2017-11-01
Full Text Available Vertically aligned carbon nanotubes have been synthesized by spray pyrolysis from Glycine max oil on silicon substrate using ferrocene as catalyst at 650 °C. Glycine max oil, a plant-based hydrocarbon precursor was used as a source of carbon and argon as a carrier gas. The as-grown vertically aligned carbon nanotubes were characterized by scanning electron microscopy, high-resolution transmission electron microscopy, X-ray diffraction, thermogravimetric analysis, and Raman spectroscopy. Scanning electron microscopic images reveal that the dense bundles of aligned carbon nanotubes. High resolution transmission electron microscopy and Raman spectroscopy observations indicate that as-grown aligned carbon nanotubes are well graphitized.
Stanizzi, Antonio; Bottoni, Manuela; Tartaglione, Caterina; Bolletta, Elisa; Benedetto, Giovanni Di
2017-10-01
Split-thickness skin graft is one of the most used procedures in plastic surgery. This procedure involves numerous painful dressings at the donor site. α-Tocopherol acetate has anti-oxidative and anti-inflammatory properties and it can reduce the local bacterial growth, thereby promoting wound healing. We designed a prospective study to evaluate the effects of two different kinds of dressings at skin graft donor sites. A total of 30 patients were subjected to daily dressings with α-tocopherol acetate oil and traditional moist gauzes (group 1). Another 30 patients were subjected to dressings every 4 days with α-tocopherol acetate oil and silicone-vitamin E gauzes (group 2). Healing time, infection rate, patient's pain perception and costs were evaluated in both the groups. No statistically significant difference was found in terms of healing time. The infection rate was slightly different in the two groups. Significant reduction of pain perception was detected in group 2. In the same group, significant reduction in the total cost of the treatment was also observed. α-Tocopherol acetate oil and silicone-vitamin E gauzes may represent a safe, simple, painless and inexpensive method for improving skin graft donor site healing. © 2017 The Authors. International Wound Journal published by Medicalhelplines.com Inc and John Wiley & Sons Ltd.
Electrorheology of a zeolite/silicone oil suspension under dc fields
International Nuclear Information System (INIS)
Tian, Yu; Meng, Yonggang; Wen, Shizhu
2001-01-01
The electrorheology of electrorheological (ER) fluids based on zeolite and silicone oil under dc fields was investigated at room temperature. ER fluids with volume fractions of 27% and 30% were prepared and tested. When a 5 kV/mm dc field was applied, shear yield stress of 26.7 kPa was obtained for the latter. The ER fluid with a higher volume fraction of zeolite had a higher current density and a higher shear yield stress under the same electric field. Compared with other ER fluids based on zeolite particles with low shear yield stress, the zeolite employed by us was found to have high dielectric constant and conductivity. The high permittivity mismatch and the high conductivity mismatch of the components of the fluids were considered responsible for the high shear yield stress. [copyright] 2001 American Institute of Physics
Energy Technology Data Exchange (ETDEWEB)
NONE
2011-07-01
This work evaluates the chemical and physico-chemical properties of commercial anti-foam products based on silicone polyethers along with their efficiency in inhibiting foaming. The commercial surfactants were characterized by nuclear magnetic resonance (NMR) spectroscopy, size exclusion chromatography (SEC), determination of solubility in different solvents and measurement of the surface and interfacial tensions. A method to test the formation of foam in oil was used to mimic the operating conditions in gas-oil separators. The results show that the most polar additive was the most efficient in breaking up the foam. (author)
Directory of Open Access Journals (Sweden)
Jian-Di Liu
2013-06-01
Full Text Available AIM: To report the results of combined vitrectomy, lensectomy and silicone oil (SO tamponade in treating primary rhegmatogenous retinal detachment (RRD associated with choroidal detachment (CD.METHODS: A retrospective, consecutive and case series study of 21 subjects with concurrent RRD associated with CD was conducted. All subjects underwent a standard three-port 20G pars plana vitrectomy (PPV with lensectomy and silicone oil tamponade. Mean follow-up time was 8 months (rang from 4 to 19 months. The primary and final anatomic success rate, visual acuity and final intraocular pressure(IOP were recorded and analyzed.RESULTS: Of 21 subjects, 8 were women and 13 were men. Age at presentation ranged from 22 to 75 years (mean 57.4 years. The presenting vision ranged from light perception to 0.15. The initial IOP ranged from 3mmHg to 12mmHg (mean 6.2mmHg. All eyes were phakic except one pseudophakic. No intraocular lens was implanted during the primary surgical intervention. Fifteen of 21 (71.4% eyes had retina reattached after one operation. Six eyes had recurrent inferior retinal detachment due to proliferation. Five of them were successfully reattached after one or more additional operations. Mean IOP at final follow-up was 15.2mmHg (range from 8mmHg to 20mmHg. One case declined for further operation. The final reattachment rate was 95.2%. Visual acuity improved in 19 (90.5% eyes, was unchanged in 1 (4.8% eye and decreased in 1 (4.8% eye.CONCLUSION: Combination of vitrectomy, lensectomy and silicone tamponade is an effective method in treating RRD associated with CD, reducing the incidence of postoperative hypotony.
International Nuclear Information System (INIS)
McCannel, Tara A.; McCannel, Colin A.
2014-01-01
Purpose: We initially reported the radiation-attenuating effect of silicone oil 1000 centistokes for iodine 125. The purpose of this report was to compare the clinical outcomes in case patients who had iodine 125 brachytherapy with vitrectomy and silicone oil 1000 centistokes with the outcomes in matched control patients who underwent brachytherapy alone. Methods and Materials: Consecutive patients with uveal melanoma who were treated with iodine 125 plaque brachytherapy and vitrectomy with silicone oil with minimum 1-year follow-up were included. Control patients who underwent brachytherapy alone were matched for tumor size, location, and sex. Baseline patient and tumor characteristics and tumor response to radiation, final visual acuity, macular status, central macular thickness by ocular coherence tomography (OCT), cataract progression, and metastasis at last follow-up visit were compared. Surgical complications were also determined. Results: Twenty case patients met the inclusion criteria. The average follow-up time was 22.1 months in case patients and 19.4 months in control patients. The final logMAR vision was 0.81 in case patients and 1.1 in control patients (P=.071); 8 case patients and 16 control patients had abnormal macular findings (P=.011); and the average central macular thickness by OCT was 293.2 μm in case patients and 408.5 μm in control patients (P=.016). Eleven case patients (55%) and 1 control patient (5%) had required cataract surgery at last follow-up (P=.002). Four patients in the case group and 1 patient in the control group experienced metastasis (P=.18). Among the cases, intraoperative retinal tear occurred in 3 patients; total serous retinal detachment and macular hole developed in 1 case patient each. There was no case of rhegmatogenous retinal detachment, treatment failure, or local tumor dissemination in case patients or control patients. Conclusions: With up to 3 years of clinical follow-up, silicone oil during brachytherapy
Energy Technology Data Exchange (ETDEWEB)
McCannel, Tara A., E-mail: TMcCannel@jsei.ucla.edu; McCannel, Colin A.
2014-06-01
Purpose: We initially reported the radiation-attenuating effect of silicone oil 1000 centistokes for iodine 125. The purpose of this report was to compare the clinical outcomes in case patients who had iodine 125 brachytherapy with vitrectomy and silicone oil 1000 centistokes with the outcomes in matched control patients who underwent brachytherapy alone. Methods and Materials: Consecutive patients with uveal melanoma who were treated with iodine 125 plaque brachytherapy and vitrectomy with silicone oil with minimum 1-year follow-up were included. Control patients who underwent brachytherapy alone were matched for tumor size, location, and sex. Baseline patient and tumor characteristics and tumor response to radiation, final visual acuity, macular status, central macular thickness by ocular coherence tomography (OCT), cataract progression, and metastasis at last follow-up visit were compared. Surgical complications were also determined. Results: Twenty case patients met the inclusion criteria. The average follow-up time was 22.1 months in case patients and 19.4 months in control patients. The final logMAR vision was 0.81 in case patients and 1.1 in control patients (P=.071); 8 case patients and 16 control patients had abnormal macular findings (P=.011); and the average central macular thickness by OCT was 293.2 μm in case patients and 408.5 μm in control patients (P=.016). Eleven case patients (55%) and 1 control patient (5%) had required cataract surgery at last follow-up (P=.002). Four patients in the case group and 1 patient in the control group experienced metastasis (P=.18). Among the cases, intraoperative retinal tear occurred in 3 patients; total serous retinal detachment and macular hole developed in 1 case patient each. There was no case of rhegmatogenous retinal detachment, treatment failure, or local tumor dissemination in case patients or control patients. Conclusions: With up to 3 years of clinical follow-up, silicone oil during brachytherapy
Release of low molecular weight silicones and platinum from silicone breast implants.
Lykissa, E D; Kala, S V; Hurley, J B; Lebovitz, R M
1997-12-01
We have conducted a series of studies addressing the chemical composition of silicone gels from breast implants as well as the diffusion of low molecular weight silicones (LM-silicones) and heavy metals from intact implants into various surrounding media, namely, lipid-rich medium (soy oil), aqueous tissue culture medium (modified Dulbecco's medium, DMEM), or an emulsion consisting of DMEM plus 10% soy oil. LM-silicones in both implants and surrounding media were detected and quantitated using gas chromatography (GC) coupled with atomic emission (GC-AED) as well as mass spectrometric (GC/MS) detectors, which can detect silicones in the nanogram range. Platinum, a catalyst used in the preparation of silicone gels, was detected and quantitated using inductive argon-coupled plasma/mass spectrometry (ICP-MS), which can detect platinum in the parts per trillion range. Our results indicate that GC-detectable low molecular weight silicones contribute approximately 1-2% to the total gel mass and consist predominantly of cyclic and linear poly-(dimethylsiloxanes) ranging from 3 to 20 siloxane [(CH3)2-Si-O] units (molecular weight 200-1500). Platinum can be detected in implant gels at levels of approximately 700 micrograms/kg by ICP-MS. The major component of implant gels appears to be high molecular weight silicone polymers (HM-silicones) too large to be detected by GC. However, these HM-silicones can be converted almost quantitatively (80% by mass) to LM-silicones by heating implant gels at 150-180 degrees C for several hours. We also studied the rates at which LM-silicones and platinum leak through the intact implant outer shell into the surrounding media under a variety of conditions. Leakage of silicones was greatest when the surrounding medium was lipid-rich, and up to 10 mg/day LM-silicones was observed to diffuse into a lipid-rich medium per 250 g of implant at 37 degrees C. This rate of leakage was maintained over a 7-day experimental period. Similarly, platinum was
Annealing damage caused by implantation of group IB elements into silicon
International Nuclear Information System (INIS)
Johansen, A.; Svenningsen, B.; Chadderton, L.T.; Whitton, J.L.
1976-01-01
Transmission electron microscopy (TEM) and the Rutherford backscattering method (RBS) have been used in an investigation of the annealing of radiation damage produced in silicon by 80 keV Cu + , Ag + and Au + ions up to doses of 10 15 ions/cm 2 . Whilst the damage caused by Cu + and Ag + implantation, measured by RBS, persists following annealing sequences up to temperatures of 800 0 C, Au + -implanted samples show recovery. Furthermore, RBS indicates quite clearly that, in the case of gold, atomic diffusion to the silicon surface takes place. TEM and electron diffraction both indicate that in all three implants the anomalous 'damage' remaining in RBS spectra is due to an amorphous-polycrystalline transition. In the case of Au + implants, however, there is less inhibition of the process of recovery, quite apart from the thermal history of the sample. The importance of using another technique, especially TEM, in conjunction with RBS investigations of radiation damage in the solid state is emphasized. (author)
Thapa, Ram; French, Steven; Delgado, Adrian; Ramos, Carlos; Gutierrez, Jose; Chipara, Mircea; Lozano, Karen
2010-03-01
Electrorheological (ER) fluids consisting of γ-aluminum oxide nanotubes and γ-aluminum oxide nanoparticles dispersed within silicone oil were prepared. The relationship between shear stress and shear rate was measured and theoretically simulated by using an extended Bingham model for both the rheological and electrorheological features of these systems. Shear stress and viscosity showed a sharp increase for the aluminum oxide nanotubes suspensions subjected to applied electric fields whereas aluminum oxide nanoparticles suspensions showed a moderate change. It was found that the transition from liquid to solid state (mediated by the applied electric field) can be described by a power law and that for low applied voltages the relationship is almost linear.
SD OCT Features of Macula and Silicon Oil–Retinal Interface in Eyes Status Post Vitrectomy for RRD
Directory of Open Access Journals (Sweden)
Manish Nagpal
2015-03-01
Full Text Available Aim: To objectively document findings at the Silicon oil-Retinal interface, macular status and tamponade effect in Silicon Oil (SO filled eyes using SD OCT. Methods: 104 eyes of 104 patients underwent SD OCT examination, horizontal and vertical macular scans, in silicone oil filled eyes which underwent silicone oil injection post vitrectomy for rhegmatogenous retinal detachment. Findings were divided into 3 Groups; Group A: Findings at silicon oil retinal interface, Group B: Macular pathology and Group C: Tamponade effect. Group C was further divided into two groups; Group 1: Complete tamponade and Group 2: Incomplete tamponade. Results: Group A: subsilicon epiretinal membranes N = 17 (16.3%, emulsified silicon oil N = 16 (15.4% Group B: foveal thickening N = 22 (21.2%, foveal thinning N = 6 (5.7%, subfoveal fluid N = 8 (7.6%, macular hole N = 2 (1.9%; Group C: Incomplete tamponade was noted in N = 12 (11.5%, complete tamponade N = 92 (88.5%.10 out of 104 eyes (9.6% had recurrent retinal detachment post silicon oil removal. 8 of these eyes had complete tamponade and 2 had incomplete tamponade. Conclusion: SD OCT is a useful tool to assess the SO–Retina interface, tamponade effect and macular pathology in SO filled eyes. There is lesser incidence of redetachment with incomplete tamponade in OCT.
Silicone Oil Removal from Aphakic Eyes Using a Side Irrigating Cannula
Directory of Open Access Journals (Sweden)
Touka Banaee
2008-12-01
Full Text Available
In an interventional case series, 11 aphakic eyes of 11 patients with previous vitrectomy and silicone oil tamponade underwent passive silicone oil removal under topical anesthesia through a single clear cornea incision with use of a side irrigating phacoemulsification irrigation cannula without performing a sclerotomy. All procedures were simple, short and uncomplicated. The only observed complication was minimal localized corneal edema the day after the procedure, which resolved within 1-2 days. Use of a side irrigating cannula permits safe and simple removal of silicone oil under topical anesthesia through a single clear cornea incision without need for sclerotomy.
Identification of causes of oil sands coke leachate toxicity
International Nuclear Information System (INIS)
Puttaswamy, N.; Liber, K.
2010-01-01
The potential causes of oil sands coke leachate toxicity were investigated. Chronic 7-day toxicity tests were conducted to demonstrate that oil sands coke leachates (CL) are acutely toxic to Ceriodaphnia dubia (C. dubia). CLs were generated in a laboratory to perform toxicity identification evaluation (TIE) tests in order to investigate the causes of the CL toxicity. The coke was subjected to a 15-day batch leaching process at 5.5 and 9.5 pH values. The leachates were then filtered and used for chemical and toxicological characterization. The 7-day estimates for the C. dubia survival were 6.3 for a pH of 5.5 and 28.7 per cent for the 9.5 CLs. The addition of EDTA significantly improved survival and reproduction in a pH of 5.5 CL, but not in a pH of 9.5 CL. The toxicity of the pH 5.5 CL was removed with a cationic resin treatment. The toxicity of the 9.5 pH LC was removed using an anion resin treatment. Toxicity re-appeared when nickel (Ni) and vanadium (V) were added back to the resin-treated CLs. Results of the study suggested that Ni and V were acting as primary toxicants in the pH 5.5 CL, while V was the primary cause of toxicity in the pH 9.5 CL.
Syrakos, Alexandros; Dimakopoulos, Yannis; Tsamopoulos, John
2018-03-01
The flow inside a fluid damper where a piston reciprocates sinusoidally inside an outer casing containing high-viscosity silicone oil is simulated using a finite volume method, at various excitation frequencies. The oil is modeled by the Carreau-Yasuda (CY) and Phan-Thien and Tanner (PTT) constitutive equations. Both models account for shear-thinning, but only the PTT model accounts for elasticity. The CY and other generalised Newtonian models have been previously used in theoretical studies of fluid dampers, but the present study is the first to perform full two-dimensional (axisymmetric) simulations employing a viscoelastic constitutive equation. It is found that the CY and PTT predictions are similar when the excitation frequency is low, but at medium and higher frequencies, the CY model fails to describe important phenomena that are predicted by the PTT model and observed in experimental studies found in the literature, such as the hysteresis of the force-displacement and force-velocity loops. Elastic effects are quantified by applying a decomposition of the damper force into elastic and viscous components, inspired from large amplitude oscillatory shear theory. The CY model also overestimates the damper force relative to the PTT model because it underpredicts the flow development length inside the piston-cylinder gap. It is thus concluded that (a) fluid elasticity must be accounted for and (b) theoretical approaches that rely on the assumption of one-dimensional flow in the piston-cylinder gap are of limited accuracy, even if they account for fluid viscoelasticity. The consequences of using lower-viscosity silicone oil are also briefly examined.
Hasegawa, R; Toyama, K; Miyanaga, K; Tanji, Y
2014-02-01
Oil souring has important implications with respect to energy resources. Understanding the physiology of the microorganisms that play a role and the biological mechanisms are both important for the maintenance of infrastructure and mitigation of corrosion processes. The objective of this study was to identify crude-oil components and microorganisms in oil-field water that contribute to crude-oil souring. To identify the crude-oil components and microorganisms that are responsible for anaerobic souring in oil reservoirs, biological conversion of crude-oil components under anaerobic conditions was investigated. Microorganisms in oil field water in Akita, Japan degraded alkanes and aromatics to volatile fatty acids (VFAs) under anaerobic conditions, and fermenting bacteria such as Fusibacter sp. were involved in VFA production. Aromatics such as toluene and ethylbenzene were degraded by sulfate-reducing bacteria (Desulfotignum sp.) via the fumarate-addition pathway and not only degradation of VFA but also degradation of aromatics by sulfate-reducing bacteria was the cause of souring. Naphthenic acid and 2,4-xylenol were not converted.
Directory of Open Access Journals (Sweden)
Xianzhen Ma
2014-01-01
Full Text Available Purpose. The aim of this study was to investigate the effect of tea polyphenols (TP ophthalmic gel on lens epithelial cells (LECs in rabbits with silicone oil tamponade after vitrectomy. Methods. In this study, unilateral vitrectomy with silicone oil tamponade was performed using 2-month-old New Zealand white rabbits (n = 72; meanwhile, age-matched nonoperated rabbits (n = 18 were used as controls. The TP ophthalmic gel was administered topically in the surgical eyes till they were sacrificed. On days 45 and 90 after operation, the levels of reactive oxygen species (ROS, mitochondrial membrane potential (ΔΨm, and apoptosis of LECs were analyzed, respectively. Meanwhile, caspase-3 mRNA and protein levels were also determined. Results. The results indicate that the levels of ROS and apoptosis were elevated for LECs in rabbits after operation, whereas ΔΨm was decreased. Caspase-3 was apparently increased at both mRNA and protein levels. Treatment of TP ophthalmic gel could reduce the generation of ROS, maintain ΔΨm, inhibit the overexpression of caspase-3, and thus decrease the apoptosis of LECs of rabbits after operation. Conclusions. TP ophthalmic gel can efficiently inhibit caspase-3 overexpression, reduce the apoptosis of LECs, and prevent LECs from damage. Our result provides a new approach to prevent the development of complicated cataract after vitrectomy.
Temperature dependence of coercivity behavior in iron films on silicone oil surfaces
International Nuclear Information System (INIS)
Xu Xiaojun; Ye Quanlin; Ye Gaoxiang
2007-01-01
A new iron film system, deposited on silicone oil surfaces by vapor phase deposition method, has been fabricated and its microstructure as well as magnetic properties has been studied. It is found that the temperature dependence of the coercive field H c (T) of the films exhibits a peak around a critical temperature T crit =10-15 K: for the temperature T crit ,H c (T) increases with the temperature; if T>T crit , however, it decreases rapidly and then approaches a steady value as T further increases. Our study shows that, for T>T crit , the observed coercivity behavior is mainly dominated by the effect of the non-uniform single-domain particle size distribution, and for T crit , the anomalous coercivity behavior may be resulted from the surface anisotropy, the surface effect and the characteristic internal stress distribution in the films. The influence of the shape and size of the particles on the thermal dependence of the magnetization is also investigated
[Heavy silicone oil (Densiron® 68) in proliferative vitreoretinopathy: 4 years of experience].
Macías-Murelaga, B; Ruiz, M; Bascarán, L; Gibelalde, A; Aldazabal, M; Irigoyen, C
2013-11-01
Prospective observational study including 10 patients (age range: 27-74 years) with recurrent retinal detachment (RD) and proliferative vitreoretinopathy (PVR) and 2.8 mean unsatisfactory previous surgeries. Densiron® was injected in all patients, with surgical retinectomy being required in 70% of them. Minimum follow-up time was 12 months. The mean length of time before Densiron® withdrawal was 4 months. Three patients (30%) presented with a new RD. The main complication detected was cataract development. No relationship was found between re-detachments and tamponade time, baseline disease or RD evolution time. Densiron® may be a good option in cases of recurrent RD in which previous treatment with scleral buckle, gas and/or 1,000/5,000 silicone oils has proven to be unsatisfactory. Copyright © 2012 Sociedad Española de Oftalmología. Published by Elsevier Espana. All rights reserved.
Energy Technology Data Exchange (ETDEWEB)
Kumar, Vijay Bhooshan [Institute of Nanotechnology and Advanced Materials, Department of Chemistry, Bar-Ilan University, Ramat-Gan 52900 (Israel); Ezersky, Vladimir [Ilse Katz Institute of Nanoscale Science and Technology, Ben-Gurion University of the Negev, Be' er Sheva 84105 (Israel); Gedanken, Aharon, E-mail: gedanken@mail.biu.ac.il [Institute of Nanotechnology and Advanced Materials, Department of Chemistry, Bar-Ilan University, Ramat-Gan 52900 (Israel); National Cheng Kung University, Department of Materials Science & Engineering, Tainan 70101, Taiwan, ROC (China); Porat, Ze' ev, E-mail: poratze@post.bgu.ac.il [Division of Chemistry, Nuclear Research Center-Negev, P.O.Box 9001, Be' er-Sheva 84190 (Israel); Institutes of Applied Research, Ben-Gurion University of the Negev, Be' er-Sheva 84105 (Israel)
2016-07-05
A molten mixture of bismuth and indium, immersed in hot silicone oil, was dispersed into nano- and micro spheres under ultrasonic irradiation. Examination of the composition of these spheres by X-ray diffraction and Rietveld refinement revealed that they were composed of intermetallic compounds, mainly BiIn and BiIn{sub 2}. TEM and SEM images showed that the surface of the spheres was covered with tiny spikes. Their chemical composition, as determined by EDS and electron diffraction, was found to be In{sub 2}O{sub 3}. We assume that upon formation they were composed of In, which was oxidized when exposed to air. - Highlights: • Ultrasonication of molten Bi–In caused dispersion into nano- and micro spheres. • The spheres were composed of intermetallic compounds, mainly BiIn and BiIn{sub 2}. • The spheres' surface was covered with tiny spikes. • The composition of the spikes was determined by EDS and ED to be In{sub 2}O{sub 3}. • Upon formation the spikes were composed of In, which was oxidized by air.
Alkyl PAH in crude oil cause chronic toxicity to early life stages of fish
Energy Technology Data Exchange (ETDEWEB)
Hodson, P.V.; Khan, C.W.; Saravanabhavan, G.; Clarke, L.; Brown, R.S. [Queen' s Univ., Kingston, ON (Canada). School of Environmental Studies; Hollebone, B.; Wang, Z. [Environment Canada, Ottawa, ON (Canada). ; Short, J. [National Oceanic and Atmospheric Administration, Juneau, AK (United States). Auke Bay Lab; Lee, K.; King, T. [Fisheries and Oceans Canada, Dartmouth, NS (Canada). Centre for Offshore Oil and Gas Environmental Research
2007-07-01
In order to mitigate the risk to fisheries following an offshore oil spill, it is necessary to know the components of crude oil that are toxic. Chronic exposure of early life stages of fish to crude oil causes Blue Sac Disease, a syndrome characterized by induction of the cytochrome P450 (CYP1A) enzyme. In this study, effects-driven fractionation of Alaska North Slope Crude was used to identify the classes of compounds that cause CYP1A induction in juvenile rainbow trout and chronic toxicity to developing stages of Japanese medaka. Four fractions of compounds were created by low temperature vacuum distillation. This separated the constituents of oil according to their volatility within defined temperature ranges. The fractions were separated according to their boiling points. With a temperature range of 287-481 degrees C, fraction F3 was the only fraction as toxic as whole oil and induced CYPP1A enzymes of fish. Fractions containing specific classes of alkyl PAH were also collected. For all separations, the performance of the method was evaluated by the extent to which PAH were separated from aliphatics, resins and waxes, as well as by the quantitative recovery of mass in fractions and subfractions. The induction of CYP1A enzymes showed that PAH was present in all fractions that were highly toxic, but the toxicity tests indicated that not all fractions containing PAH were toxic. This research provided a scientific basis for comparing the risks of different crude oils based on chemical analyses that show the different proportions or amounts of PAH present. The results indicate which compounds of concern should be used to determine the extent and success of oil spill remediation, and provide a biological interpretation of chemical fingerprinting used to discriminate the sources of oil pollution. 15 refs., 1 tab.
Preparation and characterization of ultra-thin amphiphobic coatings on silicon wafers
International Nuclear Information System (INIS)
Mou, Chun-Yueh; Yuan, Wei-Li; Shih, Chih-Hsin
2013-01-01
Fluorine-based amphiphobic coatings have been widely used in commercial domestic utensils and textiles to repel water and oil contaminants. However, few reports from the literature survey have discussed the effects on amphiphobicity of the nano- to micro-scale surface features of such a coating. In this research thin amphiphobic epoxy coatings based on a mixture of bisphenol A diglycidyl ether, tetraethylorthosilicate (TEOS), and a particular alkoxy silane with fluorinated side chains (F-silane) are deposited on silicon wafers. Film amphiphobicity is characterized by the measurement of water and oil contact angles of the coating. Film morphology is revealed in the scanned images using atomic force microscopy. The deposited films free of F-silane are about 10 nm thick. When a small amount of F-silane was firstly added, the water and oil contact angles of the deposited films jumped up to 107° and 69° respectively and then flattened out with increased F-silane. Water droplets gave an average plateau contact angle about 110°, while vegetable oil ones, 40°. It was noted that there is a dramatic decrease in the lyophobicity causing a reduction in contact angles. However, surface lyophobicity also depends on sub-microscopic surface structures. In addition, by increasing TEOS, it was shown that the formed silica sols or granules were helpful in enhancing the mechanical strength along with retaining the lyophobicity of the film. - Highlights: • Epoxy ultrathin films about 10 nm thick deposited on silicon wafer. • Nominal fluorinated silane added to epoxy coatings for amphiphobicity. • Surface lyophobicity retained by sub-micrometer granules in ultrathin coatings. • Film hardness improved by adding tetraethylorthosilicate
Preparation and characterization of ultra-thin amphiphobic coatings on silicon wafers
Energy Technology Data Exchange (ETDEWEB)
Mou, Chun-Yueh, E-mail: cymou165@gmail.com; Yuan, Wei-Li; Shih, Chih-Hsin
2013-06-30
Fluorine-based amphiphobic coatings have been widely used in commercial domestic utensils and textiles to repel water and oil contaminants. However, few reports from the literature survey have discussed the effects on amphiphobicity of the nano- to micro-scale surface features of such a coating. In this research thin amphiphobic epoxy coatings based on a mixture of bisphenol A diglycidyl ether, tetraethylorthosilicate (TEOS), and a particular alkoxy silane with fluorinated side chains (F-silane) are deposited on silicon wafers. Film amphiphobicity is characterized by the measurement of water and oil contact angles of the coating. Film morphology is revealed in the scanned images using atomic force microscopy. The deposited films free of F-silane are about 10 nm thick. When a small amount of F-silane was firstly added, the water and oil contact angles of the deposited films jumped up to 107° and 69° respectively and then flattened out with increased F-silane. Water droplets gave an average plateau contact angle about 110°, while vegetable oil ones, 40°. It was noted that there is a dramatic decrease in the lyophobicity causing a reduction in contact angles. However, surface lyophobicity also depends on sub-microscopic surface structures. In addition, by increasing TEOS, it was shown that the formed silica sols or granules were helpful in enhancing the mechanical strength along with retaining the lyophobicity of the film. - Highlights: • Epoxy ultrathin films about 10 nm thick deposited on silicon wafer. • Nominal fluorinated silane added to epoxy coatings for amphiphobicity. • Surface lyophobicity retained by sub-micrometer granules in ultrathin coatings. • Film hardness improved by adding tetraethylorthosilicate.
Removal of Silicone Oil From Intraocular Lens Using Novel Surgical Materials
Paschalis, Eleftherios I.; Eliott, Dean; Vavvas, Demetrios G.
2014-01-01
Purpose To design, fabricate, and evaluate novel materials to remove silicone oil (SiO) droplets from intraocular lenses (IOL) during vitreoretinal surgery. Methods Three different designs were fabricated using soft lithography of polydimethylsiloxane (PDMS), three-dimensional (3D) inverse PDMS fabrication using water dissolvable particles, and atomic layer deposition (ALD) of alumina (Al2O3) on surgical cellulose fibers. Laboratory tests included static and dynamic contact angle (CA) measurements with water and SiO, nondestructive x-ray microcomputer tomography (micro-CT), and microscopy. SiO removal was performed in vitro and ex vivo using implantable IOLs and explanted porcine eyes. Results All designs exhibited enhanced hydrophobicity and oleophilicity. Static CA measurements with water ranged from 131° to 160° and with SiO CA approximately 0° in 120 seconds following exposure. Nondestructive x-ray analysis of the 3D PDMS showed presence of interconnected polydispersed porosity of 100 to 300 μm in diameter. SiO removal from IOLs was achieved in vitro and ex vivo using standard 20-G vitrectomy instrumentation. Conclusion Removal of SiO from IOLs can be achieved using materials with lower surface energy than that of the IOLs. This can be achieved using appropriate surface chemistry and surface topography. Three designs, with enhanced hydrophobic properties, were fabricated and tested in vitro and ex vivo. All materials remove SiO within an aqueous environment. Preliminary ex vivo results were very promising, opening new possibilities for SiO removal in vitreoretinal surgeries. Translational Relevance This is the first report of an instrument that can lead to successful removal of SiO from the surface of IOL. In addition to the use of this instrument/material in medicine it can also be used in the industry, for example, retrieval of oil spills from bodies of water. PMID:25237593
Removal of Silicone Oil From Intraocular Lens Using Novel Surgical Materials.
Paschalis, Eleftherios I; Eliott, Dean; Vavvas, Demetrios G
2014-09-01
To design, fabricate, and evaluate novel materials to remove silicone oil (SiO) droplets from intraocular lenses (IOL) during vitreoretinal surgery. Three different designs were fabricated using soft lithography of polydimethylsiloxane (PDMS), three-dimensional (3D) inverse PDMS fabrication using water dissolvable particles, and atomic layer deposition (ALD) of alumina (Al 2 O 3 ) on surgical cellulose fibers. Laboratory tests included static and dynamic contact angle (CA) measurements with water and SiO, nondestructive x-ray microcomputer tomography (micro-CT), and microscopy. SiO removal was performed in vitro and ex vivo using implantable IOLs and explanted porcine eyes. All designs exhibited enhanced hydrophobicity and oleophilicity. Static CA measurements with water ranged from 131° to 160° and with SiO CA approximately 0° in 120 seconds following exposure. Nondestructive x-ray analysis of the 3D PDMS showed presence of interconnected polydispersed porosity of 100 to 300 μm in diameter. SiO removal from IOLs was achieved in vitro and ex vivo using standard 20-G vitrectomy instrumentation. Removal of SiO from IOLs can be achieved using materials with lower surface energy than that of the IOLs. This can be achieved using appropriate surface chemistry and surface topography. Three designs, with enhanced hydrophobic properties, were fabricated and tested in vitro and ex vivo. All materials remove SiO within an aqueous environment. Preliminary ex vivo results were very promising, opening new possibilities for SiO removal in vitreoretinal surgeries. This is the first report of an instrument that can lead to successful removal of SiO from the surface of IOL. In addition to the use of this instrument/material in medicine it can also be used in the industry, for example, retrieval of oil spills from bodies of water.
Radiation Damage in Silicon Detectors Caused by Hadronic and Electromagnetic Irradiation
Fretwurst, E.; Stahl, J.; Pintilie, I.
2002-01-01
The report contains various aspects of radiation damage in silicon detectors subjected to high intensity hadron and electromagnetic irradiation. It focuses on improvements for the foreseen LHC applications, employing oxygenation of silicon wafers during detector processing (result from CERN-RD48). An updated survey on hadron induced damage is given in the first article. Several improvements are outlined especially with respect to antiannealing problems associated with detector storage during LHC maintenance periods. Open questions are outlined in the final section, among which are a full understanding of differences found between proton and neutron induced damage, process related effects changing the radiation tolerance in addition to the oxygen content and the lack of understanding the changed detector properties on the basis of damage induced point and cluster defects. In addition to float zone silicon, so far entirely used for detector fabrication,Czochralski silicon was also studied and first promising re...
Investigations into the cause of the oil fire in the nuclear power plant, Muehleberg
International Nuclear Information System (INIS)
Hagn, L.; Huppmann, H.
1972-01-01
At the end of July, 1971, an oil fire broke out in the turbine hall of the Swiss Nuclear Power Plant Muehleberg. The cause of the escape of oil from a pressure-oil pipe was the loosening of a cutting ring screwed pipe connection on the servo-motor of a control valve. Material and vibration tests on the main components showed the evolution of the damage to be the combined influences of two factors, viz. 1. vibration stress on the piping and screw joints - dependent on the operational state of the turbine - and resultant possible loosening of the screw caps; 2. loss of the vibration absorbant clip fastenings of the piping. Undetected deformations and dislocations in the screwed pipe connections ensuing from erection were presumably contributory influences. The oil escaping from the leak ignited by autoxidation in the sprayed-on asbestos insulation of the valve housing. From the results of these investigations and the analyses of other oil fires in steam turbine plants insured with the Allianz, recommendations ensue for the prevention and minimising of oil fire damage. (orig.) [de
Magnetorheology of submicron diameter iron microwires dispersed in silicone oil
International Nuclear Information System (INIS)
Bell, R C; Karli, J O; Vavreck, A N; Zimmerman, D T; Ngatu, G T; Wereley, N M
2008-01-01
We investigate the magnetorheological (MR) properties of suspensions containing iron microwires with 260 nm diameter and two distinct length distributions of 5.4 ± 5.2 µm and 7.6 ± 5.1 µm suspended in silicone oil (0.45 Pa s). The rheological properties of these fluids were determined using a parallel plate rheometer equipped with a variable strength electromagnet. The shear stress was measured as a function of shear rate for increasing applied magnetic fields. These results were modeled using the Bingham-plastic constitutive model to determine the apparent yield stress and viscosity as a function of increasing volume fraction and length of microwires. At a saturated magnetic flux density, the yield stress using the 5.4 µm microwires was found to be 0.65, 2.23, and 4.76 kPa for the 2, 4, and 6 vol% suspensions, respectively. For the 7.6 µm wires, the yield stress increases to 8.2 kPa for the 6 vol% suspension. Compared with conventional MR fluids employing spherical particles, the degree of settling is markedly decreased in the microwire-based fluids. At 6 vol%, conventional fluids display appreciable settling whereas the microwire-based fluids display no discernable settling. Moreover, the rod-shaped microwires are shown to increase the yield stress of the fluids and enhance the MR performance
Wongsariya, Karn; Phanthong, Phanida; Bunyapraphatsara, Nuntavan; Srisukh, Vimol; Chomnawang, Mullika Traidej
2014-03-01
Citrus hystrix de Candolle (Rutaceae), an edible plant regularly used as a food ingredient, possesses antibacterial activity, but there is no current data on the activity against bacteria causing periodontal diseases. C. hystrix essential oil from leaves and peel were investigated for antibiofilm formation and mode of action against bacteria causing periodontal diseases. In vitro antibacterial and antibiofilm formation activities were determined by broth microdilution and time kill assay. Mode of action of essential oil was observed by SEM and the active component was identified by bioautography and GC/MS. C. hystrix leaves oil exhibited antibacterial activity at the MICs of 1.06 mg/mL for P. gingivalis and S. mutans and 2.12 mg/mL for S. sanguinis. Leaf oil at 4.25 mg/mL showed antibiofilm formation activity with 99% inhibition. The lethal effects on P. gingivalis were observed within 2 and 4 h after treated with 4 × MIC and 2 × MIC, respectively. S. sanguinis and S. mutans were completely killed within 4 and 8 h after exposed to 4 × MIC and 2 × MIC of oil. MICs of tested strains showed 4 times reduction suggesting synergistic interaction of oil and chlorhexidine. Bacterial outer membrane was disrupted after treatment with leaves oil. Additionally, citronellal was identified as the major active compound of C. hystrix oil. C. hystrix leaf oil could be used as a natural active compound or in combination with chlorhexidine in mouthwash preparations to prevent the growth of bacteria associated with periodontal diseases and biofilm formation.
Energy Technology Data Exchange (ETDEWEB)
Kawakatsu, Takahiro [Tohoku University, Miyagi (Japan). Graduate School; Komori, Hideai; Najima, Mitsutashi; Kikuchi, Yuji; Yonemoto, Toshikuni
1999-05-05
The new technique, which continuously produced the monodispersion oil-in-water (0/W) emulsion using the crossflow type silicon microchannel substrate, was developed. On the silicon monocrystal substrate, the watercourse as the liquid of the continuous phase flowed was produced, and the column of the equal slit of the size in both walls of the watercourse was precisely processed. By closing the upper part in the slit by the clamp of the flat glass board in the microchannel substrate, the microchannel column was formed. Through the microchannel, the oil droplet in which the size was even was formed by sending out the oil (triolein) in the water (0.3wt% sodium lauryl sulfate aqueous solution) of continuous phase which is flowing in respect of the watercourse. The size of the oil droplet is greatly dependent on the structure of the microchannel regulated by microchannel width, microchannel height and terrace length (the even part of which the microchannel exit was equipped). Monodispersion emulsion of 16,20 and 48 {mu}m at the average droplet diameter was formed by using microchannel substrate of the three types of which the structure differs. Droplet diameter decreased, when the substrate which formed large droplet of 48 {mu}m in which the water current quantity is 1.4x10{sup -2}mLmin{sup -1} was used, when the flow rate increased. However, there was no a flow rate at droplet diameter, even if it was made to change from 1.4x10{sup -2} to 2.4mLmin{sup -1}, 16 {mu}m 20 {mu}m small change. In all cases, the droplet size distribution was narrow, and the geometry standard deviation was under 1.03. (translated by NEDO)
Almeda, Rodrigo; Cosgrove, Sarah; Buskey, Edward J
2018-04-25
After oil spills and dispersant applications the formation of red tides or harmful algal blooms (HABs) has been observed, which can cause additional negative impacts in areas affected by oil spills. However, the link between oil spills and HABs is still unknown. Here, we present experimental evidence that demonstrates a connection between oil spills and HABs. We determined the effects of oil, dispersant-treated oil, and dispersant alone on the structure of natural plankton assemblages in the Northern Gulf of Mexico. In coastal waters, large tintinnids and oligotrich ciliates, major grazers of phytoplankton, were negatively affected by the exposure to oil and dispersant, whereas bloom-forming dinoflagellates ( Prorocentrum texanum, P. triestinum, and Scrippsiella trochoidea) notably increased their concentration. The removal of key grazers due to oil and dispersant disrupts the predator-prey controls ("top-down controls") that normally function in plankton food webs. This disruption of grazing pressure opens a "loophole" that allows certain dinoflagellates with higher tolerance to oil and dispersants than their grazers to grow and form blooms when there are no growth limiting factors (e.g., nutrients). Therefore, oil spills and dispersants can act as disrupters of predator-prey controls in plankton food webs and as indirect inducers of potentially harmful dinoflagellate blooms.
In-house manufacturing of cylindrical silicone models for hemodynamic research
Denisenko, Nikita S.; Kulik, Viktor M.
2017-10-01
Laboratory studies of fluid motion in artificial vessels modeling a distinct part of circulatory system of human are of a great importance for fundamental biomechanics and for medical applications. In the medicine they are used for advancing known and developing new methods for curing cardiovascular diseases. In biomechanics, the phantoms of blood vessels are used for studying the fluid motion. However, they are quite expensive. Therefore, a development of technique for in-house manufacturing of phantoms is quite attractive. In this paper methods of manufacturing cylindrical channels of silicone rubbers (the model of the straight part of an artery) and determination of their elastic properties are described. A specially developed acrylic mold is used for this purpose. The phantoms are cast from a mixture of SKTN-A silicone and PMS-5 oil (Penta-91, Novosibirsk, Russia). The oil is used for changing elasticity properties of the silicone.
Selective retention of oils in aqueous mixtures using palygorskite treated with waterproof materials
International Nuclear Information System (INIS)
Matesanz, J.M.; Aisa, C.; Aragues, J.L.; Ovelleiro, J.L.
1994-01-01
An oil-selective absorbent has been developed from clay materials that is easy to manufacture and use, and provides good results in different working conditions, compared to conventional water treatment methods. The absorbent can be used in fixed beds in a column or spread directly onto an oil spill. The absorbent is a palygorskite (attapulgite) coated with a silicone. The silicone coating reduces the water absorption capacity of the palygorskite to near zero, but affects oil absorption capacity very little. The Westinghouse method was used to evaluate the absorption capacity of the silicone-coated palygorskite. The results indicate that the material could be a good choice for reducing the organic matter content of drinking water. 4 refs., 2 figs., 2 tabs
Nanoscale semiconducting silicon as a nutritional food additive
Energy Technology Data Exchange (ETDEWEB)
Canham, L T [pSiNutria Ltd, Malvern Hills Science Park, Geraldine Road, Malvern, Worcestershire WR14 3SZ (United Kingdom)
2007-05-09
Very high surface area silicon powders can be realized by high energy milling or electrochemical etching techniques. Such nanoscale silicon structures, whilst biodegradable in the human gastrointestinal tract, are shown to be remarkably stable in most foodstuffs and beverages. The potential for using silicon to improve the shelf life and bioavailability of specific nutrients in functional foods is highlighted. Published drug delivery data implies that the nanoentrapment of hydrophobic nutrients will significantly improve their dissolution kinetics, through a combined effect of nanostructuring and solid state modification. Nutrients loaded to date include vitamins, fish oils, lycopene and coenzyme Q10. In addition, there is growing published evidence that optimized release of orthosilicic acid, the biodegradation product of semiconducting silicon in the gut, offers beneficial effects with regard bone health. The utility of nanoscale silicon in the nutritional field shows early promise and is worthy of much further study.
Nanoscale semiconducting silicon as a nutritional food additive
International Nuclear Information System (INIS)
Canham, L T
2007-01-01
Very high surface area silicon powders can be realized by high energy milling or electrochemical etching techniques. Such nanoscale silicon structures, whilst biodegradable in the human gastrointestinal tract, are shown to be remarkably stable in most foodstuffs and beverages. The potential for using silicon to improve the shelf life and bioavailability of specific nutrients in functional foods is highlighted. Published drug delivery data implies that the nanoentrapment of hydrophobic nutrients will significantly improve their dissolution kinetics, through a combined effect of nanostructuring and solid state modification. Nutrients loaded to date include vitamins, fish oils, lycopene and coenzyme Q10. In addition, there is growing published evidence that optimized release of orthosilicic acid, the biodegradation product of semiconducting silicon in the gut, offers beneficial effects with regard bone health. The utility of nanoscale silicon in the nutritional field shows early promise and is worthy of much further study
Alkyl PAH in crude oil cause chronic toxicity to early life stages of fish. Volume 1
Energy Technology Data Exchange (ETDEWEB)
Hodson, P.V.; Khan, C.W.; Saravanabhavan, G.; Clarke, L.; Brown, R.S. [Queen' s Univ., Kingston, ON (Canada). School of Environmental Studies; Hollebone, B.; Wang, Z. [Environment Canada, Ottawa, ON (Canada). ; Short, J. [National Oceanic and Atmospheric Administration, Juneau, AK (United States). Auke Bay Lab; Lee, K.; King, T. [Fisheries and Oceans Canada, Dartmouth, NS (Canada). Centre for Offshore Oil and Gas Environmental Research
2007-07-01
In order to mitigate the risk to fisheries following an offshore oil spill, it is necessary to know the components of crude oil that are toxic. Chronic exposure of early life stages of fish to crude oil causes Blue Sac Disease, a syndrome characterized by induction of the cytochrome P450 (CYP1A) enzyme. In this study, effects-driven fractionation of Alaska North Slope Crude was used to identify the classes of compounds that cause CYP1A induction in juvenile rainbow trout and chronic toxicity to developing stages of Japanese medaka. Four fractions of compounds were created by low temperature vacuum distillation. This separated the constituents of oil according to their volatility within defined temperature ranges. The fractions were separated according to their boiling points. With a temperature range of 287-481 degrees C, fraction F3 was the only fraction as toxic as whole oil and induced CYPP1A enzymes of fish. Fractions containing specific classes of alkyl PAH were also collected. For all separations, the performance of the method was evaluated by the extent to which PAH were separated from aliphatics, resins and waxes, as well as by the quantitative recovery of mass in fractions and subfractions. The induction of CYP1A enzymes showed that PAH was present in all fractions that were highly toxic, but the toxicity tests indicated that not all fractions containing PAH were toxic. This research provided a scientific basis for comparing the risks of different crude oils based on chemical analyses that show the different proportions or amounts of PAH present. The results indicate which compounds of concern should be used to determine the extent and success of oil spill remediation, and provide a biological interpretation of chemical fingerprinting used to discriminate the sources of oil pollution. 15 refs., 1 tab.
Influence of pigments and opacifiers on color stability of an artificially aged facial silicone.
dos Santos, Daniela Micheline; Goiato, Marcelo Coelho; Moreno, Amália; Pesqueira, Aldiéris Alves; Haddad, Marcela Filiè
2011-04-01
The aim of this study was to evaluate the influence of two pigments (ceramic powder and oil paint) and one opacifier (barium sulfate) on the color stability of MDX4-4210 facial silicone submitted to accelerated aging. Sixty specimens of silicone were fabricated and divided into six groups--colorless (G1), colorless with opacifier (G2), ceramic (G3), ceramic with opacifier (G4), oil (G5), oil with opacifier (G6). All replicas were submitted to accelerated aging for 1008 hours. The evaluations of chromatic alteration through visual analysis and reflection spectrophotometry were carried out initially and after 252, 504, and 1008 hours of aging. The results were submitted to ANOVA and Tukey's test at 5% level of significance. All groups exhibited chromatic alteration (ΔE > 0); however, this color alteration was not perceptible through visual analysis of the color. The pigmented groups with opacifier presented the lowest ΔE values, with a statistical difference from the other groups. For the groups without opacifier, the group pigmented with oil paint exhibited the lowest ΔE values in the different aging periods, with a statistical difference. Accelerated aging generated significant chromatic alterations in all groups after 252 hours, except for the colorless and oil groups, both with opacifier (G2 and G6). The opacifier protects facial silicones against color degradation, and oil paint is a stable pigment even without addition of opacifier. © 2010 by The American College of Prosthodontists.
Tuominen, Eija
2012-01-01
The purpose of this work was to develop radiation hard silicon detectors. Radiation detectors made ofsilicon are cost effective and have excellent position resolution. Therefore, they are widely used fortrack finding and particle analysis in large high-energy physics experiments. Silicon detectors willalso be used in the CMS (Compact Muon Solenoid) experiment that is being built at the LHC (LargeHadron Collider) accelerator at CERN (European Organisation for Nuclear Research). This work wasdone in the CMS programme of Helsinki Institute of Physics (HIP).Exposure of the silicon material to particle radiation causes irreversible defects that deteriorate theperformance of the silicon detectors. In HIP CMS Programme, our approach was to improve theradiation hardness of the silicon material with increased oxygen concentration in silicon material. Westudied two different methods: diffusion oxygenation of Float Zone silicon and use of high resistivityCzochralski silicon.We processed, characterised, tested in a parti...
A study of positron irradiated porous silicon
International Nuclear Information System (INIS)
Huang Yuanming; Xue Qing; Zhai Baogai; Xu Aijun; Liu Shewen; Yu Weizhong
1998-01-01
The effect of positron irradiation on photoluminescence (PL) of porous silicon has been studied. After four hour positron irradiation, the red PL spectrum of porous silicon blue shifts into greenish spectral region, and a higher energy luminescence band is introduced into this blueshifted spectrum. The fourier transform infrared absorption experiment shows that the positron irradiation can cause further oxidization of porous silicon. A possible mechanism causing this change of PL spectra after positron irradiation is suggested
Schargus, Marc; Werner, Benjamin P; Geerling, Gerd; Winter, Gerhard
2017-08-21
The particle counts and the nature of particles of three different antivascular endothelial growth factor agents (VEGF) in different containers in a laboratory setting were compared. Original prefilled ranibizumab glass syringes, original vials with aflibercept, and repacked ready-to-use plastic syringes with bevacizumab from a compounding pharmacy and a compounding company (CC) were analyzed. Particle counts and size distributions were quantified by different particle characterization methods (nephelometry, light obscuration, Micro-Flow Imaging, nanotracking analysis, resonant mass measurement). Using high-performance size-exclusion chromatography (HP-SEC), levels of protein drug monomer and soluble aggregates were determined. Nearly all samples showed similar product quality. Light obscuration and Micro-Flow Imaging showed a 4-fold to 9-fold higher total particle count in compounding company bevacizumab (other samples up to 42,000 particles/mL). Nanotracking analysis revealed highest values for compounding company bevacizumab (6,375 million particles/mL). All containers showed similar amounts of silicone oil microdroplets. Ranibizumab showed lowest particle count of all tested agents with only one monomer peak in HP-SEC. Repackaged bevacizumab from different suppliers showed varying product quality. All three tested agents are available in similar quality regarding particulate purity and silicone oil microdroplet count. Repackaging can have a major impact on the quality.
Macular edema in siliconized eyes
Directory of Open Access Journals (Sweden)
Kaya A
2016-05-01
Full Text Available Abdullah Kaya,1 Yakup Aksoy,2 Yıldıray Yildirim,3 Murat Sonmez3 1Department of Ophthalmology, Anittepe Military Dispensary, Ankara, Turkey; 2Department of Ophthalmology, Girne Military Hospital, Girne, Cyprus; 3Department of Ophthalmology, GATA Haydarpasa Training Hospital, Istanbul, TurkeyWe read with great interest the article titled “Value of optical coherence tomography in the detection of macular pathology before the removal of silicone oil” by Rashad et al.1 The authors have evaluated the optical coherence tomography (OCT findings before the removal of silicone oil (SiO. We congratulate the authors for this well-organized study and would like to contribute to their findings.View original paper by Rashad and colleagues.
Horita, Susumu; Jain, Puneet
2018-03-01
We investigated the dependences of the deposition rate and residual OH content of SiO2 films on the concentration of trichloroethylene (TCE), which was added during deposition at low temperatures of 160-260 °C with the reactant gases of silicone oil (SO) and O3. The deposition rate depends on the TCE concentration and is minimum at a concentration of ˜0.4 mol/m3 at 200 °C. The result can be explained by surface and gas-phase reactions. Experimentally, we also revealed that the thickness profile is strongly affected by gas-phase reaction, in which the TCE vapor was blown directly onto the substrate surface, where it mixed with SO and O3. Furthermore, it was found that adding TCE vapor reduces residual OH content in the SiO2 film deposited at 200 °C because TCE enhances the dehydration reaction.
Variation detection and respondents’ feedback: the cause, effect, and solution of oil spills.
Directory of Open Access Journals (Sweden)
Ayodele Sunday Tologbonse
2018-01-01
Full Text Available Centred on occurrences of pipeline explosion and oil spills in a host community; a supervised classification technique, of land use/land cover variation detection was carried-out, with Landsat imageries of three time intervals, to determine the percentage of variation between the time intervals. Also carried-out, was a random sampling of questionnaires; dispatch to acquire respondents’ feedback. It addressed respondents’ demographic and social-economic composition of the sample population, the perception on the cause and the impact, and the effect of the oil spill and finally considered the possible solutions. Information was subjected to descriptive analysis and an F-test statistical analysis in a 95% confidence interval. Reports showed that land use/land cover classification had undergone series of percentage variation within the time interval considered, indicating ‘remarks’ of a rise or a decline. While, the measure of insecurity (of about 36.7% is a prevailing element to the unceasing attack on oil pipelines and only a sustaining security measure (of about 40.8% will evidently pave a way-out. Wherefore advocating for community based policing, and a comprehensive technological sensor system, for monitoring of oil pipelines/facilities across the Nation.
Al Khasawnah, Qusai; Hassan, Fathi; Malhan, Deeksha; Engelhardt, Markus; Daghma, Diaa Eldin S.; Obidat, Dima; Lips, Katrin S.; Heiss, Christian
2018-01-01
Background The study aim is to avoid tooth extraction by nonsurgical treatment of periapical lesion. It assesses healing progress in response to calcium hydroxide-iodoform-silicon oil paste (CHISP). Numeric Pain Rating Scale was used to validate the approach. Furthermore, CHISP was used to treat cystic lesions secondary to posttraumatic avulsion of permanent teeth. Materials and Methods Over 200 patients with radicular cysts were treated with CHISP through the root canal. Radiographs were used to verify lesion size and position, ensure correct delivery to the site, and monitor the progress of bone healing in the lesion area. Ten males and 10 females were randomly selected for statistical assessment. Results No severe pain, complications, or failure in cyst healing was reported. Complete healing was achieved in an average of 75 days. Furthermore, healing of radicular cyst secondary to posttraumatic tooth avulsion was successful. Conclusion CHISP indicated an antiseptic effect, which enhanced and shortened healing time of periapical lesions. The less invasive procedure avoids tooth extraction and reduces bone resorption. Cyst management with CHISP can remedy failed root canal treatments. The results show a bone regenerative capacity of CHISP suggested in first rapid phase and a second slow phase. PMID:29619378
Oil sands mining and reclamation cause massive loss of peatland and stored carbon
Rooney, Rebecca C.; Bayley, Suzanne E.; Schindler, David W.
2012-01-01
We quantified the wholesale transformation of the boreal landscape by open-pit oil sands mining in Alberta, Canada to evaluate its effect on carbon storage and sequestration. Contrary to claims made in the media, peatland destroyed by open-pit mining will not be restored. Current plans dictate its replacement with upland forest and tailings storage lakes, amounting to the destruction of over 29,500 ha of peatland habitat. Landscape changes caused by currently approved mines will release between 11.4 and 47.3 million metric tons of stored carbon and will reduce carbon sequestration potential by 5,734–7,241 metric tons C/y. These losses have not previously been quantified, and should be included with the already high estimates of carbon emissions from oil sands mining and bitumen upgrading. A fair evaluation of the costs and benefits of oil sands mining requires a rigorous assessment of impacts on natural capital and ecosystem services. PMID:22411786
Formulation of Ylang-Ylang Oil Transparent Soap and Antibacterial Test Against Acne-Causing Bacteria
Directory of Open Access Journals (Sweden)
Febriyenti F.
2015-02-01
Full Text Available Ylang-ylang oil transparent soaps in three concentration i.e. 3.1 %, 3.85 % and 4.58 % have been formulated using virgin coconut oil (VCO, olive oil and stearic acid as a base soap reacted with NaOH as alkalin base. Transparent soap were tested for its identification, pH, wetting test, the foam on distilled water, the foam on hard water, skin irritation consumen preference test and micobiology test using the agar diffusion technique against bacterium cause of acnes like Staphylococcus epidermidis. The results showed that all formulas were stable for six weeks during storage conditions. Ylang-ylang transparent soap had medium antimicrobial activity (12-16 mm against S. epidermidis. Statistical evaluation of pH, wetting test and micobiology test from formulas against control by using one way ANOVA had significant difference (p<0,05.
Degradation of the photoluminescence of porous silicon caused by 60Co γ radiation
International Nuclear Information System (INIS)
Astrova, E.V.; Emtsev, V.V.; Lebedev, A.A.
1995-01-01
Two series of experiments were carried out. In the first, as-grown porous silicon was bombarded with 60 Co γ radiation to a dose ∼ 10 20 cm -2 . The photoluminescence intensity fell off by a factor ∼ 50 as a result, although the peak of the band underwent essentially no shift. In the second series, single-crystal silicon was bombarded to the same dose, and then porous silicon was fabricated on it. The intensity and spectra of these samples were the same as usual. Possible degradation mechanisms are discussed. 12 refs., 2 figs
International Nuclear Information System (INIS)
Hannan, M.A.; Oluwole, A.F.; Kehinde, L.O.; Borisade, A.B.
2003-01-01
Classification, assessment, and utilization of coal and crude oil extracts are enhanced by analysis of their oxygen content. Values of oxygen obtained 'by difference' from chemical analysis have proved inaccurate. The oxygen, nitrogen, and silicon content of Nigerian coal samples, crude oils, bitumen extracts, and tar sand samples were measured directly using instrumental fast neutron activation analysis (FNAA). The total oxygen in the coal ranges from 5.20% to 23.3%, in the oil and extracts from 0.14% to 1.08%, and in the tar sands from 38% to 47%. The nitrogen content in the coal ranges from 0.54% to 1.35%, in the crude oil and bitumen extracts from ≤ 0.014% to 0.490%, and in the tar sands from 0.082% to 0.611%. The silicon content in the coal ranges from 1.50% to 8.86%; in the oil and the bitumen extracts it is <1%, and in the tar sands between 25.1% and 37.5%. The results show that Nigerian coals are mostly sub-bituminous. However, one of the samples showed bituminous properties as evidenced by the dry ash-free (daf) percent of carbon obtained. This same sample indicated a higher ash content resulting in a comparatively high percentage of silicon. In oils and tar sands from various locations, a comparison of elements is made. (author)
Chemically Etched Silicon Nanowires as Anodes for Lithium-Ion Batteries
Energy Technology Data Exchange (ETDEWEB)
West, Hannah Elise [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)
2015-08-01
This study focused on silicon as a high capacity replacement anode for Lithium-ion batteries. The challenge of silicon is that it expands ~270% upon lithium insertion which causes particles of silicon to fracture, causing the capacity to fade rapidly. To account for this expansion chemically etched silicon nanowires from the University of Maine were studied as anodes. They were built into electrochemical half-cells and cycled continuously to measure the capacity and capacity fade.
Spreading of oil films on water in the surface tension regime
Energy Technology Data Exchange (ETDEWEB)
Camp, D.W.
1985-01-01
Surface tension forces will cause an oil to spread over water if the tension of the oil film (the summed surface and interfacial tensions for bulk oil films, or the equilibrium spreading tension for monomolecular films) is less than the surface tension of water. For oil films spreading in a 40 cm long channel, measurements are made of leading edge position and lateral profiles of film thickness, velocity, and tension as a function of time. Measurements of the tension profiles, important for evaluating proposed theories, is made possible by the development of a new technique based on the Wilhelmy method. The oils studied were silicones, fatty acids and alcohols, and mixtures of surfactants in otherwise nonspreading oils. The single-component oils show an acceleration zone connecting a slow-moving inner region with a fast-moving leading monolayer. The dependence of film tension on film thickness for spreading single-component oils often differs from that at equilibrium. The mixtures show a bulk oil film configuration which extends to the leading edge and have velocity profiles which increase smoothly. The theoretical framework, similarity transformation, and asymptotic solutions of Foda and Cox for single-component oils were shown to be valid. An analysis of spreading surfactant-oil mixtures is developed which allows them to be treated under this framework. An easily-used semi-empirical model is proposed which allows them to be treated under this framework. An easily-used semi-empirical model is proposed which allows accurate prediction of detailed spreading behavior for any spreading oil.
Energy Technology Data Exchange (ETDEWEB)
Wylde, J.J. [Society of Petroleum Engineers, Canadian Section, Calgary, AB (Canada)]|[Clariant Oil Services, Montreal, PQ (Canada)
2008-10-15
Heavy oil operators in northern Alberta have experienced production problems associated with foam formation in crude oil storage tanks. The foam could enter the transportation trucks and create separation problems in the process systems. Any antifoam used in the system could not contain silicone based polymers since these compounds affected the catalysts used in upgrading the crude oil and in the manufacture of asphalt. As such, there was a need to change the performance of the antifoam product. A phosphate ester and a salted amine were the previous incumbent antifoam products that did not perform well. Several chemistries were tested, including phosphate based products; ethoxylated and propoxylated esters; polyethylene glycol esters and oleates; alcohols, fatty alcohols and ethoxylated; and propoxylated alcohols. All products had to be freeze protected to -40 degrees C, which influenced the efficacy of antifoam chemicals. This paper described how laboratory testing has evolved to field wide implementation of a combined defoamer/antifoam chemistry. The laboratory tests revealed that foam induced in heavy, aged crude was very challenging and required the addition of heptane to create the foam. A potential follow-up may be to induce the foam without the addition of heptane by using a Seltzer cylinder in a semi-quantitative manner to rank performance of products against one another. The final selection of antifoam will depend on supply chain cost since the performance of the 2 blend products was essentially the same. 12 refs., 7 figs.
Property control of graphene aerogels by in situ growth of silicone polymer
Zhou, Shuai; Zhou, Xiang; Hao, Gazi; Jiang, Wei; Wang, Tianhe
2018-05-01
Modulation of the density (from 3.5 to 64 mg cm-3), hydrophobicity and oil-uptake capability of graphene aerogels in extensive ranges were achieved by reacting (3-Mercaptopropyl)trimethoxysilane (MPS) with graphene oxide solutions under heating. The reaction allowed a characteristic silicone substructure to be formed on graphene and joint the graphene layers firmly together. With the increase of MPS concentrations (≤ca. 0.2 vol%), the nano silicone polymer grown on graphene functioned as a "linker" and "spacer", leading to a substantial decrease of the aerogel density. Because of the formation of silicone polymer and the characteristic nano-micro substructures on the backbones of graphene aerogels, the graphene aerogels exhibited a high hydrophobicity with the water contact angle consistently exceeding 142 degrees. Functionalized graphene aerogels with a density of 3.5 mg cm-3 were conveniently fabricated that displayed an extraordinary oil absorption capacity, 182 times for lubricating oil and 143 times for n-hexane of its own weight. Furthermore, the aerogels maintained their ultra-high absorption capability even after 20 absorption-distillation cycles, due to structural integrity held by the strong interfacial adhesion between graphene sheets and polymer chains of aerogels. This study offers a promising graphene aerogels and also provides a strategy for fabricating extra low dense functional materials.
Directory of Open Access Journals (Sweden)
Emiliano Carretti
2010-10-01
Full Text Available A detailed study of the rheological properties of silicone oil gels, made from a low-molecular-mass organic gelator, a combination of 1-octadecylamine (a latent gelator and carbon dioxide (an ‘activating’ molecule, is reported. Information gleaned from the mechanical measurements is used to characterize the gel networks and how they respond to temperature and strain. It is shown, for example, that very precise measurements of the gel-to-sol transitions can be obtained from plots of viscosity versus temperature.
Long-term oil contamination causes similar changes in microbial communities of two distinct soils.
Liao, Jingqiu; Wang, Jie; Jiang, Dalin; Wang, Michael Cai; Huang, Yi
2015-12-01
Since total petroleum hydrocarbons (TPH) are toxic and persistent in environments, studying the impact of oil contamination on microbial communities in different soils is vital to oil production engineering, effective soil management and pollution control. This study analyzed the impact of oil contamination on the structure, activity and function in carbon metabolism of microbial communities of Chernozem soil from Daqing oil field and Cinnamon soil from Huabei oil field through both culture-dependent techniques and a culture-independent technique-pyrosequencing. Results revealed that pristine microbial communities in these two soils presented disparate patterns, where Cinnamon soil showed higher abundance of alkane, (polycyclic aromatic hydrocarbons) PAHs and TPH degraders, number of cultivable microbes, bacterial richness, bacterial biodiversity, and stronger microbial activity and function in carbon metabolism than Chernozem soil. It suggested that complicated properties of microbes and soils resulted in the difference in soil microbial patterns. However, the changes of microbial communities caused by oil contamination were similar in respect of two dominant phenomena. Firstly, the microbial community structures were greatly changed, with higher abundance, higher bacterial biodiversity, occurrence of Candidate_division_BRC1 and TAO6, disappearance of BD1-5 and Candidate_division_OD1, dominance of Streptomyces, higher percentage of hydrocarbon-degrading groups, and lower percentage of nitrogen-transforming groups. Secondly, microbial activity and function in carbon metabolism were significantly enhanced. Based on the characteristics of microbial communities in the two soils, appropriate strategy for in situ bioremediation was provided for each oil field. This research underscored the usefulness of combination of culture-dependent techniques and next-generation sequencing techniques both to unravel the microbial patterns and understand the ecological impact of
Directory of Open Access Journals (Sweden)
Aijie MA
2016-05-01
Full Text Available Self-healing materials could repair themselves without external influences when they are damaged. In this paper, microcapsules are prepared by in-situ polymerization method with vinyl silicone oil as core material, polyurea formaldehyde (PUF as wall material and polyvinyl alcohol as dispersants. The morphology and structure of the microcapsules are tested with scanning electron microscopy (SEM, polarizing microscope(PM)and laser particle analyzer(LPA. Effect of the reaction temperature, stirring speed and PVA concentration on the yield, embedding ratio, particle size and distribution of the microcapsules are studied. Results show that the microcapsules can be successfully prepared by in situ polymerization method. When the reaction temperature was 60℃, the stirring speed 1000 r/min, dispersant concentration 0.1%, the yield and embedding ratio of the microcapsule are 52.5% and 50.1%. The microcapsules prepared have smooth surface, well dispersibility, narrow particle size distribution and the average particle size is 13 μm.DOI: http://dx.doi.org/10.5755/j01.ms.22.2.13026
Tetteh, Sophia; Bibb, Richard J; Martin, Simon J
2018-05-30
The objective of this study was to determine the effect of plant based antimicrobial solutions specifically tea tree and Manuka oil on facial silicone elastomers. The purpose of this in vitro study was to evaluate the effect of disinfection with plant extract solution on mechanical properties and morphology on the silicone elastomer. Test specimens were subjected to disinfection using tea tree oil, Manuka oil and the staphylococcus epidermidis bacteria. Furthermore, a procedure duration was used in the disinfection process to simulate up to one year of usage. Over 500 test specimens were fabricated for all tests performed namely hardness, elongation, tensile, tear strength tests, visual inspection and lastly surface characterization using SEM. A repeated measures ANOVA revealed that hardness and elongation at break varied significantly over the time period, whereas this was not observed in the tear and tensile strength parameters of the test samples.
Directory of Open Access Journals (Sweden)
Sophia Tetteh
2018-05-01
Full Text Available The objective of this study was to determine the effect of plant based antimicrobial solutions specifically tea tree and Manuka oil on facial silicone elastomers. The purpose of this in vitro study was to evaluate the effect of disinfection with plant extract solution on mechanical properties and morphology on the silicone elastomer. Test specimens were subjected to disinfection using tea tree oil, Manuka oil and the staphylococcus epidermidis bacteria. Furthermore, a procedure duration was used in the disinfection process to simulate up to one year of usage. Over 500 test specimens were fabricated for all tests performed namely hardness, elongation, tensile, tear strength tests, visual inspection and lastly surface characterization using SEM. A repeated measures ANOVA revealed that hardness and elongation at break varied significantly over the time period, whereas this was not observed in the tear and tensile strength parameters of the test samples.
Directory of Open Access Journals (Sweden)
Qusai Al Khasawnah
2018-01-01
Full Text Available Background. The study aim is to avoid tooth extraction by nonsurgical treatment of periapical lesion. It assesses healing progress in response to calcium hydroxide-iodoform-silicon oil paste (CHISP. Numeric Pain Rating Scale was used to validate the approach. Furthermore, CHISP was used to treat cystic lesions secondary to posttraumatic avulsion of permanent teeth. Materials and Methods. Over 200 patients with radicular cysts were treated with CHISP through the root canal. Radiographs were used to verify lesion size and position, ensure correct delivery to the site, and monitor the progress of bone healing in the lesion area. Ten males and 10 females were randomly selected for statistical assessment. Results. No severe pain, complications, or failure in cyst healing was reported. Complete healing was achieved in an average of 75 days. Furthermore, healing of radicular cyst secondary to posttraumatic tooth avulsion was successful. Conclusion. CHISP indicated an antiseptic effect, which enhanced and shortened healing time of periapical lesions. The less invasive procedure avoids tooth extraction and reduces bone resorption. Cyst management with CHISP can remedy failed root canal treatments. The results show a bone regenerative capacity of CHISP suggested in first rapid phase and a second slow phase.
Radiation Hardening of Silicon Detectors
Leroy, C; Glaser, M
2002-01-01
%RD48 %title\\\\ \\\\Silicon detectors will be widely used in experiments at the CERN Large Hadron Collider where high radiation levels will cause significant bulk damage. In addition to increased leakage current and charge collection losses worsening the signal to noise, the induced radiation damage changes the effective doping concentration and represents the limiting factor to long term operation of silicon detectors. The objectives are to develop radiation hard silicon detectors that can operate beyond the limits of the present devices and that ensure guaranteed operation for the whole lifetime of the LHC experimental programme. Radiation induced defect modelling and experimental results show that the silicon radiation hardness depends on the atomic impurities present in the initial monocrystalline material.\\\\ \\\\ Float zone (FZ) silicon materials with addition of oxygen, carbon, nitrogen, germanium and tin were produced as well as epitaxial silicon materials with epilayers up to 200 $\\mu$m thickness. Their im...
DEFF Research Database (Denmark)
Madsen, Frederikke Bahrt; Zakaria, Shamsul Bin; Yu, Liyun
2016-01-01
Dielectric elastomer materials for actuators need to be soft and stretchable while possessing high dielectric permittivity. Soft silicone elastomers can be obtained through the use of silicone oils, while enhanced permittivity can be obtained through the use of dipolar groups on the polymer backb...
Alizadeh Behbahani, Behrooz; Tabatabaei Yazdi, Farideh; Vasiee, Alireza; Mortazavi, Seyed Ali
2018-01-01
Oliveria decumbens as a valuable medicinal plant is extensively used in traditional medicine. clinical and standard strains causing infection resistance to antimicrobial agents, is one of the important problems in medicine. The aim of this study was to investigate the antibacterial activities and phytochemical analysis of Oliveria decumbens essential oil on the growth of some clinical and standard strains causing infection (Pseudomonas aerogenes, Escherichia coli, Streptococcus pyogenes and Staphylococcus epidermidis). Oliveria decumbens essential oil composition was identified by gas chromatography/mass spectrometry. Phytochemical analysis (alkaloids, saponins, flavone and phenolic) essential oil of the Oliveria decumbens were appraised based on qualitative methods. Several methods (disk diffusion, minimum inhibitory concentration (MIC) and minimum bactericidal concentration (MBC)) were used to appraise the antibacterial activity of the Oliveria decumbens essential oil. Thymol (28.45%) was the major compound of Oliveria decumbens essential oil. The total phenolics content (TPC) of the essential oil positively correlated with antioxidant activity (AA). The TPC and AA of Oliveria decumbens essential oil was equal to 92.45 ± 0.70 μg GAE/mg and 164.45 ± 1.20 μg/ml, respectively. The MIC of Oliveria decumbens essential oil ranged from 1 to 8 mg/ml depending on the type of bacteria (clinical and standard strains). The MBC of Oliveria decumbens essential oil varied from 1 mg/ml to 16 mg/ml. The smallest inhibition zone diameter (IZD) on different Oliveria decumbens essential oil concentrations on P. aeruginosa. Results indicate that Oliveria decumbens essential oil can prove to be an important source of AA and antibacterial and may be used for the treatment of infection diseases. Copyright © 2017 Elsevier Ltd. All rights reserved.
Efficiency Enhancement of Silicon Solar Cells by Porous Silicon Technology
Directory of Open Access Journals (Sweden)
Eugenijus SHATKOVSKIS
2012-09-01
Full Text Available Silicon solar cells produced by a usual technology in p-type, crystalline silicon wafer were investigated. The manufactured solar cells were of total thickness 450 mm, the junction depth was of 0.5 mm – 0.7 mm. Porous silicon technologies were adapted to enhance cell efficiency. The production of porous silicon layer was carried out in HF: ethanol = 1 : 2 volume ratio electrolytes, illuminating by 50 W halogen lamps at the time of processing. The etching current was computer-controlled in the limits of (6 ÷ 14 mA/cm2, etching time was set in the interval of (10 ÷ 20 s. The characteristics and performance of the solar cells samples was carried out illuminating by Xenon 5000 K lamp light. Current-voltage characteristic studies have shown that porous silicon structures produced affect the extent of dark and lighting parameters of the samples. Exactly it affects current-voltage characteristic and serial resistance of the cells. It has shown, the formation of porous silicon structure causes an increase in the electric power created of solar cell. Conversion efficiency increases also respectively to the initial efficiency of cell. Increase of solar cell maximum power in 15 or even more percent is found. The highest increase in power have been observed in the spectral range of Dl @ (450 ÷ 850 nm, where ~ 60 % of the A1.5 spectra solar energy is located. It has been demonstrated that porous silicon technology is effective tool to improve the silicon solar cells performance.DOI: http://dx.doi.org/10.5755/j01.ms.18.3.2428
Influence of damage caused by Kr ions and neutrons on electrical properties of silicon detectors
International Nuclear Information System (INIS)
Croitoru, N.; Gubbini, E.; Rancoita, P.G.; Rattaggi, M.; Seidman, A.
1999-01-01
In this paper, new measurements of physical properties of high-resistivity silicon, used in high-energy detectors, are presented. The obtained data contribute to the understanding of the causes which damage the 2electronic characteristics of the detection systems under irradiation of neutrons and ionized particles (Kr). The Hall effect coefficient (R H ) and resistivity (ρ) measurements as a function of temperature (T), for non-irradiated and irradiated by neutrons and Kr ions, were performed. The measurements of the Hall coefficient and resistivity of non-irradiated samples and irradiated at neutron fluences (PHI≤9.9x10 10 n/cm 2 ) and Kr (PHI≤7.5x10 8 Kr/cm 2 ), have shown that the obtained characteristics, R H (T) and ρ(T), are of the same shape as those known for a silicon single crystal. A slight difference of the slope of ln ρ∼ln T, for neutron- and a large difference for Kr ion irradiation as compared with that of non-irradiated samples, was observed. On increasing the irradiation to PHI larger than the value indicated above, for neutrons and Kr ions, important changes in the physical properties were observed. The resistivity increases with increasing PHI, up to a value of the same order with intrinsic silicon (ρ∼10 5 Ω cm), for both neutron and Kr ion irradiation. The values of R H increase with increasing PHI up to a fluence, for which a change of sign, from negative to positive, occurs. The variation of values of R H and ρ as a function of PHI, for neutrons and Kr ions, is similar, but the characteristics R H (PHI) and ρ(PHI), are displaced. Therefore, larger values of PHI are needed in order to obtain the same values of ρ as those for Kr ion irradiation. The dependence on T of electrical parameters of samples, irradiated at PHI≥9.9x10 10 n/cm 2 (neutrons) and PHI≥7.5x10 8 Kr/cm 3 (ions), cannot be explained, considering the usual theoretical relations. The results, obtained in these experiments, have shown a change of mechanism of
Influence of damage caused by Kr ions and neutrons on electrical properties of silicon detectors
Croitoru, N; Rancoita, P G; Rattaggi, M; Seidman, A
1999-01-01
In this paper, new measurements of physical properties of high-resistivity silicon, used in high-energy detectors, are presented. The obtained data contribute to the understanding of the causes which damage the 2electronic characteristics of the detection systems under irradiation of neutrons and ionized particles (Kr). The Hall effect coefficient (R sub H) and resistivity (rho) measurements as a function of temperature (T), for non-irradiated and irradiated by neutrons and Kr ions, were performed. The measurements of the Hall coefficient and resistivity of non-irradiated samples and irradiated at neutron fluences (PHI=9.9x10 sup 1 sup 0 n/cm sup 2 (neutrons) and PHI>=7.5x10 sup 8 Kr/cm sup 3 (ions), cannot be explained, considering the usual theoretical relations. The results, obtained in these experiments, have shown a change of mechanism of conduction due to the damaged regions, where localized levels are created, which are the main cause of the deviation of the electrical characteristics of the detectors ...
Parylene-on-oil packaging for long-term implantable pressure sensors.
Shapero, Aubrey M; Liu, Yang; Tai, Yu-Chong
2016-08-01
This paper reports and analyzes the feasibility study of a parylene-on-oil encapsulation packaging method of pressure sensors targeted for long-term implantation. Commercial barometric digital-output pressure sensors are enclosed in silicone oil and then encapsulated in situ with parylene-C or -D (PA-C, PA-D) chemical vapor deposition. Experimentally, sensors encapsulated with 30,000 cSt silicone oil and 27 μm PA-D show good performance for 6 weeks in 77 °C saline with >99 % of original sensitivity, corresponding to an extrapolated lifetime of around 21 months in 37 °C saline. This work shows that, with proper designs, such a packaging method can preserve the original pressure sensor sensitivity without offset, validated throughout accelerated lifetime tests. In experiments, wires on the prototypes are used for external electronics but it is found that they contributed to early failures, which would be absent in real wireless versions, indicating a potential for even longer lifetimes. Finally, a verified model is presented to predict the pressure sensor sensitivity of parylene-on-oil packaging with and without the presence of a bubble in the oil.
Oil ... Diesel oil ... Diesel oil poisoning can cause symptoms in many parts of the body. EYES, EARS, NOSE, AND THROAT Loss of ... most dangerous effects of hydrocarbon (such as diesel oil) poisoning are due to inhaling the fumes. NERVOUS ...
International Nuclear Information System (INIS)
Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.
1991-01-01
For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal
Guynot, M E; Ramos, A J; Setó, L; Purroy, P; Sanchis, V; Marín, S
2003-01-01
To investigate the volatile fractions of 16 essential oils for activity against the more common fungi causing spoilage of bakery products, Eurotium amstelodami, E. herbariorum, E. repens, E. rubrum, Aspergillus flavus, A. niger and Penicillium corylophilum. The study applied 50 microl of pure essential oils in a sterilized filter paper, were carried out at pH 6 and at different water activity levels (0.80-0.90). First, a wheat flour based agar medium was used, where cinnamon leaf, clove, bay, lemongrass and thyme essential oils where found to totally inhibit all microorganisms tested. These five essential oils were then tested in sponge cake analogues, but the antifungal activity detected was much more limited. Five essential oils showed potential antifungal capacity against all species tested, over a wide range of water availability. Their activity, however, seems to be substrate-dependent. More research is needed to make them work in real bakery products, as in the preliminary study limited effectiveness was found. The potential of the cinnamon leaf, clove, bay, lemongrass and thyme essential oils against species belonging to Eurotium, Aspergillus and Penicillium genus has been demonstrated.
International Nuclear Information System (INIS)
Gallo, Andres; Mason, Paul; Shapiro, Steve; Fabritius, Michael
2010-01-01
The continuing increases in oil prices have renewed the argument over the real culprits behind these movements. The growth in demand for oil in international markets, especially from the United States and China, is often identified as the main source of consumption pressure on prices, and thus the upward trend in oil prices. This paper uses unit root tests with two endogenous breaks to analyze the characteristics of oil prices, production, and consumption for several countries. By taking into account structural breaks, we find that many countries' oil consumption and oil prices are stationary, while other countries' are not. We also perform causality tests to determine the direction of any possible relationship between oil price and oil consumption and production. Our statistical analysis reveals that production variables cause oil prices, while oil prices tend to cause consumption. As a result, we claim that the blame for the recent fluctuations in oil prices is more appropriately associated with supply factors, not consumption influences. (author)
Oil-free culture system for in vitro bovine embryo production
Directory of Open Access Journals (Sweden)
Paulo B.D. Gonçalves
2010-04-01
Full Text Available The use of oil to avoid water evaporation from cell culture has several disadvantages, amongst which there is the migration of compounds from media to oil and from oil to media. The aim of this study was to evaluate the osmolality of a culture system using four-well plates with water in the central hole as an alternative to in vitro bovine embryo production (IVP. In addition, the osmolality changes of the oocyte washing medium were assessed in 35mm dishes with or without 2 mL of silicon oil overlay. Osmolality of oocyte washing medium changed a great deal over time after 60 minutes on a 39°C heated plate (291 mOsm kg-1, which was not detected when the medium was overlaid with silicon oil (280 mOsm kg-1; P0.05. Blastocyst rates were higher when embryos were cultured in presence of water or oil (29.7 and 29.9% for water and 33% in oil conventional microdrop system, except in the group that oocytes were washed in hyperosmotic washing medium (15.1%; P<0.05. Groups cultured in absence of water in the central hole had lower blastocyst rates (P<0.05 independently of exposure (15.5% or not (16.2 and 16.8% to hyperosmotic washing medium. In conclusion, four-well plates with water in the central hole can be an alternative to replace oil overlay for bovine IVP, maintaining stable osmolality and embryo development rates.
Directory of Open Access Journals (Sweden)
Khvostina I. M.
2015-05-01
Full Text Available The market environment, in which oil and gas companies operate, is characterized by elements of uncertainty and is accompanied by risks of entrepreneurship and production. Insufficient attention to the issues of risk management in the conditions of innovative development of enterprises leads to an inadequate response of oil and gas companies on the risks and threats that arise in the current economic environment, and, as a consequence, the adoption of unjustified managerial decisions. All this contributes to the significant threats in the activity of enterprises, limited mobility and loss of potential opportunities. The article defines the modern state oil and gas complex of Ukraine. The main problems of enterprises operating in this industry are considered. The causes of risks influencing the innovative activity of enterprises of oil and gas complex, the necessity of building an integrated risk management system are investigated.
Fabrication of a superhydrophobic polyurethane foam and its application for continuous oil removal
Liu, Hai-Dong; Gu, Bin; Yuan, Wei-Feng; He, Qi
2018-02-01
A new polyurethane foam with superhydrophobicity and excellent lipophilicity is presented and demonstrated experimentally in this work. The superhydrophobic foam is synthesized by dip coating the polyurethane foam with a mixture solution of silicone resine and silicon dioxide nanoparticles. Its superhydrophobic and oleophilic capacity is characterized and verified via the SEM images, the water contact angle measurement, the adsorption tests and recyclability tests for water and some typical oils. Combining with the vacuum assisted oil-water separation technology (VAST), continuous recovery of oil spill at the lab scale is realized on the new superhydrophobic foam. Moreover, the break through pressure for water penetrating through the superhydrophobic foam is determined experimentally and referred as the maximum operation pressure in the VAST.
International Nuclear Information System (INIS)
Wang, Hongzhe; Chen, Chao; Pan, Miao; Sun, Yiling; Yang, Xi
2015-01-01
Graphical abstract: - Highlights: • The phosphorus-doped SiN x with negative fixed charge was deposited by PECVD. • The increase of lifetime was observed on P-doped SiN x passivated Si under illumination. • The enhancement of lifetime was caused by the increase of negative fixed charges. - Abstract: This study reports a doubling of the effective minority carrier lifetime under light soaking conditions, observed in a boron-doped p-type Czochralski grown silicon wafer passivated by a phosphorus-doped silicon nitride thin film. The analysis of capacitance–voltage curves revealed that the fixed charge in this phosphorus-doped silicon nitride film was negative, which was unlike the well-known positive fixed charges observed in traditional undoped silicon nitride. The analysis results revealed that the enhancement phenomenon of minority carrier lifetime was caused by the abrupt increase in the density of negative fixed charge (from 7.2 × 10 11 to 1.2 × 10 12 cm −2 ) after light soaking.
Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós
2017-11-01
Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.
Oil spills often happen because of accidents, when people make mistakes or equipment breaks down. Other causes include natural disasters or deliberate acts. Oil spills have major environmental and economic effects. Oil ...
International Nuclear Information System (INIS)
McNallan, M.
1993-01-01
Silicon carbide and silicon nitride are resistant to oxidation because a protective silicon dioxide films on their surfaces in most oxidizing environments. Chloride compounds can attack the surface in two ways: 1) chlorine can attack the silicon directly to form a volatile silicon chloride compound or 2) alkali compounds combined with the chlorine can be transported to the surface where they flux the silica layer by forming stable alkali silicates. Alkali halides have enough vapor pressure that a sufficient quantity of alkali species to cause accelerated corrosion can be transported to the ceramic surface without the formation of a chloride deposit. When silicon carbide is attacked simultaneously by chlorine and oxygen, the corrosion products include both volatile and condensed spices. Silicon nitride is much more resistance to this type of attack than silicon carbide. Silicon based ceramics are exposed to oxidizing gases in the presence of alkali chloride vapors, the rate of corrosion is controlled primarily by the driving force for the formation of alkali silicate, which can be quantified as the activity of the alkali oxide in equilibrium with the corrosive gas mixture. In a gas mixture containing a fixed partial pressure of KCl, the rate of corrosion is accelerated by increasing the concentration of water vapor and inhibited by increasing the concentration of HCl. Similar results have been obtained for mixtures containing other alkalis and halogens. (Orig./A.B.)
Synchrotron SAXS/WAXD and rheological studies of clay suspensions in silicone fluid.
Zhang, Li-Ming; Jahns, Christopher; Hsiao, Benjamin S; Chu, Benjamin
2003-10-15
Suspensions of two commercial smectite clays, montmorillonite KSF and montmorillonite K10, in a low-viscosity silicone oil (Dow Corning 245 Fluid) were studied by simultaneous synchrotron small-angle X-ray scattering (SAXS)/wide-angle X-ray diffraction (WAXD) techniques and rheological measurements. In the 0.5% (w/v) KSF clay suspension and two K10 clay suspensions (0.5% and 1.0%), WAXD profiles below 2theta=10.0 degrees did not display any characteristic reflection peaks associated with the chosen montmorillonite clays, while corresponding SAXS profiles exhibited distinct scattering maxima, indicating that both clays were delaminated by the silicone oil. In spite of the large increase in viscosity, the clay suspensions exhibited no gel characteristics. Dynamic rheological experiments indicated that the clay/silicone oil suspensions exhibited the behavior of viscoelasticity, which could be influenced by the type and the concentration of the clay. For the K10 clay suspensions, the frequency-dependent loss modulus (G") was greater in magnitude than the storage modulus (G') in the concentration range from 0.5 to 12.0%. The increase in the clay concentration shifted the crossover point between G' and G" into the accessible frequency range, indicating that the system became more elastic. In contrast, the KSF clay suspension exhibited lower G' and G" values, indicating a weaker viscoelastic response. The larger viscoelasticity response in the K10 clay suspension may be due to the acid treatment generating a higher concentration of silanol groups on the clay surface.
Optical coupling study of plastic scintillation detectors: evaluation of different silicon products
International Nuclear Information System (INIS)
Hamada, M.M.; Madi Filho, T.; Mesquita, C.H. de
1990-01-01
Properties of different optical oils and greases in the range of 320-560 nm were studied. Several parameters as the transmitance, index of refraction, plastic scintillator fluorescence emission and its influence in the resolution and pulse height of the detection system were described. This paper shows a design to analyse the optical quality or adequacy of the silicon oils and greases in the coupling between the detector and the photocathode of the photomultiplier. (author) [pt
A comparison of the effectiveness of chloroform and eucalyptus oil in dissolving root canal sealers.
Schäfer, Edgar; Zandbiglari, Tannaz
2002-05-01
The solubility of 8 different root canal sealers in chloroform and in eucalyptus oil was compared. For standardized samples (n=12), ring molds were filled with mixed sealers based on epoxy resin, silicone, calcium hydroxide, zinc oxide-eugenol, glass ionomer, and polyketone. These samples were immersed in chloroform or eucalyptus oil for 30 seconds, 1 minute, 2 minutes, 5 minutes, 10 minutes, and 20 minutes. Then, the mean weight loss was determined and statistically analyzed. With the exception of the silicone, all the sealers showed significantly higher solubilities (P <.05) in chloroform than in eucalyptus oil. Epoxy resin was the most soluble sealer in chloroform. In eucalyptus oil, calcium hydroxide, and zinc oxide-eugenol showed the highest solubility. Under the conditions of this study, chloroform was a far more effective solvent of root canal sealers than eucalyptus oil. Because of the potential hazards of chloroform, further studies on the dissolution of root canal sealers in different solvents seem to be necessary.
Zhang, Yang; Zheng, Lin; Bian, Ailing; Zhou, Qi
2018-04-01
To compare the postoperative intraocular pressure (IOP) of eyes following pars plana vitrectomy (PPV) combined with intravitreal silicone oil (SO) tamponade by Corneal Visualization Scheimpflug Technology (CST), Goldmann applanation tonometry (GAT) and non-contact tonometry (NCT). Thirty-eight participants who had undergone PPV combined with SO tamponade to treat vitreoretinal diseases were enrolled. Postoperative IOP measurements were obtained using CST, NCT and GAT. Inter-device agreement was assessed by Bland-Altman analysis. The correlation coefficient was used to describe the potential postoperative factors affecting the postoperative IOP differences between each device. Bland-Altman analysis revealed the bias between CST and GAT, between CST and NCT, and between GAT and NCT to be -0.2, 2.1 and 2.4 mmHg, respectively. CST and GAT correlated well with each other. NCT values were lower than those of GAT and CST (all p contact method for measuring postoperative IOP in SO tamponade eyes.
Safety of Silicone Oil Removal in Cases of Gunshot Perforating Eye Injuries.
Ghoraba, Hammouda Hamdy; Elgouhary, Sameh Mohamed; Mansour, Hosam Osman; Abdel-Fattah, Hitham Mamoun; Heikal, Mohamed Amin; Elgemaey, Emad Mohamed
2017-03-01
To evaluate the feasibility and safety of silicone oil (SO) removal in cases of gunshot perforating eye injuries (PEI). A retrospective, consecutive, interventional study from medical records regarding cases of gunshot PEI during the periods of Egyptian political instability (January 2011 until December 2013). The main outcomes were to evaluate the feasibility of SO removal in cases of gunshot PEI and management of retinal detachment (RD) after SO removal in such cases. One hundred ninety-six eyes of 193 patients were reviewed. SO was removed in 72 eyes of 70 patients (36.7%). After SO removal, five eyes (6.9%) developed RD. One case refused any other intervention. RD in the remaining four cases was treated with revision surgery and SO reinjection. The retina remained stable throughout follow-up. None of the eyes developed phthisis bulbi after SO removal. Mean follow-up after SO removal was 10.86 months (range: 3 months to 30 months). Mean follow-up after management of RD after SO removal was 17.7 months (range: 13 months to 21 months). Patients aged younger than 20 years were associated with extensive fibrous proliferation, which might affect the safety profile of SO removal in cases of gunshot PEI. Rate of RD after SO removal in cases of apparent retinal stability and localized fibrous proliferation was 6.9%. Retinal detachment after SO removal in such cases can be treated with revision surgery and SO reinjection. [Ophthalmic Surg Lasers Imaging Retina. 2017;48:242-250.]. Copyright 2017, SLACK Incorporated.
HRTEM analysis of the nanostructure of porous silicon
International Nuclear Information System (INIS)
Martin-Palma, R.J.; Pascual, L.; Landa-Canovas, A.R.; Herrero, P.; Martinez-Duart, J.M.
2006-01-01
The nanometric structure of porous silicon makes this material to be very suitable for its use in many different fields, including optoelectronics and biological applications. In the present work, the structure of porous silicon was investigated in detail by means of cross-sectional high-resolution transmission electron microscopy and digital image processing, together with electron energy loss spectroscopy. The structure of the Si/porous silicon interface and that of the silicon nanocrystals that compose porous silicon have been analyzed in detail. A strong strain contrast in the Si/porous silicon interface caused by high stresses was observed. Accordingly, dislocation pairs are found to be a possible mechanism of lattice matching between porous silicon and the Si substrate. Finally, high relative concentration of oxygen in the porous silicon layer was observed, together with low relative electron concentration in the conduction band when compared to Si
Converting heavy oils into light oils, etc
Energy Technology Data Exchange (ETDEWEB)
Mony, H
1915-12-22
A process is described for transforming heavy oils obtained by the carbonization of lignites, peats, coals, shales into light oils, and also the heavy oils of mineral and vegetable origin, consisting of heating the heavy oils or tars in the presence of one or more solid substances or liquids suitably chosen to cause the distillation of the oils under atmospheric pressure at an appropriate temperature; solid and liquid substances which favor the production of light products under the influence of heat being added preferably to the oil to be treated before putting it in the retort and before heating, so that light oils are obtained by treatment of the heavy oils in a single operation.
Magnetorheological fluid based on thixotropic PTFE-oil organogel
Zhang, Hansong; Yan, Hua; Hu, Zhide; Yang, Jianjian; Niu, Fanghao
2018-04-01
Polytetrafluoroethylene (PTFE) micropowders were employed in this work to fabricate PTFE-oil organogel, then carbonyl iron particles were dispersed in this thixotropic organogel to prepare magnetorheological fluids without any other additives. By performing a comparative investigation of MRFs' performances, enhanced magnetorheological response, suspension stability and tribological performance were obtained contrast to pure silicon oil based MRFs. The experimental results revealed a changeable viscosity of organogel, considerable increases in thixotropy also can be observed with the increase of PTFE content. Sedimentation tests demonstrated a much better suspension stability of MRFs based on organogel, suggesting that the internal network microstructures formed by hydrogen bonds between PTFE microparticles and oil molecular chains are likely to impose the gaps among magnetic particles thus hinder the particle aggregation and sedimentation. Moreover, a critical PTFE volume fraction about 4.7 vol% was recognized in this study, lower content organogels tended to display enhanced yield stresses contrast to pure silicon oil based MRFs while high content organogels showed slightly lower ones. It may suggest a compromise between nonmagnetic particle adsorption and the reinforcement effect of network microstructures. The adsorption is likely to decrease the saturation magnetization of carbonyl iron particles and to hinder the formation of field-induced chains, however, the reinforcement effect tends to strengthen these magnetic chains. Besides, the tribological tests confirmed the lubricant effects of PTFE-oil organogel by acquiring rather sharp decreases in friction coefficients of organogel based MRFs especially in the presence of magnetic field.
International Nuclear Information System (INIS)
El-Batal, A.I.; Fathy, R.M.; Ismail, A.A.; Mubark, H.M.; Mahmoud, Y.A.
2011-01-01
Sclerotium rolfsii (S. rolfsii) causes root rot disease in several crops including Cicer arietinum (chickpea) that results in low yield. In vitro experiments on fungicides, vitavax and monceren T, and essential oils, clove and mint oils, were conducted to control root rot disease of chickpea caused by S. rolfsii. The treatments resulted in 80 % suppression of root rot disease. Gliocladium virens (G. virens) and Gliocladium deliquescens (G. deliquescens) were effective as biocontrol agents against S. rolfsii. The results showed that these treatments greatly reduced the root rot disease in chickpea. In this study, the effect of gamma irradiation at doses 0, 0.25, 0.5, 1.0, 1.5, 2.0, 2.5 and 3.0 kGy on the pathogenecity of G. virens and G. deliquescens against S. rolfsii were investigated. The results revealed that gamma irradiation increased the pathogenecity of G. virens and G. deliquescens against S. rolfsii
Gross, Jürgen H.
2015-03-01
Direct analysis in real time-mass spectrometry (DART-MS) enables screening of articles of daily use made of polydimethylsiloxanes (PDMS), commonly known as silicone rubber, to assess their tendency to release low molecular weight silicone oligomers. DART-MS analyses were performed on a Fourier transform ion cyclotron resonance (FT-ICR) mass spectrometer. Flexible silicone baking molds, a watch band, and a dough scraper, as baby articles different brands of pacifiers, nipples, and a teething ring have been examined. While somewhat arbitrarily chosen, the set can be regarded as representative of household items, baby articles, and other objects made of silicone rubber. For comparison, two brands of silicone septa and as blanks a glass slide and a latex pacifier were included. Differences between the objects were mainly observed in terms of molecular weight distribution and occasional release of other compounds in addition to PDMS. Other than that, all objects made of silicone rubber released significant amounts of PDMS during DART analysis. To provide a coarse quantification, a calibration based on silicone oil was established, which delivered PDMS losses from 20 μg to >100 μg during the 16-s period per measurement. Also, the extraction of baking molds in rapeseed oil demonstrated a PDMS release at the level of 1 μg mg-1. These findings indicate a potential health hazard from frequent or long-term use of such items. This work does not intend to blame certain brands of such articles. Nonetheless, a higher level of awareness of this source of daily silicone intake is suggested.
Ionization-induced rearrangement of defects in silicon
International Nuclear Information System (INIS)
Vinetskij, V.L.; Manojlo, M.A.; Matvijchuk, A.S.; Strikha, V.I.; Kholodar', G.A.
1988-01-01
Ionizing factor effect on defect rearrangement in silicon including centers with deep local electron levels in the p-n-transition region is considered. Deep center parameters were determined using non-steady-state capacity spectroscopy of deep levels (NCDLS) method. NCDLS spectrum measurement was performed using source p + -n - diodes and after their irradiation with 15 keV energy electrons or laser pulses. It is ascertained that in silicon samples containing point defect clusters defect rearrangement under ionizing factor effect takes place, i.e. deep level spectra are changed. This mechanism is efficient in case of silicon irradiation with subthreshold energy photons and electrons and can cause degradation of silicon semiconducting structures
International Nuclear Information System (INIS)
Abou-Ziyan, H.; Mahmoud, M.; Al-Ajmi, R.; Shedid, M.
2015-01-01
This paper reports effects of additive elements on thermal performance of engine oils during cooling of different engine parts at bulk temperatures from 40 to 150 °C and average wall superheat of 100 °C. The analysis is performed using a back propagation neural network that was trained on experimentally obtained sub-cooled boiling data of engine oils. The results demonstrate that sodium, boron, molybdenum, magnesium and barium additive elements are thermally synergetic while phosphorous, zinc, calcium and silicon elements are thermally antagonistic. Experimental thermal performance of oils could potentially be improved by increasing the concentration of synergetic additive elements or decreasing antagonistic additive elements concentration. - Highlights: • Oil additives enhance lubrication properties but may hinder oil thermal performance. • Sodium, boron, molybdenum, magnesium and barium additives enhance heat transfer. • Additives containing phosphorous, zinc, calcium and silicon hinder the heat transfer. • Oil thermal performance is improved by changing some oil additives concentrations. • Some additives are highly sensitive to interaction with other additives in the oil.
Energy Technology Data Exchange (ETDEWEB)
Collison, M.
2009-03-15
This article addressed concerns about water use in Alberta's oil sand industry and the need to effectively manage it. Companies such as Suncor, Syncrude Canada, Imperial Oil, Canadian Natural Resources Ltd., Petro-Canada, Nexen, Devon Energy and ConocoPhillips have improved water-use efficiency and reduced absolute water use significantly in recent years. A large percentage of the water produced from bitumen processing is recycled. In addition, saline groundwater not suitable for human or agricultural use has been pumped from deep aquifers to use in place of fresh water. The new Water and Environmental Science Research Facility at the University of Lethbridge, demonstrates just how prominent an issue water has become. Nexen Inc. is funding a Fellowship for Water Research at the new Lethbridge centre. A research team at the department of chemical and petroleum engineering at the Schulich School of Engineering is developing new ways to clean up produced water to such a purity that it can be used in oil and gas operations or used for irrigation. The standard of purity for oil production processes is higher than it is for irrigation because salts and silicon in water cause corrosion problems in metal equipment such as steam boilers. Ultrafiltration is being tested as an option to treat the produced water. To purify the produced water without the added cost of using pressure, the research team is enhancing the filtering process by adding a surfactant, a surface-active agent or detergent. 1 fig.
International Nuclear Information System (INIS)
Netalieva, Indira; Wesseler, Justus; Heijman, Wim
2005-01-01
The methodology and results of a cost-benefit analysis of air quality control during oil production in the Caspian Region in Kazakhstan are presented. The benefits are defined as the decrease in health costs from reduced air pollution. The health costs are the income losses which depend on the attributes of illness (duration and number of symptoms) and on respondents' characteristics such as age, education, and gender. The results are obtained by comparing two cities, one with a high rate of pollution due to oil extraction, Atyrau, and the other, Astana, without. The incremental health costs for Atyrau caused by the oil production industry are estimated to be at least 5.1 million USD per year. The annual benefits of investments into abatement technologies are at least five times higher than the virtual annual abatement costs of about 0.46 million USD
The 2008 oil bubble. Causes and consequences
International Nuclear Information System (INIS)
Tokic, Damir
2010-01-01
We argue that 'the 2008 Oil Bubble' was directly and indirectly created by the Federal Reserve in response to deflationary risks that resurfaced after the housing bubble burst and the resulting credit crisis of 2008. Deflationary risks first appeared after the dot.com bubble burst in 2000 and after the terrorist attacks on September 11, 2001. Manipulation of the US dollar value has been one of the key emergency tools in the Fed's arsenal. During the entire period from 2000 to 2008, the US dollar has been falling, while the price of crude oil has been rising, with the culmination in July 2008. If other global central banks embrace the Fed's anti-deflationary strategies, the consequences could be dire for the global economy, potentially resulting in an ultimate gold bubble. (author)
Chen, Chien-Chia; Yan, Sui-Hing; Yen, Muh-Yong; Wu, Pei-Fang; Liao, Wei-Ting; Huang, Tsi-Shu; Wen, Zhi-Hong; David Wang, Hui-Min
2016-02-01
Diseases caused by infectious and inflammatory microorganisms are among the most common and most severe nosocomial diseases worldwide. Therefore, developing effective agents for treating these illnesses is critical. In this study, essential oils from two tea tree species, kanuka (Kunzea ericoides) and manuka (Leptospermum scoparium), were evaluated for use in treating diseases and inflammation caused by microorganism infection. Isolates of clinically common bacteria and fungi were obtained from American Type Culture Collection and from Kaohsiung Veterans General Hospital. Minimum inhibitory concentrations for Trichosporon mucoides, Malassezia furfur, Candida albicans, and Candida tropicalis were determined by the broth microdilution method with Sabouraud dextrose broth. The antibacterial susceptibility of Staphylococcus aureus, Streptococcus sobrinus, Streptococcus mutans, and Escherichia coli were determined by the broth microdilution method. A human acute monocytic leukemia cell line (THP-1) was cultured to test the effects of the essential oils on the release of the two inflammatory cytokines, tumor necrosis factor-α and interleukin-4. Multiple analyses of microorganism growth confirmed that both essential oils significantly inhibited four fungi and the four bacteria. The potent fungicidal properties of the oils were confirmed by minimum inhibitory concentrations ranging from 0.78% to 3.13%. The oils also showed excellent bactericidal qualities with 100% inhibition of the examined bacteria. In THP-1 cells, both oils lowered tumor necrosis factor-α released after lipopolysaccharide stimulation. Finally, the antimicrobial and anti-inflammatory effects of the oils were obtained without adversely affecting the immune system. These results indicate that the potent antimicroorganism and anti-inflammation properties of kanuka and manuka essential oils make them strong candidates for use in treating infections and immune-related disease. The data confirm the potential
Using silicon nanostructures for the improvement of silicon solar cells' efficiency
International Nuclear Information System (INIS)
Torre, J. de la; Bremond, G.; Lemiti, M.; Guillot, G.; Mur, P.; Buffet, N.
2006-01-01
Silicon nanostructures (ns-Si) show interesting optical and electrical properties as a result of the band gap widening caused by quantum confinement effects. Along with their potential utilization for silicon-based light emitters' fabrication, they could also represent an appealing option for the improvement of energy conversion efficiency in silicon-based solar cells whether by using their luminescence properties (photon down-conversion) or the excess photocurrent produced by an improved high-energy photon's absorption. In this work, we report on the morphological and optical studies of non-stoichiometric silica (SiO x ) and silicon nitride (SiN x ) layers containing silicon nanostructures (ns-Si) in view of their application for solar cell's efficiency improvement. The morphological studies of the samples performed by transmission electron microscopy (TEM) unambiguously show the presence of ns-Si in a crystalline form for high temperature-annealed SiO x layers and for low temperature deposition of SiN x layers. The photoluminescence emission (PL) shows a rather high efficiency in both kind of layers with an intensity of only a factor ∼ 100 lower than that of porous silicon (pi-Si). The photocurrent spectroscopy (PC) shows a significant increase of absorption at high photon energy excitation most probably related to photon absorption within ns-Si quantized states. Moreover, the absorption characteristics obtained from PC spectra show a good agreement with the PL emission states unambiguously demonstrating a same origin, related to Q-confined excitons within ns-Si. Finally, the major asset of this material is the possibility to incorporate it to solar cells manufacturing processing for an insignificant cost
Penis swelling due to foreign body reaction after injection of silicone.
Plaza, Tobias; Lautenschlager, Stephan
2010-09-01
A 19-year-old man presented with phimosis and painful swelling of the penis four weeks after augmentation with silicone in Thailand. Histology revealed a foreign body reaction to silicone. Infectious causes were ruled out. Granulomatous foreign body reactions to silicone are common, but there are few case reports on reactions following silicone injection for penis enlargement. Foreign body reactions should be included in the differential diagnosis of penis swelling.
International Nuclear Information System (INIS)
Fu, Zhenjin; Su, Lin; Li, Jing; Yang, Ruizhuang; Zhang, Zhanwen; Liu, Meifang; Li, Jie; Li, Bo
2014-01-01
Highlights: • n-Hexadecyl bromide was encapsuled in elastic silicone shell. • The surfaces of microcapsules were smooth and the cross sections were compact. • Latent heat of microcapsules was 76.35 J g −1 . • The microencapsulation ratio was 49 wt.%. • The microcapsules had good thermal stability. - Abstract: The elastic silicone/n-hexadecyl bromide microcapsules were prepared as novel microencapsulated phase change materials by microfluidic approach with the co-flowing channels, where the double oil1-in-oil2-in-water (O1/O2/W) droplets with a core–shell geometry were fabricated. The thermal characterizations of the microcapsules were investigated using differential scanning calorimetry (DSC) and thermogravimetry analysis (TGA). The DSC results showed that the microcapsules had good energy storage capacity with melting and freezing enthalpies 76.35 J g −1 and 78.67 J g −1 , respectively. The TGA investigation showed that the microcapsules had good thermal stability. The surfaces of microcapsules were smooth and the cross sections were compact from the results of optical microscope and scanning electron microscopy (SEM). Optical microscope showed that the silicone shell can provide expansion place due to its elastic property. Therefore, the silicone/n-hexadecyl bromide microcapsules showed good potential as thermal regulating textile and thermal insulation materials
Directory of Open Access Journals (Sweden)
Akgül, Attila
2000-08-01
Full Text Available In this study to control blue mould caused by Penicillium digitatum, essential oil of cumin was applied with filter paper discs of 6 mm diameter which were soaked in 0,04 ml oil and vapour effect inhibited completely mycelial growth and spore germination of pathogen in vitro. When filter paper discs soaked in essential oils of black thyme, dill, coriander and rosemary were placed on the culture medium (PDA, they had no effect on the mycelial growth. Their vapour effect inhibited mycelial growth of pathogen 85.8%, 82.8%, 80% and 71.4% respectively. Dill and rosemary oils also prevented mycelial colour.En este estudio para controlar las manchas azules causadas por Penicillium digitatum, se aplicó aceite esencial de comino en discos de papel de filtro de 6 mm de diámetro, los cuales fueron empapados en 0,04 ml de aceite y su vapor inhibió completamente el crecimiento micelar y la germinación de esporas del patógeno in vitro. Cuando los discos de papel de filtro empapados en aceites esenciales de tomillo, eneldo, culantro y romero se colocaron sobre el medio de cultivo (PDA, no se observó efecto sobre el crecimiento micelar. Los efectos de sus vapores inhibieron el crecimiento micelar de patógenos en un 85,8%, 82,8%, 80% y 71,4% respectivamente. Los aceites de eneldo y romero también evitaron la aparición del color micelar.
Implantation damage in silicon devices
International Nuclear Information System (INIS)
Nicholas, K.H.
1977-01-01
Ion implantation, is an attractive technique for producing doped layers in silicon devices but the implantation process involves disruption of the lattice and defects are formed, which can degrade device properties. Methods of minimizing such damage are discussed and direct comparisons made between implantation and diffusion techniques in terms of defects in the final devices and the electrical performance of the devices. Defects are produced in the silicon lattice during implantation but they are annealed to form secondary defects even at room temperature. The annealing can be at a low temperature ( 0 C) when migration of defects in silicon in generally small, or at high temperature when they can grow well beyond the implanted region. The defect structures can be complicated by impurity atoms knocked into the silicon from surface layers by the implantation. Defects can also be produced within layers on top of the silicon and these can be very important in device fabrication. In addition to affecting the electrical properties of the final device, defects produced during fabrication may influence the chemical properties of the materials. The use of these properties to improve devices are discussed as well as the degradation they can cause. (author)
International Nuclear Information System (INIS)
Jones, M.A.; Butts, R.L.; Lindsay, J.R.; McCully, B.S.; Pickering, T.H.
1993-01-01
On August 24, 1992, Hurricane Andrew slammed into South Florida with wind gusts in excess of 160 mph. At 4:00 a.m. that day, the eye of this category four storm passed over Florida Power ampersand Light Company's Turkey Point power plant, south of Miami. Although the plant's two nuclear units escaped any significant damage, the storm caused extensive destruction to buildings and transmission facilities, and damaged two 400 foot tall emission stacks associated with the site's two fossil fuel generating units. In addition, a 90,000 to 110,000 gallon spill of No. 6 fuel oil resulted when a piece of wind-blown debris punctured the steel of the unit One 12,000 barrel fuel oil metering tank approximately 30 feet up from the tank bottom. Despite the presence of a secondary containment structure around the tank, the intense wind blew oil throughout the plant site. The damage to the metering tank apparently occurred during the first half hour of the hurricane. As the tank's oil level fell due to the puncture, transfer pumps from the bulk oil storage tanks received a low level alarm which automatically began transferring oil to the damaged metering tank. To prevent the further discharge of oil, plant personnel entered the power block and secured the pumps during the passage of the hurricane eye. Immediately following the storm, facility personnel deployed booms across the barge canal and the Units 1 and 2 intake canal to contain the oil which had entered the water. The response strategy and implementation is described in detail. The remediation costs were approximately $14/gallon spilled, including 54,000 gallons recovered for electricity generation
Embolism by silicone - Report of cases and revision of the literature
International Nuclear Information System (INIS)
Paulina Ojeda; Andres Devivero; Adriana Moreno; Monica Sossa
2004-01-01
In Colombia, as in other countries around the world, the current physical beauty concept has increased the number of plastic surgeries, however not everyone has access to these procedures. For those who are less favored culturally and economically, the increasing alternative of an illegal subcutaneous silicone injection, performed by untrained and unscrupulous personnel, with the purpose of changing physically, endangers the patients' life. In this study, 2 cases of pulmonary embolism caused by silicone, which developed respiratory failure and received vital support in Santa Clara Hospital ICU in Bogota, are reviewed. They had a favorable evolution and adequate survival contrary to other reports found in the worldwide literature. The objective is to describe the clinical characteristics and follow-up of the two patients with pulmonary embolism caused by silicone. The clinical records of the two patients who were hospitalized in the ICU of Santa Clara Hospital in Bogota were reviewed and described. Afterwards, the existing literature on Silicone and respiratory failure was reviewed in Pub Med, OVID and HIGHWIRE databases. The illegal application of silicone injections is related to embolism caused by this substance, pulmonary and extra-pulmonary vascular and immunological mechanics impairment and high risk of death
Triggered fragmentation experiment with sodium, silicone oil and pentane
International Nuclear Information System (INIS)
Morita, T.
1990-12-01
Within the analysis of severe hypothetical fast breeder accidents the consequences of a fuel-coolant-interaction have to be considered, i.e. the thermal interaction between hot molten fuel and sodium. For the detailed understanding of the fragmentation during the thermal interaction of a hot liquid droplet with a cold fluid series of experiments were performed with sodium and solicone oil as hot liquid and pentane as cold easily volatile fluid. For the precise observation of the reaction an efficient high speed camera with a maximum recording frequency of 1x105 f/s was used. So the fragmentation caused by boiling phenomena could be observed. The pictures were used to estimate quantitatively e.g. the volume of the reaction zone and its expansion rate. By a special measuring device for the first time results on the time dependent portion of the liquid within the reaction zone could be gained. Based on the measured results of the experiments the course of a typical reaction, which can be devided into six phases, is presented and physically explained in this report. The influence of experimental parameters, as pressure of the external trigger and temperature of the hot liquid droplet, was investigated and from this the role of the homogeneous nucleation temperature and the external trigger for the reaction was deduced. (orig.) [de
Le Kim, Trang Huyen; Jun, Hwiseok; Nam, Yoon Sung
2017-10-01
Polymer emulsifiers solidified at the interface between oil and water can provide exceptional dispersion stability to emulsions due to the formation of unique semi-solid interphase. Our recent works showed that the structural stability of paraffin-in-water emulsions highly depends on the oil wettability of hydrophobic block of methoxy poly(ethylene glycol)-block-poly(ε-caprolactone) (mPEG-b-PCL). Here we investigate the effects of the crystallinity of hydrophobic block of triblock copolymer-based emulsifiers, PCLL-b-PEG-b-PCLL, on the colloidal properties of silicone oil-in-water nanoemulsions. The increased ratio of l-lactide to ε-caprolactone decreases the crystallinity of the hydrophobic block, which in turn reduces the droplet size of silicone oil nanoemulsions due to the increased chain mobility at the interface. All of the prepared nanoemulsions are very stable for a month at 37°C. However, the exposure to repeated freeze-thaw cycles quickly destabilizes the nanoemulsions prepared using the polymer with the reduced crystallinity. This work demonstrates that the anchoring chain crystallization in the semi-solid interphase is critically important for the structural robustness of nanoemulsions under harsh physical stresses. Copyright © 2017 Elsevier Inc. All rights reserved.
Bai, Lingyun; Li, Chunyan; Korte, Caroline; Huibers, Britta M. J.; Pales, Ashley R.; Liang, Wei-zhen; Ladner, David; Daigle, Hugh; Darnault, Christophe J. G.
2017-11-01
Any efficient exploitation of new petroleum reservoirs necessitates developing methods to mobilize the crude oils from such reservoirs. Here silicon dioxide nanoparticles (SiO2 NPs) were used to improve the efficiency of the chemical-enhanced oil recovery process that uses surfactant flooding. Specifically, SiO2 NPs (i.e., 0, 0.001, 0.005, 0.01, 0.05, and 0.1 wt%) and Tween®20, a nonionic surfactant, at 0, 0.5, and 2 critical micelle concentration (CMC) were varied to determine their effect on the stability of nanofluids and the interfacial tension (IFT) at the oil-aqueous interface for 5 wt% brine-surfactant-SiO2 nanofluid-oil systems for West Texas Intermediate light crude oil, Prudhoe Bay medium crude oil, and Lloydminster heavy crude oil. Our study demonstrates that SiO2 NPs may either decrease, increase the IFT of the brine-surfactant-oil systems, or exhibit no effects at all. For the brine-surfactant-oil systems, the constituents of the oil and aqueous substances affected the IFT behavior, with the nanoparticles causing a contrast in IFT trends according to the type of crude oil. For the light oil system (0.5 and 2 CMC Tween®20), the IFT increased as a function of SiO2 NP concentration, while a threshold concentration of SiO2 NPs was observed for the medium (0.5 and 2 CMC Tween®20) and heavy (2 CMC Tween®20) oil systems in terms of IFT trends. Concentrations below the SiO2 NP threshold concentration resulted in a decrease in IFT, and concentrations above this threshold resulted in an increase in IFT. The IFT decreased until the NP concentration reached a threshold concentration where synergetic effects between nonionic surfactants and SiO2 NPs are the opposite and result in antagonistic effects. Adsorption of both SiO2 NPs and surfactants at an interface caused a synergistic effect and an increased reduction in IFT. The effectiveness of the brine-surfactant-SiO2 nanofluids in decreasing the IFT between the oil-aqueous phase for the three tested crude oils
Influence of silicon on void nucleation in irradiated alloys
International Nuclear Information System (INIS)
Esmailzadeh, B.; Kumar, A.; Garner, F.A.
1984-01-01
The addition of silicon to pure nickel, Ni-Cr alloys and Fe-Ni-Cr alloys raises the diffusivity of each of the alloy components. The resultant increase in the effective vacancy diffusion coefficient causes large reductions in the nucleation rate of voids during irradiation. This extends the transient regime of swelling, which is controlled not only by the amount of silicon in solution but also by the precipitation kinetics of precipitates rich in nickel and silicon
Rostami, B.; Pulvirenti, B.; Puccetti, G.; Morini, G. L.
2017-01-01
This paper deals with the emulsion of two immiscible fluids in a micro T-junction. An opposed-flow micro T-junction obtained by means of square microchannels (with a side of 300 µm) fabricated in a pure fused glass chip has been used for the formation of silicone oil-in-water (O/W) droplets. The experimental results have been obtained by considering both pure deionized water and a mixture of deionized water and surfactant (Tween 20) as the continuous flow. The results shown in this paper highlight that the presence of surfactant, also in very small concentrations, is able to change drastically the flow patterns of the two-phase flow generated by the T-junction. Concentration in weight of Tween 20 between 1 and 2% in the continuous flow is able to promote highly monodispersed emulsions with low polydispersity, especially for low flow rate ratios between the dispersed and continuous phase flows. On the contrary, by avoiding the use of surfactant, a stratified flow is obtained. The experimental results obtained in this work have been used in order to link the depth ratio of the stratified flow and the non-dimensional length of the plugs in droplet-based flow to the flow rate ratio between the dispersed and continuous flows.
Lopez-Reyes, Jorge Giovanny; Spadaro, Davide; Prelle, Ambra; Garibaldi, Angelo; Gullino, Maria Lodovica
2013-04-01
The antifungal activity of plant essential oils was evaluated as postharvest treatment on stone fruit against brown rot and grey mold rot of stone fruit caused by Monilinia laxa and Botrytis cinerea, respectively. The essential oils from basil (Ocimum basilicum), fennel (Foeniculum sativum), lavender (Lavandula officinalis), marjoram (Origanum majorana), oregano (Origanum vulgare), peppermint (Mentha piperita), rosemary (Rosmarinus officinalis), sage (Salvia officinalis), savory (Satureja montana), thyme (Thymus vulgaris), and wild mint (Mentha arvensis) were tested at two different concentrations on apricots (cv. Kyoto and cv. Tonda di Costigliole), nectarines (cv. Big Top and cv. Nectaross) and plums (cv. Italia and cv. TC Sun). The volatile composition of the essential oils tested was determined by gas chromatography-mass spectrometry analysis. The treatments containing essential oils from oregano, savory, and thyme at 1% (vol/vol) controlled both B. cinerea and M. laxa growing on apricots cv. Tonda di Costigliole and plums cv. Italia and cv. TC Sun; however, the same treatments were phytotoxic for the carposphere of nectarines cv. Big Top and cv. Nectaross. Treatments with 10% (vol/vol) essential oils were highly phytotoxic, notwithstanding their efficacy against the pathogens tested. The essential oils containing as major components α-pinene, p-cymene, carvacrol, and thymol showed similar results on stone fruit, so their antimicrobial activity and the phytotoxicity produced could be based on the concentration of their principal compounds and their synergistic activity. The efficacy of the essential oil treatments on control of fungal pathogens in postharvest depended on the fruit cultivar, the composition and concentration of the essential oil applied, and the length of storage.
Tchameni, Séverin Nguemezi; Mbiakeu, Staelle Njamou; Sameza, Modeste Lambert; Jazet, Pierre Michel Dongmo; Tchoumbougnang, François
2017-11-17
The aim of this work was to evaluate the antimicrobial activities of leaves and epicarp of Citrus aurantifolia essential oil against Phytophthora colocasiae, the causative agent of taro leaf blight. Oils were extracted by hydrodistillation, and their chemical composition was determined by gas chromatography and gas chromatography coupled with mass spectrometry. Antimicrobial activities of oils were tested in vitro against mycelium growth and sporangium production. In situ tests were done on healthy taro leaves, and the necrosis symptoms were evaluated. Results showed that the essential oil extraction yields from leaves and epicarp were 0.61 and 0.36%, respectively. Limonene (48.96%), bornyl acetate (14.18%), geraniol (10.53%), geranial (3.93%), and myrcene (3.14%) were the main components in leaf oil, while limonene (59.09%), cis-hydrate sabinene (7.53%), geranial (5.61%), myrtenol (5.02%), and terpinen-4-ol (3.48%) were the main components in epicarp oil. Both oils exhibited antimicrobial activities with total inhibition of the mycelium growth at 500 and 900 ppm for leaf and epicarp, respectively. The highest inhibitory concentration of sporangium production was 400 (72.84%) and 800 ppm (80.65%) for leaf and epicarp oil, respectively. For the standard fungicide (metalaxyl), the total inhibition value of mycelial growth and sporangium production was 750 ppm. In situ tests showed that, at 5000 ppm, total inhibition (100%) was obtained for a preventive test, while 50% of the inhibition was observed for a curative test when leaf oil was applied. When epicarp essential oil was applied at 5000 ppm, 47.5 and 16.66% of the reduction of leaf necrosis were observed for the preventive and curative test, respectively. There were positive correlations between both the oil concentration and the reduction of necrosis caused by P. colocasiae. These findings suggest that the C. aurantifolia essential oil could serve as an eco-friendly biocontrol for the management of taro
Purtskhvanidze, Konstantine; Hillenkamp, Jost; Tode, Jan; Junge, Olaf; Hedderich, Jürgen; Roider, Johann; Treumer, Felix
2017-01-01
To evaluate retinal layer thickness with optical coherence tomography (OCT) in eyes with macula-off retinal detachment after silicone oil (SiO) or gas endotamponade. Cross-sectional study of 40 eyes with macula-off rhegmatogenous retinal detachment that underwent vitrectomy. 20 eyes received SiO tamponade and 20 matched eyes received gas. 33 healthy fellow eyes served as controls. Macular spectral domain OCT was performed with automated layer detection in the 5 inner subfields of the Early Treatment Diabetic Retinopathy Study (ETDRS) map. Comparing the SiO group with the gas group, the ganglion cell layer showed a significant thinning in all fields of the inner ring of the ETDRS map, the inner plexiform layer in the nasal, superior and temporal quadrants, and the outer plexiform layer in the nasal quadrant. Inner retinal layers in the fovea/parafovea were significantly thinner in the SiO group. Prospective studies are warranted to further elucidate possible retinal adverse effects of SiO tamponade. © 2017 S. Karger AG, Basel.
International Nuclear Information System (INIS)
Shuleiko, D V; Ilin, A S
2016-01-01
Photoluminescence and electrical properties of superlattices with thin (1 to 5 nm) alternating silicon-rich silicon oxide or silicon-rich silicon nitride, and silicon oxide or silicon nitride layers containing silicon nanocrystals prepared by plasma-enhanced chemical vapor deposition with subsequent annealing were investigated. The entirely silicon oxide based superlattices demonstrated photoluminescence peak shift due to quantum confinement effect. Electrical measurements showed the hysteresis effect in the vicinity of zero voltage due to structural features of the superlattices from SiOa 93 /Si 3 N 4 and SiN 0 . 8 /Si 3 N 4 layers. The entirely silicon nitride based samples demonstrated resistive switching effect, comprising an abrupt conductivity change at about 5 to 6 V with current-voltage characteristic hysteresis. The samples also demonstrated efficient photoluminescence with maximum at ∼1.4 eV, due to exiton recombination in silicon nanocrystals. (paper)
Silicon-based metallic micro grid for electron field emission
International Nuclear Information System (INIS)
Kim, Jaehong; Jeon, Seok-Gy; Kim, Jung-Il; Kim, Geun-Ju; Heo, Duchang; Shin, Dong Hoon; Sun, Yuning; Lee, Cheol Jin
2012-01-01
A micro-scale metal grid based on a silicon frame for application to electron field emission devices is introduced and experimentally demonstrated. A silicon lattice containing aperture holes with an area of 80 × 80 µm 2 and a thickness of 10 µm is precisely manufactured by dry etching the silicon on one side of a double-polished silicon wafer and by wet etching the opposite side. Because a silicon lattice is more rigid than a pure metal lattice, a thin layer of Au/Ti deposited on the silicon lattice for voltage application can be more resistant to the geometric stress caused by the applied electric field. The micro-fabrication process, the images of the fabricated grid with 88% geometric transparency and the surface profile measurement after thermal feasibility testing up to 700 °C are presented. (paper)
Directory of Open Access Journals (Sweden)
Izoldir Antonello
2007-06-01
Full Text Available The contamination level of silicon oil used as insulation liquid in high-voltage transformers by ascarel (PCBs is above those permitted by the Brazilian law. Thus new techniques able to detect ascarel, with low operational costs, are very attractive. The present work proposes an analysis of the contamination levels of silicon oil using the following techniques: naphthalene anion radical reaction for ascarel dechlorination; and potentiometry with an ion-selective electrode for chloride ion determination. The data obtained with the proposed methodology agree well with those from the official methodology, (method IEC 61619.
Strain-induced generation of silicon nanopillars
International Nuclear Information System (INIS)
Bollani, Monica; Osmond, Johann; Nicotra, Giuseppe; Spinella, Corrado; Narducci, Dario
2013-01-01
Silicon metal-assisted chemical etching (MACE) is a nanostructuring technique exploiting the enhancement of the silicon etch rate at some metal–silicon interfaces. Compared to more traditional approaches, MACE is a high-throughput technique, and it is one of the few that enables the growth of vertical 1D structures of virtually unlimited length. As such, it has already found relevant technological applications in fields ranging from energy conversion to biosensing. Yet, its implementation has always required metal patterning to obtain nanopillars. Here, we report how MACE may lead to the formation of porous silicon nanopillars even in the absence of gold patterning. We show how the use of inhomogeneous yet continuous gold layers leads to the generation of a stress field causing spontaneous local delamination of the metal—and to the formation of silicon nanopillars where the metal disruption occurs. We observed the spontaneous formation of nanopillars with diameters ranging from 40 to 65 nm and heights up to 1 μm. Strain-controlled generation of nanopillars is consistent with a mechanism of silicon oxidation by hole injection through the metal layer. Spontaneous nanopillar formation could enable applications of this method to contexts where ordered distributions of nanopillars are not required, while patterning by high-resolution techniques is either impractical or unaffordable. (paper)
Paint - oil-based - poisoning ... Hydrocarbons are the primary poisonous ingredient in oil paints. Some oil paints have heavy metals such as lead, mercury, cobalt, and barium added as pigment. These heavy metals can cause additional ...
Transistors using crystalline silicon devices on glass
McCarthy, Anthony M.
1995-01-01
A method for fabricating transistors using single-crystal silicon devices on glass. This method overcomes the potential damage that may be caused to the device during high voltage bonding and employs a metal layer which may be incorporated as part of the transistor. This is accomplished such that when the bonding of the silicon wafer or substrate to the glass substrate is performed, the voltage and current pass through areas where transistors will not be fabricated. After removal of the silicon substrate, further metal may be deposited to form electrical contact or add functionality to the devices. By this method both single and gate-all-around devices may be formed.
van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.
2016-09-01
We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.
Energy Technology Data Exchange (ETDEWEB)
Fu, Zhenjin [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China); School of Materials Science and Engineering, Southwest University of Science and Technology, Mianyang 621010 (China); Su, Lin; Li, Jing; Yang, Ruizhuang; Zhang, Zhanwen; Liu, Meifang; Li, Jie [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China); Li, Bo, E-mail: LB6711@126.com [Research Center of Laser Fusion, China Academy of Engineering Physics, Mianyang 621900 (China)
2014-08-20
Highlights: • n-Hexadecyl bromide was encapsuled in elastic silicone shell. • The surfaces of microcapsules were smooth and the cross sections were compact. • Latent heat of microcapsules was 76.35 J g{sup −1}. • The microencapsulation ratio was 49 wt.%. • The microcapsules had good thermal stability. - Abstract: The elastic silicone/n-hexadecyl bromide microcapsules were prepared as novel microencapsulated phase change materials by microfluidic approach with the co-flowing channels, where the double oil1-in-oil2-in-water (O1/O2/W) droplets with a core–shell geometry were fabricated. The thermal characterizations of the microcapsules were investigated using differential scanning calorimetry (DSC) and thermogravimetry analysis (TGA). The DSC results showed that the microcapsules had good energy storage capacity with melting and freezing enthalpies 76.35 J g{sup −1} and 78.67 J g{sup −1}, respectively. The TGA investigation showed that the microcapsules had good thermal stability. The surfaces of microcapsules were smooth and the cross sections were compact from the results of optical microscope and scanning electron microscopy (SEM). Optical microscope showed that the silicone shell can provide expansion place due to its elastic property. Therefore, the silicone/n-hexadecyl bromide microcapsules showed good potential as thermal regulating textile and thermal insulation materials.
Silicon mediated biochemical changes in wheat under salinized and ...
African Journals Online (AJOL)
Silicon (Si) can alleviate salinity damage, a major threat to agriculture that causes instability in wheat production. We report on the effects of silicon (150 mg L-1) on the morphological, physiological and biochemical traits in wheat (Triticum aestivum L.) cultivars (salt sensitive; Auqab-2000 and salt tolerant; SARC-5) differing ...
Electrodialysis separation of rhenium from silicon
International Nuclear Information System (INIS)
Prasolova, O.D.; Borisova, L.V.; Ermakov, A.N.
1989-01-01
A method of separation of ruthenium from silicon by electrodialysis with heterogenuos ion-exchange membranes is developed. The effeciency of purification of rhenium from silicon depending on the number of dialyzer chambers, temperature and pH value of the dialyzate is studed. It is found that an addditional fourth chamber between the middle and anolytic ones causes the purification coefficient increase 50 times. It is necessary to cool the dialyzate in order to reduce silicon migration into the anolyte and reverse diffusion of perrhenate-ion from the anolyte into the dialyzate. The optimal pH value of diaizate is 5.5-6. The method developed has been used for separating rhenium from industrial solution of lead production with complex composition
Oil and influence: the oil weapon examined
Energy Technology Data Exchange (ETDEWEB)
Maull, H
1975-01-01
The term ''oil weapon'' as used here signifies any manipulation of the price and/or supply of oil by exporting nations with the intention of changing the political behavior of the consumer nations. The political potential of the oil price is fairly restricted so, in effect, the supply interruptions are of prime concern. Manipulating price does, in principle, offer the possibilities of both conferring rewards and inflicting sanctions. Oil could be sold on preferential prices and terms. A precondition for using the oil weapon successfully would be the ability to cause real and serious damage to the consumer countries. Four damaging potentials for using the oil weapon could include its application by: (1) one producer against one consumer; (2) one producer against all consumers; (3) a group of producers against one consumer; and (4) by a group of producers against all consumers. It is concluded that the oil weapon will continue to be a force in the international system. (MCW)
Hu, Hao-Chun; Fang, Hsu-Wei; Chiu, Yu-Hsun
2017-06-01
Despite the widespread application of augmentation rhinoplasty in Asia, reports on the interaction between alloplastic implants and injectable filler are scarce. This paper reports on a patient with delayed-onset edematous foreign body granuloma that had been caused by augmentation rhinoplasty performed using a silicone implant in conjunction with a liquid silicone injection 40 years earlier. This is the longest reported duration between initial rhinoplasty and the exacerbation of foreign body granuloma. This case report also presents intraoperative findings pertaining to the interlocking structures between silicone implants and injected liquid silicone. This journal requires that authors assign a level of evidence to each article. For a full description of these Evidence-Based Medicine ratings, please refer to the Table of Contents or the online Instructions to Authors www.springer.com/00266 .
A Heat and Mass Transfer Model of a Silicon Pilot Furnace
Sloman, Benjamin M.; Please, Colin P.; Van Gorder, Robert A.; Valderhaug, Aasgeir M.; Birkeland, Rolf G.; Wegge, Harald
2017-10-01
The most common technological route for metallurgical silicon production is to feed quartz and a carbon source ( e.g., coal, coke, or charcoal) into submerged-arc furnaces, which use electrodes as electrical conductors. We develop a mathematical model of a silicon furnace. A continuum approach is taken, and we derive from first principles the equations governing the time evolution of chemical concentrations, gas partial pressures, velocity, and temperature within a one-dimensional vertical section of a furnace. Numerical simulations are obtained for this model and are shown to compare favorably with experimental results obtained using silicon pilot furnaces. A rising interface is shown to exist at the base of the charge, with motion caused by the heating of the pilot furnace. We find that more reactive carbon reduces the silicon monoxide losses, while reducing the carbon content in the raw material mixture causes greater solid and liquid material to build-up in the charge region, indicative of crust formation (which can be detrimental to the silicon production process). We also comment on how the various findings could be relevant for industrial operations.
Batman, C; Ozdamar, Y
2010-07-01
To report the outcomes of the use of intracameral bevacizumab for iris neovascularization occurring after silicone oil (SO) removal in eyes undergoing vitreoretinal surgery (VRS). This study included 12 eyes that had iris neovascularization after SO removal. The clinical outcomes of 12 eyes after intravitreal bevacizumab injection were reviewed. There were eight men and four women with an average age of 41.58+/-12.68 years. All eyes had VRS for various vitreoretinal diseases. After the mean follow-up period of 9.7+/-5.3 months, SO removal was performed. Then, the patients were followed for more than 2 months and detailed retinal examinations and intraocular pressure (IOP) were normal during this period, but rubeosis iridis (RI) developed. RI was treated with 1 dose of 1.25 mg bevacizumab into the anterior chamber. After a mean follow-up period of 4.8+/-2.2 months, the regression of iris neovacularization was detected and IOP was below 21 mmHg in all eyes. Anterior segment neovascularization (ASNV) may develop through various mechanisms in patients with VRS after SO removal, and anterior chamber injection of bevacizumab may lead to regression of ASNV.
Kaneko, Hiroki; Matsuura, Toshiyuki; Takayama, Kei; Ito, Yasuki; Iwase, Takeshi; Ueno, Shinji; Nonobe, Norie; Yasuda, Shunsuke; Kataoka, Keiko; Terasaki, Hiroko
2017-01-01
The aim of this study was to examine the change in retinal thickness after vitrectomy with internal limiting membrane (ILM) peeling and/or silicone oil (SO) endotamponade in proliferative diabetic retinopathy (PDR). The actual amount and ratio of changes in the retinal thickness were calculated. Compared to control eyes in the ILM peeling (-)/SO (-) group, the central, superior inner, and temporal inner retina in the ILM peeling (+)/SO (-) group, the central and superior inner retina in the ILM peeling (-)/SO (+) group, and the central, inferior inner, temporal inner, and nasal inner retina in the ILM peeling (+)/SO (+) group showed a significant reduction of the retinal thickness. The central, superior inner, and temporal inner retina in the ILM peeling (+)/SO (-) group, the central and superior inner retina in the ILM peeling (-)/SO (+) group, and the central, superior inner, inferior inner, and temporal inner retina in the ILM peeling (+)/SO (+) group showed a significantly increased reduction rate of the retinal thickness compared to the control group. Macular retinal thinning in PDR was observed after ILM peeling and SO endotamponade, and it was increased by the combination of these 2 factors. © 2017 S. Karger AG, Basel.
Slow oil shocks and the 'weakening of the oil price-macroeconomy relationship'
International Nuclear Information System (INIS)
Naccache, Theo
2010-01-01
Many papers have been documenting and analysing the asymmetry and the weakening of the oil price-macroeconomy relationship as off the early eighties. While there seems to be a consensus about the factors causing the asymmetry, namely adjustment costs which offset the benefits of low energy prices, the debate about the weakening of the relationship is not over yet. Moreover, the alternative oil price specifications which have been proposed by, and to restore the stability of the relationship fail to Granger cause output or unemployment in post-1980 data. By using the concept of accelerations of the oil price, we show that the weakening of this relationship corresponds to the appearance of slow oil price increases, which have less impact on the economy. When filtering out these slow oil price variations from the sample, we manage to rehabilitate the causality running from the oil price to the macroeconomy and show that far from weakening, the oil price accelerations-GDP relationship has even been growing stronger since the early eighties. (author)
International Nuclear Information System (INIS)
Kolari, K; Havia, T; Stuns, I; Hjort, K
2014-01-01
Restrictor valves allow proportional control of fluid flow but are rarely integrated in microfluidic systems. In this study, an optically actuated silicon membrane restrictor microvalve is demonstrated. Its actuation is based on the phase transition of paraffin, using a paraffin wax mixed with a suitable concentration of optically absorbing nanographite particles. Backing up the membrane with oil (the melted paraffin) allows for a compliant yet strong contact to the valve seat, which enables handling of high pressures. At flow rates up to 30 µL min −1 and at a pressure of 2 bars, the valve can successfully be closed and control the flow level by restriction. The use of this paraffin composite as an adhesive layer sandwiched between the silicon valve and glass eases fabrication. This type of restrictor valve is best suited for high pressure, low volume flow silicon-based nanofluidic systems. (paper)
Patel, S; Wiggins, J
1980-01-01
Accidental ingestion of eucalyptus oil by a 3-year-old boy caused profound central nervous system depression within 30 minutes, but he recovered rapidly after gastric lavage. The extreme toxicity of eucalyptus oil is emphasised.
Measurement of delta-rays in ATLAS silicon sensors
The ATLAS collaboration
2013-01-01
In the inner detector of the ATLAS experiment at the LHC, $\\delta$-rays originating from particle interactions in the silicon sensors may cause additional hit channels. A method for identifying silicon hit clusters that are enlarged due to the emission of a $\\delta$-ray is presented. Using pp collision data the expectation is confirmed that the $\\delta$-ray production rate depends linearly on the path length of the particle in silicon, independently of layer radius and detector technology. The range of the $\\delta$-rays, which is a property of the material and should not depend on anything else, is indeed found to be constant as a function of detector layer, path length in silicon and momentum of the particle traversing the silicon. As a by-product of this analysis a method is proposed that could correct for the effect of these $\\delta$-rays, and this could be used to improve track reconstruction.
Magneto-optical non-reciprocal devices in silicon photonics
Directory of Open Access Journals (Sweden)
Yuya Shoji
2014-01-01
Full Text Available Silicon waveguide optical non-reciprocal devices based on the magneto-optical effect are reviewed. The non-reciprocal phase shift caused by the first-order magneto-optical effect is effective in realizing optical non-reciprocal devices in silicon waveguide platforms. In a silicon-on-insulator waveguide, the low refractive index of the buried oxide layer enhances the magneto-optical phase shift, which reduces the device footprints. A surface activated direct bonding technique was developed to integrate a magneto-optical garnet crystal on the silicon waveguides. A silicon waveguide optical isolator based on the magneto-optical phase shift was demonstrated with an optical isolation of 30 dB and insertion loss of 13 dB at a wavelength of 1548 nm. Furthermore, a four port optical circulator was demonstrated with maximum isolations of 15.3 and 9.3 dB in cross and bar ports, respectively, at a wavelength of 1531 nm.
U.S. oil spill law to cause growing tanker problem
International Nuclear Information System (INIS)
Price, R.B.
1991-01-01
This paper reports on tanker owners which face a growing dilemma on the issue of oil spill liability. The U.S. Oil Pollution Act, passed last year in the wake of the March 1989 Exxon Valdez oil spill, was intended to reduce risk of and damage from such accidents. However, in addition to phasing in double hulls on most tankers operating in U.S. waters, the law substantially increases shipowner's liability for spills. And the federal law does not preempt state liability laws, which in most cases amount to unlimited liability for spill cleanup. Rather than face potentially unlimited liability in the event of a spill, tanker owners worldwide are exercising a number of options to shield themselves. Some of those options could increase the potential for oil spills, industry officials warn. The act also threatens to shatter the international alliance among shippers. A report by Drewry Shipping Consultants Ltd., London, says the law could have a devastating effect on operating practices. Tanker owners and operators have voiced the most opposition to the new spill law and the shackles it places on them. Now the industry that insures tankers has spoken up about is increased liability, and it too may launch a boycott
Energy Technology Data Exchange (ETDEWEB)
Nakayama, M.; Sasaki, M. [Kajima Construction Co. Ltd., Tokyo (Japan)
1998-07-30
Quantitative analyses of silicone migration into marble from elastic silicon adhesive were carried out by EPMA and LMA, and the amount of migrated silicone was calculated in terms of silicone concentration. By EPMA, silicone distribution into the area centering around the boundary between elastic adhesive and marble was clarified, and the migrating condition of silicone from elastic adhesive to marble was made clear. In the case of LMA, silicone concentration in micro-area in marble was measured to use the measured result for the succeeding analyses. As a result of the analysis, the trend of the change of silicone migration with the passage of time could be expressed by treating the silicone migration as diffusion phenomenon, and the depth of silicone migration could be quantified. It was confirmed that the cover primer applied at the back of marble to inhibit migration could prevent contamination of marble caused by silicone migration. The analytical method of this study seemed to be applicable to other stone materials or other adhesive and sealing materials. 14 refs., 12 figs., 2 tabs.
Energy Technology Data Exchange (ETDEWEB)
Maghribi, Mariam N. (Livermore, CA); Krulevitch, Peter (Pleasanton, CA); Hamilton, Julie (Tracy, CA)
2008-12-09
A system for providing metal features on silicone comprising providing a silicone layer on a matrix and providing a metal layer on the silicone layer. An electronic apparatus can be produced by the system. The electronic apparatus comprises a silicone body and metal features on the silicone body that provide an electronic device.
Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.
2018-03-01
Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.
Simulation experiments concerning core meltdown
International Nuclear Information System (INIS)
Werle, H.
1979-01-01
A gas stream causes a remarkable increase in the interfacial heat flux (by a factor of 8 for v = 0.63 cm/s, v = gas volume flux/horizontal area). The most important characteristics of the system investigated (silicon oil/wood metal) are relatively similar to those of a core melt, Therefore a remarkable increase of the interfacial heat transfer by the gas release may be expected also for a core melt, compared with earlier investigations at the system silicon oil/water the influence of a gas stream is nevertheless remarkably lower for silicon oil/wood metal. This shows that the density ratio plays an important role. (orig./RW) [de
Oil flow in deep waters: comparative study between light oils and heavy oils
Energy Technology Data Exchange (ETDEWEB)
Andreolli, Ivanilto [PETROBRAS S.A., Rio de Janeiro, RJ (Brazil)
2009-12-19
Ultra deeper waters fields are being exploited due to technological development. Under this scenario, the flow design is accomplished through pipelines subjected to low temperature and high pressure. Moreover, these flow lines are usually long causing a fast fluid cooling, which may affect flow assurance in some cases. Problems during topsides production plant's restart might occur if the oil is viscous and even in steady state a significant different behavior can be noticed, if compared to a less viscous oil. A comparison between light and heavy oil through a case study with the objective to show some heavy oil flow particularities is the purpose of this paper. Permanent and transient analyses for a specific geometry are presented. The results showed that thermal and proper viscosity modeling are required for heavy oil flow, differently from that of light oil flow, due to the exponential viscosity dependence to temperature and because the predominant laminar regime. In addition, on heavier and heavier oil flow systems, it is essential to consider exportation system's restart. (author)
Nanostructured silicon anodes for lithium ion rechargeable batteries.
Teki, Ranganath; Datta, Moni K; Krishnan, Rahul; Parker, Thomas C; Lu, Toh-Ming; Kumta, Prashant N; Koratkar, Nikhil
2009-10-01
Rechargeable lithium ion batteries are integral to today's information-rich, mobile society. Currently they are one of the most popular types of battery used in portable electronics because of their high energy density and flexible design. Despite their increasing use at the present time, there is great continued commercial interest in developing new and improved electrode materials for lithium ion batteries that would lead to dramatically higher energy capacity and longer cycle life. Silicon is one of the most promising anode materials because it has the highest known theoretical charge capacity and is the second most abundant element on earth. However, silicon anodes have limited applications because of the huge volume change associated with the insertion and extraction of lithium. This causes cracking and pulverization of the anode, which leads to a loss of electrical contact and eventual fading of capacity. Nanostructured silicon anodes, as compared to the previously tested silicon film anodes, can help overcome the above issues. As arrays of silicon nanowires or nanorods, which help accommodate the volume changes, or as nanoscale compliant layers, which increase the stress resilience of silicon films, nanoengineered silicon anodes show potential to enable a new generation of lithium ion batteries with significantly higher reversible charge capacity and longer cycle life.
Oxygen defect processes in silicon and silicon germanium
Chroneos, A.
2015-06-18
Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.
Oxygen defect processes in silicon and silicon germanium
Chroneos, A.; Sgourou, E. N.; Londos, C. A.; Schwingenschlö gl, Udo
2015-01-01
Silicon and silicon germanium are the archetypical elemental and alloy semiconductor materials for nanoelectronic, sensor, and photovoltaic applications. The investigation of radiation induced defects involving oxygen, carbon, and intrinsic defects is important for the improvement of devices as these defects can have a deleterious impact on the properties of silicon and silicon germanium. In the present review, we mainly focus on oxygen-related defects and the impact of isovalent doping on their properties in silicon and silicon germanium. The efficacy of the isovalent doping strategies to constrain the oxygen-related defects is discussed in view of recent infrared spectroscopy and density functional theory studies.
International Nuclear Information System (INIS)
Boehmer, S.; Winter, B.
2001-01-01
In refineries in particular attention is paid to the minimization of the generation of waste. Therefor catalysts in many processes are regenerated, absorbents are recycled and oily by-products are re-refined or used as fuels. This study discusses the origin and utilization of by-products from the oil industry. The processing of crude oils causes by-products and waste resulting from the crude oil itself or from cleaning measures for water pre-treatment, effluent treatment and flue gas treatment. (author)
International Nuclear Information System (INIS)
1996-05-01
The Danish executive order on the international fund for the compensation for damages caused by oil pollution, 1992 is related to the Danish law no. 205 of March 29th 1996, and is based on the International Convention on the Establishment of an International Fund for Compensation for Oil Damage, 1992. The document includes the convention's protocol presented in French, Danish and English. (AB)
International Nuclear Information System (INIS)
Linderoth, H.
1992-01-01
The author's aim was to give very precise information on the many causes and effects of the oil crises that have occurred since 1900, and at the same time offer the reader the possibility to build up a basic knowledge of the oil industry and market, as he feels that the public is often subjected to misleading information. Political and economical aspects are elaborated. First-hand sources such as statistics and investigations have been used as far as possible to give information on the oil market. An oil crisis is defined by the author as a significant change in the price of oil compared to prices of other goods. Changes can be in the form of either rising or falling prices. A special chapter concentrates on Denmark in relation to the oil crises. (AB) (165 refs.)
Energy Technology Data Exchange (ETDEWEB)
Florentino, Wagner M; Goulart, Shane A.S.; Mulinari, Daniella R. [Centro Universitario de Volta Redonda (UniFOA), Volta Redonda, RJ (Brazil)], e-mail: wagner-can@hotmail.com
2011-07-01
The oil outpouring has caused serious environmental problems. To minimize this damage polyurethane foams have been used. In this work, the use of polyurethane from oil and castor oil, in different polyol/diisocyanate mass ratios, to absorb oil was evaluated. Results revealed that the proportion of polyol and diisocyanate influenced in the sorption capacity and the polyurethane from castor oil presented greater sorption capacity. Of this way, can say that the polyurethane from oil can be replaced by castor oil, contributing to sustainable development. (author)
International Nuclear Information System (INIS)
Haffner, R.C.G.; Van Herpt, I.R.Y.
2000-01-01
Recent developments in the oil market are discussed, focusing on the causes of recent price increase, expectations for the near future, why previous oil crises resulted into a recession, and the expected consequences of the oil price increase for the economic growth and inflation. The negative consequences of the high oil price for the European economy can be limited under the condition that claims for higher wages are moderate. 2 refs
Porous siliconformation and etching process for use in silicon micromachining
Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.
1991-01-01
A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.
Oil-Impregnated Polyethylene Films
Mukherjee, Ranit; Habibi, Mohammad; Rashed, Ziad; Berbert, Otacilio; Shi, Shawn; Boreyko, Jonathan
2017-11-01
Slippery liquid-infused porous surfaces (SLIPS) minimize the contact angle hysteresis of a wide range of liquids and aqueous food products. Although hydrophobic polymers are often used as the porous substrate for SLIPS, the choice of polymer has been limited to silicone-based or fluorine-based materials. Hydrocarbon-based polymers, such as polyethylene, are cost effective and widely used in food packaging applications where SLIPS would be highly desirable. However, to date there have been no reports on using polyethylene as a SLIPS substrate, as it is considered highly impermeable. Here, we show that thin films of low-density polyethylene can be stably impregnated with carbon-based oils without requiring any surface modification. Wicking tests reveal that oils with sufficient chemical compatibility follow Washburn's equation. The nanometric effective pore size of the polyethylene does result in a very low wicking speed, but by using micro-thin films and a drawdown coater, impregnation can still be completed in under one second. The oil-impregnated polyethylene films promoted ultra-slippery behavior for water, ketchup, and yogurt while remaining durable even after being submerged in ketchup for over one month. This work was supported by Bemis North America (AT-23981).
Silicon epitaxy on textured double layer porous silicon by LPCVD
International Nuclear Information System (INIS)
Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang
2010-01-01
Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.
Ustbas, Burcin; Kilic, Deniz; Bozkurt, Ayhan; Aribal, Mustafa Erkin; Akbulut, Ozge
2018-03-02
A silicone-based composite breast phantom is fabricated to be used as an education model in ultrasonography training. A matrix of silicone formulations is tracked to mimic the ultrasonography and tactile response of human breast tissue. The performance of two different additives: (i) silicone oil and (ii) vinyl-terminated poly (dimethylsiloxane) (PDMS) are monitored by a home-made acoustic setup. Through the use of 75 wt% vinyl-terminated PDMS in two-component silicone elastomer mixture, a sound velocity of 1.29 ± 0.09 × 10 3 m/s and an attenuation coefficient of 12.99 ± 0.08 dB/cm-values those match closely to the human breast tissue-are measured with 5 MHz probe. This model can also be used for needle biopsy as well as for self-exam trainings. Herein, we highlight the fabrication of a realistic, durable, accessible, and cost-effective training platform that contains skin layer, inner breast tissue, and tumor masses. Copyright © 2018. Published by Elsevier B.V.
Investigation of beam effect on porous silicon
Energy Technology Data Exchange (ETDEWEB)
Kotai, E. E-mail: kotai@rmki.kfki.hu; Paszti, F.; Szilagyi, E
2000-03-01
When performing Rutherford Backscattering Spectroscopy (RBS) measurements combined with channeling on 'columnar' porous silicon (PS) samples with beam aligned to the direction of the pores, a strong beam effect was observed. The minimum yield as a function of the beam dose for different porous samples was compared with the yield measured on single crystal silicon. It was demonstrated that the beam effect strongly depends on the porosity of the sample. Bombardment in the random direction caused about 10% higher change in the minimum yield than in the channel direction.
Investigation of beam effect on porous silicon
International Nuclear Information System (INIS)
Kotai, E.; Paszti, F.; Szilagyi, E.
2000-01-01
When performing Rutherford Backscattering Spectroscopy (RBS) measurements combined with channeling on 'columnar' porous silicon (PS) samples with beam aligned to the direction of the pores, a strong beam effect was observed. The minimum yield as a function of the beam dose for different porous samples was compared with the yield measured on single crystal silicon. It was demonstrated that the beam effect strongly depends on the porosity of the sample. Bombardment in the random direction caused about 10% higher change in the minimum yield than in the channel direction
Identification of Significant Impact of Silicon Foundry Sands Mining on LCIA
Directory of Open Access Journals (Sweden)
Jozef Mitterpach
2015-12-01
Full Text Available This paper presents a case study based on a LCA (Life Cycle Assessment research program of the silicon foundry sand (SFS due to the large quantity of produced waste foundry sand (WFS. The foundry waste is a high priority sector within the growing European foundry industry. It is necessary to understand the full life cycle of the foundry waste in order to correctly identify magnitude and types of impacts it has on the environment. System boundary includes the processes: mining, modification, packing, storage and transport to foundry. Inventory analysis data were analyzed and finally converted to the functional unit, which has been defined as one ton of SFS. The resulting environmental impact of SFS production in endpoint is: consumption of natural resources 70.9%, ecosystem quality 18.2% and human health 10.9%. The following portions, with respective percentages, have the greatest overall effect on these results: diesel fuel consumption 32.4% and natural gas consumption 28.7%, electricity usage 17.2%, transport 12.2%, devastation caused by the SFS 5.35% and oil (engine, gear and hydraulic consumption 4.14%. The highest contributor to the diesel fuel consumption is the SFS exploitation. The overall effect of desiccation was 35.8% and was caused by high consumption of resources and electricity.
Production of electronic grade lunar silicon by disproportionation of silicon difluoride
Agosto, William N.
1993-01-01
Waldron has proposed to extract lunar silicon by sodium reduction of sodium fluorosilicate derived from reacting sodium fluoride with lunar silicon tetrafluoride. Silicon tetrafluoride is obtained by the action of hydrofluoric acid on lunar silicates. While these reactions are well understood, the resulting lunar silicon is not likely to meet electronic specifications of 5 nines purity. Dale and Margrave have shown that silicon difluoride can be obtained by the action of silicon tetrafluoride on elemental silicon at elevated temperatures (1100-1200 C) and low pressures (1-2 torr). The resulting silicon difluoride will then spontaneously disproportionate into hyperpure silicon and silicon tetrafluoride in vacuum at approximately 400 C. On its own merits, silicon difluoride polymerizes into a tough waxy solid in the temperature range from liquid nitrogen to about 100 C. It is the silicon analog of teflon. Silicon difluoride ignites in moist air but is stable under lunar surface conditions and may prove to be a valuable industrial material that is largely lunar derived for lunar surface applications. The most effective driver for lunar industrialization may be the prospects for industrial space solar power systems in orbit or on the moon that are built with lunar materials. Such systems would require large quantities of electronic grade silicon or compound semiconductors for photovoltaics and electronic controls. Since silicon is the most abundant semimetal in the silicate portion of any solar system rock (approximately 20 wt percent), lunar silicon production is bound to be an important process in such a solar power project. The lunar silicon extraction process is discussed.
Influence of external effects on the electron silicon properties
International Nuclear Information System (INIS)
Orazgulyev, B.; Bigozha, O.D.
2005-01-01
It is noted, that study of angular dependence of longitudinal piezo-resistance of n-type silicon presents the both scientific and practical interest because the obtained data could serve the ground for creating a high-sensitive piezo-sensors. Measurement of angular dependence allows objectively estimate the errors of anisotropy parameter determination, constant of deformation potential caused of mistakes in maintenance of crystallographic directions during the samples production process. In the case of X||J||[111] at one-axis deformation a new kind of piezo-effect in electron silicon is revealed. It is explained by transformation of iso-energy rotation ellipsoid into three-axis ellipsoid at presence of shear silicon crystal deformation
Assessing the damage caused by the oil spill from the tanker Erika
International Nuclear Information System (INIS)
Delache, X.; Erhard-Cassegrain, A.
2001-07-01
In December 1999, France was faced with a large-scale marine and ecological disaster following the accident involving the oil tanker Erika which split in two before sinking off the coast of Brittany (western France). This disaster had significant impacts on the coastal environment, affecting 400 km of shoreline. Very different aspects need to be considered in order to assess the damage caused, for which suitable methodologies must be used. Expenditure incurred on emergency and remedial measures to prepare for the 2000 summer season can easily be identified. The main effect of the disaster was ecological: a wide variety of habitats and species, and in particular many protected areas were affected. In addition, economic activities were seriously disrupted, especially tourism, fishing and shellfish production. However, it is harder to assess the economic losses incurred since a large number of short-term and long-term factors were affected. (author)
Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz
2018-01-01
Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.
Directory of Open Access Journals (Sweden)
Ana Luz Muñoz-Rosas
2018-03-01
Full Text Available Nowadays, the use of plasmonic metal layers to improve the photonic emission characteristics of several semiconductor quantum dots is a booming tool. In this work, we report the use of silicon quantum dots (SiQDs embedded in a silicon nitride thin film coupled with an ultra-thin gold film (AuNPs to fabricate light emitting devices. We used the remote plasma enhanced chemical vapor deposition technique (RPECVD in order to grow two types of silicon nitride thin films. One with an almost stoichiometric composition, acting as non-radiative spacer; the other one, with a silicon excess in its chemical composition, which causes the formation of silicon quantum dots imbibed in the silicon nitride thin film. The ultra-thin gold film was deposited by the direct current (DC-sputtering technique, and an aluminum doped zinc oxide thin film (AZO which was deposited by means of ultrasonic spray pyrolysis, plays the role of the ohmic metal-like electrode. We found that there is a maximum electroluminescence (EL enhancement when the appropriate AuNPs-spacer-SiQDs configuration is used. This EL is achieved at a moderate turn-on voltage of 11 V, and the EL enhancement is around four times bigger than the photoluminescence (PL enhancement of the same AuNPs-spacer-SiQDs configuration. From our experimental results, we surmise that EL enhancement may indeed be due to a plasmonic coupling. This kind of silicon-based LEDs has the potential for technology transfer.
Energy Technology Data Exchange (ETDEWEB)
Masuda, Takashi, E-mail: mtakashi@jaist.ac.jp [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Iwasaka, Akira [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan); Takagishi, Hideyuki [Faculty of Symbiotic System Science, Fukushima University, 1 Kanayagawa, Fukushima-shi, Fukushima 960-1296 (Japan); Shimoda, Tatsuya [School of Material and Science, Japan Advanced Institute of Science and Technology, 1-1 Asahidai, Nomi, Ishikawa 923-1292 (Japan)
2016-08-01
Polydihydrosilane with pendant hexyl groups was synthesized to obtain silicon-rich amorphous silicon carbide (a-SiC) films via the solution route. Unlike conventional polymeric precursors, this polymer requires neither catalysts nor oxidation for its synthesis and cross-linkage. Therefore, the polymer provides sufficient purity for the fabrication of semiconducting a-SiC. Here, we investigated the correlation of Si/C stoichiometry between the polymer and the resultant a-SiC film. The structural, optical, and electrical properties of the films with various carbon contents were also explored. Experimental results suggested that the excess carbon that did not participate in Si−C configurations was decomposed and was evaporated during polymer-to-SiC conversion. Consequently, the upper limit of the carbon in resultant a-SiC film was < 50 at.%; namely, the polymer provided silicon-rich a-SiC, whereas the conventionally used polycarbosilane inevitably provides carbon-rich one. These features of this unusual polymer open up a frontier of polymer-derived SiC and solution-processed SiC electronics. - Highlights: • Polymeric precursor solution for silicon carbide (SiC) is synthesized. • Semiconducting amorphous SiC is prepared via solution route. • The excess carbon is decomposed during cross-linking resulting in Si-rich SiC films. • The grown SiC films contain substantial amount of hydrogen atoms as SiH{sub n}/CH{sub n} entities. • Presence of CH{sub n} entities induces dangling bonds, causing poor electrical properties.
Overview of phosphorus diffusion and gettering in multicrystalline silicon
International Nuclear Information System (INIS)
Bentzen, A.; Holt, A.
2009-01-01
This paper gives an overview of phosphorus emitter diffusion and gettering as experienced in multicrystalline silicon solar cell processing. The paper gives a brief summary of the diffusion properties of phosphorus in silicon, explaining the nature behind the characteristic kink-and-tail profiles often encountered in silicon solar cells. Then, phosphorus diffusion gettering is discussed with particular focus to the inhomogeneous nature of multicrystalline silicon, and it is discussed how the abundant presence of dislocations in the areas of the material having a low recombination lifetime can cause only minor lifetime enhancements in such areas upon phosphorus diffusion. Attributed to dissociation of precipitated impurities in combination with longer effective diffusion lengths of the impurities, it is then seen that even poor areas of multicrystalline can exhibit a noticeable improvement by phosphorus diffusion gettering when applying a lower diffusion temperature for a longer duration.
Research on a dispersing solution for burnt crude oils: Aegean Sea oil spill
International Nuclear Information System (INIS)
Bergueiro, J.R.; Morales, N.; Dominguez, F.
1993-01-01
The oil tanker Aegean Sea spilled oil when it grounded during severe storm conditions near La Coruna, Spain. Much of the oil burned after an explosion was caused by the hull breaking apart. Oil which contaminated several beaches was affected by both combustion and weathering. Experiments were conducted on oil sampled from the beaches to investigate dispersion of the oil using Beep Enersperse 1990 at different shaking speeds. Biodegradation experiments were also conducted in the presence of Beep Enersperse 1990 but with seawater absent. Although emulsification of the burnt and weathered oil was very difficult, good dispersion and biodegradation were obtained. After 42 d in a stirred reactor, biodegradation of the oil reached at least 80%. 3 refs., 3 figs., 4 tabs
Colloidal characterization of ultrafine silicon carbide and silicon nitride powders
Whitman, Pamela K.; Feke, Donald L.
1986-01-01
The effects of various powder treatment strategies on the colloid chemistry of aqueous dispersions of silicon carbide and silicon nitride are examined using a surface titration methodology. Pretreatments are used to differentiate between the true surface chemistry of the powders and artifacts resulting from exposure history. Silicon nitride powders require more extensive pretreatment to reveal consistent surface chemistry than do silicon carbide powders. As measured by titration, the degree of proton adsorption from the suspending fluid by pretreated silicon nitride and silicon carbide powders can both be made similar to that of silica.
Gas and Oil Flow through Wellbore Flaws
Hatambeigi, M.; Anwar, I.; Reda Taha, M.; Bettin, G.; Chojnicki, K. N.; Stormont, J.
2017-12-01
We have measured gas and oil flow through laboratory samples that represent two important potential flow paths in wellbores associated with the Strategic Petroleum Reserve (SPR): cement-steel interfaces (microannuli) and cement fractures. Cement fractures were created by tensile splitting of cement cores. Samples to represent microannuli were created by placing thin steel sheets within split cement cores so flow is channeled along the cement-steel interface. The test sequence included alternating gas and oil flow measurements. The test fluids were nitrogen and silicone oil with properties similar to a typical crude oil stored in the SPR. After correcting for non-linear (inertial) flow when necessary, flows were interpreted as effective permeability and hydraulic aperture using the cubic law. For both samples with cement fractures and those with cement-steel interfaces, initial gas and oil permeabilities were comparable. Once saturated with oil, a displacement pressure had to be overcome to establish gas flow through a sample, and the subsequent gas permeability were reduced by more than 50% compared to its initial value. Keywords: wellbore integrity, leakage, fracture, microannulus, SPR. Sandia National Laboratories is a multi-mission laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of NTESS/Honeywell, for the U.S. Department of Energy's National Nuclear Security Administration under contract DE-AC04-94AL85000. SAND2017-8168 A
Seyed Reza Mirnezami
2015-01-01
The data shows that oil-producing countries have low oil retail prices and low economic growth compared with other countries. Considering that oil-producing countries experience high oil consumption and low economic growth, it is possible to argue that economic growth is not an appropriate justification for oil consumption and that the main cause for high oil consumption is the low retail price. In addition, it should be noted that the global environmental movement against increasing greenhou...
Improvements in numerical modelling of highly injected crystalline silicon solar cells
Energy Technology Data Exchange (ETDEWEB)
Altermatt, P.P. [University of New South Wales, Centre for Photovoltaic Engineering, 2052 Sydney (Australia); Sinton, R.A. [Sinton Consulting, 1132 Green Circle, 80303 Boulder, CO (United States); Heiser, G. [University of NSW, School of Computer Science and Engineering, 2052 Sydney (Australia)
2001-01-01
We numerically model crystalline silicon concentrator cells with the inclusion of band gap narrowing (BGN) caused by injected free carriers. In previous studies, the revised room-temperature value of the intrinsic carrier density, n{sub i}=1.00x10{sup 10}cm{sup -3}, was inconsistent with the other material parameters of highly injected silicon. In this paper, we show that high-injection experiments can be described consistently with the revised value of n{sub i} if free-carrier induced BGN is included, and that such BGN is an important effect in silicon concentrator cells. The new model presented here significantly improves the ability to model highly injected silicon cells with a high level of precision.
Effect of gamma irradiation on the photoluminescence of porous silicon
Energy Technology Data Exchange (ETDEWEB)
Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Goryachev, D. N. [Russian Academy of Sciences, Ioffe Institute (Russian Federation); Zakharova, I. B. [Peter the Great St. Petersburg Polytechnic University (Russian Federation); Sreseli, O. M. [Russian Academy of Sciences, Ioffe Institute (Russian Federation)
2017-04-15
The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a change in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.
The common bed bug (Cimex lectularius L.) resurged in the U.S. and many other countries over the past decade. The need for safe and effective bed bug control products propelled the development of numerous “green pesticides”, mostly with essential oils listed as active ingredients. Various inorganic ...
Huang, Jiehui; Qian, Chao; Xu, Hongjie; Huang, Yanjie
2018-01-01
The main objective of the current study was to investigate the chemical composition of the essential oil of Artemisia asiatica together with investigating the antibacterial effects it exerts on several common respiratory infection causing bacteria including Haemophilus influenzae. Its mechanism of action was studied using various state-of-the-art assays like scanning electron microscopy, DNA, RNA and protein leakage assays, growth curve assays etc. The essential oil was extracted from the leaves of A. asiatica by supercritical CO 2 fluid extraction technology. Chemical composition of essential oils was analyzed by gas chromatography-mass-spectrometry (GC-MS). The antibacterial activity was evaluated against 6 bacteria by the paper disc diffusion method. The minimum inhibitory concentration (MIC) and minimum bactericide concentration (MBC) values of the essential oil were estimated by agar dilution method. The antibacterial mechanism was evaluated by growth curve, the integrity of cell membrane and scanning electronmicroscope (SEM). Gas chromatographic analysis of the A. asiatica essential oil led to the identification of 16 chemical constituents accounting for 97.2% of the total oil composition. The major components were found to be Piperitone, (z)-davanone, p-cymene and 1, 8-cineole. The essential oil showed maximum growth inhibition against Haemophilus influenzae with a zone of inhibition of 24.5 mm and MIC/MBC values of 1.9/4.5 mg/mL respectively. Bacteria treated with the essential oil led to a rapid decrease in the number of viable cells. On adding the essential oil of A. asiatica to the bacterial culture, the constituents of the bacterial cell got released into the medium and this cell constituent release increased with increasing doses of the essential oil. SEM showed that the bacterial cells treated with the essential oil showed damaged cell wall, deformed cell morphology and shrunken cells. Copyright © 2017. Published by Elsevier Ltd.
Arsenic implantation into polycrystalline silicon and diffusion to silicon substrate
International Nuclear Information System (INIS)
Tsukamoto, K.; Akasaka, Y.; Horie, K.
1977-01-01
Arsenic implantation into polycrystalline silicon and drive-in diffusion to silicon substrate have been investigated by MeV He + backscattering analysis and also by electrical measurements. The range distributions of arsenic implanted into polycrystalline silicon are well fitted to Gaussian distributions over the energy range 60--350 keV. The measured values of R/sub P/ and ΔR/sub P/ are about 10 and 20% larger than the theoretical predictions, respectively. The effective diffusion coefficient of arsenic implanted into polycrystalline silicon is expressed as D=0.63 exp[(-3.22 eV/kT)] and is independent of the arsenic concentration. The drive-in diffusion of arsenic from the implanted polycrystalline silicon layer into the silicon substrate is significantly affected by the diffusion atmosphere. In the N 2 atmosphere, a considerable amount of arsenic atoms diffuses outward to the ambient. The outdiffusion can be suppressed by encapsulation with Si 3 N 4 . In the oxidizing atmosphere, arsenic atoms are driven inward by growing SiO 2 due to the segregation between SiO 2 and polycrystalline silicon, and consequently the drive-in diffusion of arsenic is enhanced. At the interface between the polycrystalline silicon layer and the silicon substrate, arsenic atoms are likely to segregate at the polycrystalline silicon side
Optic nerve compression as a late complication of a hydrogel explant with silicone encircling band.
Crama, Niels; Kluijtmans, Leo; Klevering, B Jeroen
2018-06-01
To present a complication of compressive optic neuropathy caused by a swollen hydrogel explant and posteriorly displaced silicone encircling band. A 72-year-old female patient presented with progressive visual loss and a tilted optic disc. Her medical history included a retinal detachment in 1993 that was treated with a hydrogel explant under a solid silicone encircling band. Visual acuity had decreased from 6/10 to 6/20 and perimetry showed a scotoma in the temporal superior quadrant. On Magnetic Resonance Imaging (MRI), compression of the optic nerve by a displaced silicone encircling band inferior nasally in combination with a swollen episcleral hydrogel explant was observed. Surgical removal of the hydrogel explant and silicone encircling band was uneventful and resulted in improvement of visual acuity and visual field loss. This is the first report on compressive optic neuropathy caused by swelling of a hydrogel explant resulting in a dislocated silicone encircling band. The loss of visual function resolved upon removal of the explant and encircling band.
Porous silicon: silicon quantum dots for photonic applications
International Nuclear Information System (INIS)
Pavesi, L.; Guardini, R.
1996-01-01
Porous silicon formation and structure characterization are briefly illustrated. Its luminescence properties rae presented and interpreted on the basis of exciton recombination in quantum dot structures: the trap-controlled hopping mechanism is used to describe the recombination dynamics. Porous silicon application to photonic devices is considered: porous silicon multilayer in general, and micro cavities in particular are described. The present situation in the realization of porous silicon LEDs is considered, and future developments in this field of research are suggested. (author). 30 refs., 30 figs., 13 tabs
International Nuclear Information System (INIS)
Schult-Bornemann, Karl-Heinz
2015-01-01
The dominant theme in the world energy market was the fall in oil prices in 2014. From 115 US-$/bbl in June it dropped to below 50 US-$/bbl in January 2015. Thereby the shale oil revolution has had the strong impact on the global energy situation, to this point has been predicted for three years. Although no one could predict the exact height of the fall in oil prices, but with oil as a reserve currency for all other fuels, it has not only had an impact on the gas and coal prices, but other commodities, such as copper, have also yielded. About cause and effect, there is a lot of speculation - not all of which are supported by wisdom. [de
Vegetable Oils Consumption as One of the Leading Cause of Cancer and Heart Disease
Somayeh Zaminpira; Sorush Niknamian
2017-01-01
This review takes a deep look at increases in the incidence of cancer and heart disease after the introduction of industrial vegetable oils in the world. Most vegetable oils are highly processed and refined products, which completely lack the essential nutrients. Omega-6 Linoleic acid from vegetable oils increases oxidative stress in the body of humans, contributing to endothelial dysfunction and heart disease. The consumption of these harmful oils which are high in mega-6 polyunsaturated fat...
Directory of Open Access Journals (Sweden)
Seyed Reza Mirnezami
2015-07-01
Full Text Available The data shows that oil-producing countries have low oil retail prices and low economic growth compared with other countries. Considering that oil-producing countries experience high oil consumption and low economic growth, it is possible to argue that economic growth is not an appropriate justification for oil consumption and that the main cause for high oil consumption is the low retail price. In addition, it should be noted that the global environmental movement against increasing greenhouse gas emissions—for example, the Kyoto 1998 agreement—seems to have had no effect on oil consumption in oil-producing countries.
Silicon-Rich Silicon Carbide Hole-Selective Rear Contacts for Crystalline-Silicon-Based Solar Cells.
Nogay, Gizem; Stuckelberger, Josua; Wyss, Philippe; Jeangros, Quentin; Allebé, Christophe; Niquille, Xavier; Debrot, Fabien; Despeisse, Matthieu; Haug, Franz-Josef; Löper, Philipp; Ballif, Christophe
2016-12-28
The use of passivating contacts compatible with typical homojunction thermal processes is one of the most promising approaches to realizing high-efficiency silicon solar cells. In this work, we investigate an alternative rear-passivating contact targeting facile implementation to industrial p-type solar cells. The contact structure consists of a chemically grown thin silicon oxide layer, which is capped with a boron-doped silicon-rich silicon carbide [SiC x (p)] layer and then annealed at 800-900 °C. Transmission electron microscopy reveals that the thin chemical oxide layer disappears upon thermal annealing up to 900 °C, leading to degraded surface passivation. We interpret this in terms of a chemical reaction between carbon atoms in the SiC x (p) layer and the adjacent chemical oxide layer. To prevent this reaction, an intrinsic silicon interlayer was introduced between the chemical oxide and the SiC x (p) layer. We show that this intrinsic silicon interlayer is beneficial for surface passivation. Optimized passivation is obtained with a 10-nm-thick intrinsic silicon interlayer, yielding an emitter saturation current density of 17 fA cm -2 on p-type wafers, which translates into an implied open-circuit voltage of 708 mV. The potential of the developed contact at the rear side is further investigated by realizing a proof-of-concept hybrid solar cell, featuring a heterojunction front-side contact made of intrinsic amorphous silicon and phosphorus-doped amorphous silicon. Even though the presented cells are limited by front-side reflection and front-side parasitic absorption, the obtained cell with a V oc of 694.7 mV, a FF of 79.1%, and an efficiency of 20.44% demonstrates the potential of the p + /p-wafer full-side-passivated rear-side scheme shown here.
Development of Mobile Device for Oil Analysis
Directory of Open Access Journals (Sweden)
I. Macuzic
2010-09-01
Full Text Available This paper presents the results of project focused on development and practical application of mobile instrument for on-site analysis of industrial oils. For years, the implementation of the oil analysis was limited to a specialized laboratories, but the emergence of proactive maintenance concept, cause intensive development of devices and sensors that strive in direction of miniaturization, automation, performance enhancement and creation of multi-functional diagnostic systems. Proactive maintenance strategy involves continuous monitoring and control of the basic failure causes, among which oil contamination stands out as the most common, serious and generally widely accepted cause of failures in the industry. Using the sensor elements to determine the concentration of wear particles and water in oil, the prototype of mobile device for contamination control of mineral oil is developed. It meets the general and specific set of technical and technological requirements, has a favourable price and upgrade option.
Hierarchical columnar silicon anode structures for high energy density lithium sulfur batteries
Piwko, Markus; Kuntze, Thomas; Winkler, Sebastian; Straach, Steffen; Härtel, Paul; Althues, Holger; Kaskel, Stefan
2017-05-01
Silicon is a promising anode material for next generation lithium secondary batteries. To significantly increase the energy density of state of the art batteries with silicon, new concepts have to be developed and electrode structuring will become a key technology. Structuring is essential to reduce the macroscopic and microscopic electrode deformation, caused by the volume change during cycling. We report pulsed laser structuring for the generation of hierarchical columnar silicon films with outstanding high areal capacities up to 7.5 mAh cm-2 and good capacity retention. Unstructured columnar electrodes form a micron-sized block structure during the first cycle to compensate the volume expansion leading to macroscopic electrode deformation. At increased silicon loading, without additional structuring, pronounced distortion and the formation of cracks through the current collector causes cell failure. Pulsed laser ablation instead is demonstrated to avoid macroscopic electrode deformation by initial formation of the block structure. A full cell with lithiated silicon versus a carbon-sulfur cathode is assembled with only 15% overbalanced anode and low electrolyte amount (8 μl mgsulfur-1). While the capacity retention over 50 cycles is identical to a cell with high excess lithium anode, the volumetric energy density could be increased by 30%.
Directory of Open Access Journals (Sweden)
Vito Romano
2015-01-01
Full Text Available Aim: The intraocular silicone oil (SO tamponades used in the treatment of retinal detachment (RD have been associated with a difference ocular hypertension (OH rate. To clarify, if this complication was associated to use of standard SO (SSO versus heavy SO (HSO, we performed a systematic review and meta-analysis of comparative study between two kind of SO (standard or light vs. heavy for the treatment of RD and macular hole, without restriction to study design. Materials and Methods: The methodological quality of two randomized clinical trials (RCTs were evaluated using the criteria given in the Cochrane Handbook for Systematic Reviews of Intervention, while three non-RCTs were assessed with the Newcastle-Ottawa Scale and Strengthening the Reporting of Observational Studies in Epidemiology checklists. We calculated Mantel-Haenszel risk ratio (RR with 95% confidence intervals (95% CIs. The primary outcome was the rate of patients with OH treated with SSO compared to HSO. Results: There were a higher number of rates of OH in HSO compared to SSO. This difference was statistically significant with the fixed effect model (Mantel-Haenszel RR; 1.55; 95% CI, 1.06-2.28; P = 0.02 while there was not significative difference with the random effect model (Mantel-Haenszel RR; 1.51; 95% CI, 0.98-2.33; P = 0.06. Conclusion: We noted a trend that points out a higher OH rate in HSO group compared to SSO, but this finding, due to the small size and variable design of studies, needs to be confirmed in well-designed and large size RCTs.
Quality evaluation of resistivity-controlled silicon crystals
Wang, Jong Hoe
2006-01-01
The segregation phenomenon of dopants causes a low production yield of silicon crystal that meets the resistivity tolerance required by device manufacturers. In order to control the macroscopic axial resistivity distribution in bulk crystal growth, numerous studies including continuous Czochralski method and double crucible technique have been studied. The simple B-P codoping method for improving the productivity of p-type silicon single-crystal growth by controlling axial specific resistivity distribution was proposed by Wang [Jpn. J. Appl. Phys. 43 (2004) 4079]. In this work, the quality of Czochralski-grown silicon single crystals with a diameter 200 mm using B-P codoping method was studied from the chemical and structural points of view. It was found that the characteristics of B-P codoped wafers including the oxygen precipitation behavior and the grown-in defects are same as that of conventional B-doped Czochralski crystals.
Inorganic Glue Enabling High Performance of Silicon Particles as Lithium Ion Battery Anode
Cui, Li-Feng
2011-01-01
Silicon, as an alloy-type anode material, has recently attracted lots of attention because of its highest known Li+ storage capacity (4200 mAh/g). But lithium insertion into and extraction from silicon are accompanied by a huge volume change, up to 300, which induces a strong strain on silicon and causes pulverization and rapid capacity fading due to the loss of the electrical contact between part of silicon and current collector. Silicon nanostructures such as nanowires and nanotubes can overcome the pulverization problem, however these nano-engineered silicon anodes usually involve very expensive processes and have difficulty being applied in commercial lithium ion batteries. In this study, we report a novel method using amorphous silicon as inorganic glue replacing conventional polymer binder. This inorganic glue method can solve the loss of contact issue in conventional silicon particle anode and enables successful cycling of various sizes of silicon particles, both nano-particles and micron particles. With a limited capacity of 800 mAh/g, relatively large silicon micron-particles can be stably cycled over 200 cycles. The very cheap production of these silicon particle anodes makes our method promising and competitive in lithium ion battery industry. © 2011 The Electrochemical Society.
Studies on marine oil spills and their ecological damage
Mei, Hong; Yin, Yanjie
2009-09-01
The sources of marine oil spills are mainly from accidents of marine oil tankers or freighters, marine oil-drilling platforms, marine oil pipelines, marine oilfields, terrestrial pollution, oil-bearing atmosphere, and offshore oil production equipment. It is concluded upon analysis that there are two main reasons for marine oil spills: (I) The motive for huge economic benefits of oil industry owners and oil shipping agents far surpasses their sense of ecological risks. (II) Marine ecological safety has not become the main concern of national security. Oil spills are disasters because humans spare no efforts to get economic benefits from oil. The present paper draws another conclusion that marine ecological damage caused by oil spills can be roughly divided into two categories: damage to marine resource value (direct value) and damage to marine ecosystem service value (indirect value). Marine oil spills cause damage to marine biological, fishery, seawater, tourism and mineral resources to various extents, which contributes to the lower quality and value of marine resources.
Geochemistry of silicon isotopes
Energy Technology Data Exchange (ETDEWEB)
Ding, Tiping; Li, Yanhe; Gao, Jianfei; Hu, Bin [Chinese Academy of Geological Science, Beijing (China). Inst. of Mineral Resources; Jiang, Shaoyong [China Univ. of Geosciences, Wuhan (China).
2018-04-01
Silicon is one of the most abundant elements in the Earth and silicon isotope geochemistry is important in identifying the silicon source for various geological bodies and in studying the behavior of silicon in different geological processes. This book starts with an introduction on the development of silicon isotope geochemistry. Various analytical methods are described and compared with each other in detail. The mechanisms of silicon isotope fractionation are discussed, and silicon isotope distributions in various extraterrestrial and terrestrial reservoirs are updated. Besides, the applications of silicon isotopes in several important fields are presented.
Nogay, Gizem
2016-09-26
Silicon heterojunction solar cells typically use stacks of hydrogenated intrinsic/doped amorphous silicon layers as carrier selective contacts. However, the use of these layers may cause parasitic optical absorption losses and moderate fill factor (FF) values due to a high contact resistivity. In this study, we show that the replacement of doped amorphous silicon with nanocrystalline silicon is beneficial for device performance. Optically, we observe an improved short-circuit current density when these layers are applied to the front side of the device. Electrically, we observe a lower contact resistivity, as well as higher FF. Importantly, our cell parameter analysis, performed in a temperature range from -100 to +80 °C, reveals that the use of hole-collecting p-type nanocrystalline layer suppresses the carrier transport barrier, maintaining FF s in the range of 70% at -100 °C, whereas it drops to 40% for standard amorphous doped layers. The same analysis also reveals a saturation onset of the open-circuit voltage at -100 °C using doped nanocrystalline layers, compared with saturation onset at -60 °C for doped amorphous layers. These findings hint at a reduced importance of the parasitic Schottky barrier at the interface between the transparent electrodes and the selective contact in the case of nanocrystalline layer implementation. © 2011-2012 IEEE.
Nogay, Gizem; Seif, Johannes Peter; Riesen, Yannick; Tomasi, Andrea; Jeangros, Quentin; Wyrsch, Nicolas; Haug, Franz-Josef; De Wolf, Stefaan; Ballif, Christophe
2016-01-01
Silicon heterojunction solar cells typically use stacks of hydrogenated intrinsic/doped amorphous silicon layers as carrier selective contacts. However, the use of these layers may cause parasitic optical absorption losses and moderate fill factor (FF) values due to a high contact resistivity. In this study, we show that the replacement of doped amorphous silicon with nanocrystalline silicon is beneficial for device performance. Optically, we observe an improved short-circuit current density when these layers are applied to the front side of the device. Electrically, we observe a lower contact resistivity, as well as higher FF. Importantly, our cell parameter analysis, performed in a temperature range from -100 to +80 °C, reveals that the use of hole-collecting p-type nanocrystalline layer suppresses the carrier transport barrier, maintaining FF s in the range of 70% at -100 °C, whereas it drops to 40% for standard amorphous doped layers. The same analysis also reveals a saturation onset of the open-circuit voltage at -100 °C using doped nanocrystalline layers, compared with saturation onset at -60 °C for doped amorphous layers. These findings hint at a reduced importance of the parasitic Schottky barrier at the interface between the transparent electrodes and the selective contact in the case of nanocrystalline layer implementation. © 2011-2012 IEEE.
Investigation of a Mesoporous Silicon Based Ferromagnetic Nanocomposite
Directory of Open Access Journals (Sweden)
Roca AG
2009-01-01
Full Text Available Abstract A semiconductor/metal nanocomposite is composed of a porosified silicon wafer and embedded ferromagnetic nanostructures. The obtained hybrid system possesses the electronic properties of silicon together with the magnetic properties of the incorporated ferromagnetic metal. On the one hand, a transition metal is electrochemically deposited from a metal salt solution into the nanostructured silicon skeleton, on the other hand magnetic particles of a few nanometres in size, fabricated in solution, are incorporated by immersion. The electrochemically deposited nanostructures can be tuned in size, shape and their spatial distribution by the process parameters, and thus specimens with desired ferromagnetic properties can be fabricated. Using magnetite nanoparticles for infiltration into porous silicon is of interest not only because of the magnetic properties of the composite material due to the possible modification of the ferromagnetic/superparamagnetic transition but also because of the biocompatibility of the system caused by the low toxicity of both materials. Thus, it is a promising candidate for biomedical applications as drug delivery or biomedical targeting.
Vegetable Oils and Animal Fats
non-petroleum oils are also regulated under CFR 112. Like petroleum oils, they can cause devastating physical effects, be toxic, destroy food supplies and habitats, produce rancid odors, foul shorelines and treatment plants, be flammable, and linger.
Amorphous silicon passivation for 23.3% laser processed back contact solar cells
Carstens, Kai; Dahlinger, Morris; Hoffmann, Erik; Zapf-Gottwick, Renate; Werner, Jürgen H.
2017-08-01
This paper presents amorphous silicon deposited at temperatures below 200 °C, leading to an excellent passivation layer for boron doped emitter and phosphorus doped back surface field areas in interdigitated back contact solar cells. A higher deposition temperature degrades the passivation of the boron emitter by an increased hydrogen effusion due to lower silicon hydrogen bond energy, proved by hydrogen effusion measurements. The high boron surface doping in crystalline silicon causes a band bending in the amorphous silicon. Under these conditions, at the interface, the intentionally undoped amorphous silicon becomes p-type conducting, with the consequence of an increased dangling bond defect density. For bulk amorphous silicon this effect is described by the defect pool model. We demonstrate, that the defect pool model is also applicable to the interface between amorphous and crystalline silicon. Our simulation shows the shift of the Fermi energy towards the valence band edge to be more pronounced for high temperature deposited amorphous silicon having a small bandgap. Application of optimized amorphous silicon as passivation layer for the boron doped emitter and phosphorus doped back surface field on the rear side of laser processed back contact solar cells, fabricated using four laser processing steps, yields an efficiency of 23.3%.
When Will Occur the Crude Oil Bubbles?
International Nuclear Information System (INIS)
Su, Chi-Wei; Li, Zheng-Zheng; Chang, Hsu-Ling; Lobonţ, Oana-Ramona
2017-01-01
In this paper, we apply a recursive unit root test to investigate whether there exist multiple bubbles in crude oil price. The method is best suited for a practical implementation of a time series and delivers a consistent date-stamping strategy for the origination and termination of multiple bubbles. The empirical result indicates that there exist six bubbles during 1986–2016 when the oil price deviate from its intrinsic value based on market fundamentals. Specifically, oil price contains the fundamentals and bubble components. The dates of the bubbles correspond to specific events in the politics and financial markets. The authorities should actively fight speculative bubbles or just observe their evolutions and speculation activities may decrease, which is favour of the stabilisation of the staple commodities including crude oil price. These findings have important economic and policy implications to recognise the cause of bubbles and take corresponding measures to reduce the impact on the real economy cause of the fluctuation of crude oil price. - Highlights: • Investigate multiple bubbles in crude oil price. • Indicate six bubbles deviate from its intrinsic value based on market fundamentals. • The bubbles correspond to specific events in the politics and financial markets. • Reduce the impact on the real economy cause of the fluctuation of crude oil price.
Silicon heterojunction transistor
International Nuclear Information System (INIS)
Matsushita, T.; Oh-uchi, N.; Hayashi, H.; Yamoto, H.
1979-01-01
SIPOS (Semi-insulating polycrystalline silicon) which is used as a surface passivation layer for highly reliable silicon devices constitutes a good heterojunction for silicon. P- or B-doped SIPOS has been used as the emitter material of a heterojunction transistor with the base and collector of silicon. An npn SIPOS-Si heterojunction transistor showing 50 times the current gain of an npn silicon homojunction transistor has been realized by high-temperature treatments in nitrogen and low-temperature annealing in hydrogen or forming gas
Energy Technology Data Exchange (ETDEWEB)
Gros, S [Wartsila Diesel International Ltd., Vaasa (Finland). Diesel Technology
1997-12-31
Wood waste pyrolysis oil is an attractive fuel alternative for diesel engine operation. The main benefit is the sustainability of the fuel. No fossil reserves are consumed. The fact that wood waste pyrolysis oil does not contribute to CO{sub 2} emissions is of utmost importance. This means that power plants utilising pyrolysis oil do not cause additional global warming. Equally important is the reduced sulphur emissions that this fuel alternative implies. The sulphur content of pyrolysis oil is extremely low. The high water content and low heating value are also expected to result in very low NO{sub x} emissions. Utilisation of wood waste pyrolysis oil in diesel engines, however, involves a lot of challenges and problems to be solved. The low heating value requires a new injection system with high capacity. The corrosive characteristics of the fluid also underline the need for new injection equipment materials. Wood waste pyrolysis oil contains solid particles which can clog filters and cause abrasive wear. Wood waste pyrolysis oil has proven to have extremely bad ignition properties. The development of a reliable injection system which is able to cope with such a fuel involves a lot of optimisation tests, redesign and innovative solutions. Successful single-cylinder tests have already been performed and they have verified that diesel operation on wood pyrolysis oil is technically possible. (orig.)
Energy Technology Data Exchange (ETDEWEB)
Gros, S. [Wartsila Diesel International Ltd., Vaasa (Finland). Diesel Technology
1996-12-31
Wood waste pyrolysis oil is an attractive fuel alternative for diesel engine operation. The main benefit is the sustainability of the fuel. No fossil reserves are consumed. The fact that wood waste pyrolysis oil does not contribute to CO{sub 2} emissions is of utmost importance. This means that power plants utilising pyrolysis oil do not cause additional global warming. Equally important is the reduced sulphur emissions that this fuel alternative implies. The sulphur content of pyrolysis oil is extremely low. The high water content and low heating value are also expected to result in very low NO{sub x} emissions. Utilisation of wood waste pyrolysis oil in diesel engines, however, involves a lot of challenges and problems to be solved. The low heating value requires a new injection system with high capacity. The corrosive characteristics of the fluid also underline the need for new injection equipment materials. Wood waste pyrolysis oil contains solid particles which can clog filters and cause abrasive wear. Wood waste pyrolysis oil has proven to have extremely bad ignition properties. The development of a reliable injection system which is able to cope with such a fuel involves a lot of optimisation tests, redesign and innovative solutions. Successful single-cylinder tests have already been performed and they have verified that diesel operation on wood pyrolysis oil is technically possible. (orig.)
Safely re-integrating silicone breast implants into the plastic surgery practice.
Gladfelter, Joanne
2006-01-01
In the early 1990s, it was reported that silicone breast implants were possibly responsible for serious damage to women's health. In January 1992, the Food and Drug Administration issued a voluntary breast implant moratorium and, in April, issued a ban on the use of silicone gel-filled implants for cosmetic breast augmentation. Since that time, silicone gel-filled breast implants have been available to women only for select cases: women seeking breast reconstruction or revision of an existing breast implant, women who have had breast cancer surgery, a severe injury to the breast, a birth defect that affects the breast, or a medical condition causing a severe breast deformity. Since the ban on the use of silicone gel-filled breast implants for cosmetic breast augmentation, numerous scientific studies have been conducted. To ensure patient safety, the American Board of Plastic Surgery believes that these scientific studies and the Food and Drug Administration's scrutiny of silicone gel-filled breast implants have been appropriate and necessary.
Vertical integration of high-Q silicon nitride microresonators into silicon-on-insulator platform.
Li, Qing; Eftekhar, Ali A; Sodagar, Majid; Xia, Zhixuan; Atabaki, Amir H; Adibi, Ali
2013-07-29
We demonstrate a vertical integration of high-Q silicon nitride microresonators into the silicon-on-insulator platform for applications at the telecommunication wavelengths. Low-loss silicon nitride films with a thickness of 400 nm are successfully grown, enabling compact silicon nitride microresonators with ultra-high intrinsic Qs (~ 6 × 10(6) for 60 μm radius and ~ 2 × 10(7) for 240 μm radius). The coupling between the silicon nitride microresonator and the underneath silicon waveguide is based on evanescent coupling with silicon dioxide as buffer. Selective coupling to a desired radial mode of the silicon nitride microresonator is also achievable using a pulley coupling scheme. In this work, a 60-μm-radius silicon nitride microresonator has been successfully integrated into the silicon-on-insulator platform, showing a single-mode operation with an intrinsic Q of 2 × 10(6).
Energy Technology Data Exchange (ETDEWEB)
Sundberg, G.J.
1994-01-01
Techniques were developed to produce reliable silicon nitride to silicon nitride (NCX-5101) curved joins which were used to manufacture spin test specimens as a proof of concept to simulate parts such as a simple rotor. Specimens were machined from the curved joins to measure the following properties of the join interlayer: tensile strength, shear strength, 22 C flexure strength and 1370 C flexure strength. In parallel, extensive silicon nitride tensile creep evaluation of planar butt joins provided a sufficient data base to develop models with accurate predictive capability for different geometries. Analytical models applied satisfactorily to the silicon nitride joins were Norton's Law for creep strain, a modified Norton's Law internal variable model and the Monkman-Grant relationship for failure modeling. The Theta Projection method was less successful. Attempts were also made to develop planar butt joins of siliconized silicon carbide (NT230).
Low dose radiation damage effects in silicon strip detectors
International Nuclear Information System (INIS)
Wiącek, P.; Dąbrowski, W.
2016-01-01
The radiation damage effects in silicon segmented detectors caused by X-rays have become recently an important research topic driven mainly by development of new detectors for applications at the European X-ray Free Electron Laser (E-XFEL). However, radiation damage in silicon strip is observed not only after extreme doses up to 1 GGy expected at E-XFEL, but also at doses in the range of tens of Gy, to which the detectors in laboratory instruments like X-ray diffractometers or X-ray spectrometers can be exposed. In this paper we report on investigation of radiation damage effects in a custom developed silicon strip detector used in laboratory diffractometers equipped with X-ray tubes. Our results show that significant degradation of detector performance occurs at low doses, well below 200 Gy, which can be reached during normal operation of laboratory instruments. Degradation of the detector energy resolution can be explained by increasing leakage current and increasing interstrip capacitance of the sensor. Another observed effect caused by accumulation of charge trapped in the surface oxide layer is change of charge division between adjacent strips. In addition, we have observed unexpected anomalies in the annealing process.
Low dose radiation damage effects in silicon strip detectors
Wiącek, P.; Dąbrowski, W.
2016-11-01
The radiation damage effects in silicon segmented detectors caused by X-rays have become recently an important research topic driven mainly by development of new detectors for applications at the European X-ray Free Electron Laser (E-XFEL). However, radiation damage in silicon strip is observed not only after extreme doses up to 1 GGy expected at E-XFEL, but also at doses in the range of tens of Gy, to which the detectors in laboratory instruments like X-ray diffractometers or X-ray spectrometers can be exposed. In this paper we report on investigation of radiation damage effects in a custom developed silicon strip detector used in laboratory diffractometers equipped with X-ray tubes. Our results show that significant degradation of detector performance occurs at low doses, well below 200 Gy, which can be reached during normal operation of laboratory instruments. Degradation of the detector energy resolution can be explained by increasing leakage current and increasing interstrip capacitance of the sensor. Another observed effect caused by accumulation of charge trapped in the surface oxide layer is change of charge division between adjacent strips. In addition, we have observed unexpected anomalies in the annealing process.
Comparative toxicology of four crude oils
Energy Technology Data Exchange (ETDEWEB)
Clarke, L.M.; Hodson, P.V. [Queen' s Univ., Kingston, ON (Canada). Dept. of Biology; Brown, R.S. [Queen' s Univ., Kingston, ON (Canada). Dept. of Chemistry
2003-07-01
Fish that are chronically exposed to polycyclic aromatic hydrocarbons (PAHs) exhibit dioxin-like toxicity characterized by blue sac disease and the induction of cytochrome P4501A (CYP1A). This study compared the relative toxicity of four crude oils (Scotian Shelf, MESA, the synthetic Alberta Sweet Mixed Blend, and Alaskan North Slope Crude Oil), in causing the disease in rainbow trout embryos living in simulated spawning beds with hydrocarbon-contaminated gravel. Each oil had different chemical characteristics and PAH concentrations. The study confirmed the assumption that the Alberta Sweet Mixed Blend would be the most toxic due to its high PAH concentration. The results suggest that the main cause of toxicity in crude oil is due to the presence, concentration, and conformation of specific PAHs.
Electrical leakage phenomenon in heteroepitaxial cubic silicon carbide on silicon
Pradeepkumar, Aiswarya; Zielinski, Marcin; Bosi, Matteo; Verzellesi, Giovanni; Gaskill, D. Kurt; Iacopi, Francesca
2018-06-01
Heteroepitaxial 3C-SiC films on silicon substrates are of technological interest as enablers to integrate the excellent electrical, electronic, mechanical, thermal, and epitaxial properties of bulk silicon carbide into well-established silicon technologies. One critical bottleneck of this integration is the establishment of a stable and reliable electronic junction at the heteroepitaxial interface of the n-type SiC with the silicon substrate. We have thus investigated in detail the electrical and transport properties of heteroepitaxial cubic silicon carbide films grown via different methods on low-doped and high-resistivity silicon substrates by using van der Pauw Hall and transfer length measurements as test vehicles. We have found that Si and C intermixing upon or after growth, particularly by the diffusion of carbon into the silicon matrix, creates extensive interstitial carbon traps and hampers the formation of a stable rectifying or insulating junction at the SiC/Si interface. Although a reliable p-n junction may not be realistic in the SiC/Si system, we can achieve, from a point of view of the electrical isolation of in-plane SiC structures, leakage suppression through the substrate by using a high-resistivity silicon substrate coupled with deep recess etching in between the SiC structures.
Fluorescence and thermoluminescence in silicon oxide films rich in silicon
International Nuclear Information System (INIS)
Berman M, D.; Piters, T. M.; Aceves M, M.; Berriel V, L. R.; Luna L, J. A.
2009-10-01
In this work we determined the fluorescence and thermoluminescence (TL) creation spectra of silicon rich oxide films (SRO) with three different silicon excesses. To study the TL of SRO, 550 nm of SRO film were deposited by Low Pressure Chemical Vapor Deposition technique on N-type silicon substrates with resistivity in the order of 3 to 5 Ω-cm with silicon excess controlled by the ratio of the gases used in the process, SRO films with Ro= 10, 20 and 30 (12-6% silicon excess) were obtained. Then, they were thermally treated in N 2 at high temperatures to diffuse and homogenize the silicon excess. In the fluorescence spectra two main emission regions are observed, one around 400 nm and one around 800 nm. TL creation spectra were determined by plotting the integrated TL intensity as function of the excitation wavelength. (Author)
Sadana, Devendra Kumar; Holland, Orin Wayne
2001-01-01
A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.
Effective Use of a Silicone-induced Capsular Flap in Secondary Asian Rhinoplasty
Directory of Open Access Journals (Sweden)
Jae Yong Jeong, MD
2014-06-01
Full Text Available Summary: Performing secondary rhinoplasty in patients who underwent primary rhinoplasty using a silicone implant is difficult due to thinning of nasal skin and formation of a capsule. Excess capsule formation can cause capsular contracture, resulting in short nose deformity or implant deviation, migration, or implant demarcation. Revision rhinoplasty using a capsular flap, dorsal silicone implant, and tip plasty was performed in 95 Korean patients (91 women and 4 men; mean age, 27 years who previously underwent primary augmentation rhinoplasty using silicone implants. The capsular flap was composed by creating a dual plane above the anterior capsule and below the posterior capsule. The existing silicone implant was removed, and a new silicone implant was placed under the posterior capsule. The patients were followed up for 6 months to 4 years (mean, 31.7 months. Of the 95 patients who underwent secondary augmentation rhinoplasty using a capsular flap, 88 patients (92.6% showed satisfactory results. There was no hematoma or nasal skin vascular compromise. There was no visible or palpable capsule resorption or recurrent capsular contracture. Early implant malpositioning (within 30 days postoperatively was observed in 4 patients, and tip shape dissatisfaction (within 60 days postoperatively was reported by 3 patients. Four patients underwent revision surgery and had successful outcomes. Nasal augmentation using a silicone implant and capsular flap in secondary rhinoplasty avoids complications caused by removal of the capsule. Recurrent capsule formation or clinically noticeable resorption of the capsular flap was not observed in this study.
Ion beam studied of silicon oxynitride and silicon nitroxide thin layers
International Nuclear Information System (INIS)
Oude Elferink, J.B.
1989-01-01
In this the processes occurring during high temperature treatments of silicon oxynitride and silicon oxide layers are described. Oxynitride layers with various atomic oxygen to nitrogen concentration ration (O/N) are considered. The high energy ion beam techniques Rutherford backscattering spectroscopy, elastic recoil detection and nuclear reaction analysis have been used to study the layer structures. A detailed discussion of these ion beam techniques is given. Numerical methods used to obtain quantitative data on elemental compositions and depth profiles are described. The electrical compositions and depth profiles are described. The electrical properties of silicon nitride films are known to be influenced by the behaviour of hydrogen in the film during high temperature anneling. Investigations of the behaviour of hydrogen are presented. Oxidation of silicon (oxy)nitride films in O 2 /H 2 0/HCl and nitridation of silicon dioxide films in NH 3 are considered since oxynitrides are applied as an oxidation mask in the LOCOS (Local oxidation of silicon) process. The nitridation of silicon oxide layers in an ammonia ambient is considered. The initial stage and the dependence on the oxide thickness of nitrogen and hydrogen incorporation are discussed. Finally, oxidation of silicon oxynitride layers and of silicon oxide layers are compared. (author). 76 refs.; 48 figs.; 1 tab
Lyu, X.; Liu, Huiqing; Pang, Zhanxi; Sun, Zhixue
2018-01-01
Steam channeling, one serious problem in the process of steam flooding in heavy oil reservoir, decreases the sweep efficiency of steam to cause a lower oil recovery. Viscosity reducer and nitrogen foam, two effective methods to improve oil recovery with different mechanism, present a satisfactory
Soft silicone based interpenetrating networks as materials for actuators
DEFF Research Database (Denmark)
Yu, Liyun; Gonzalez, Lidia; Hvilsted, Søren
2014-01-01
A new approach based on silicone interpenetrating networks with orthogonal chemistries has been investigated with focus on developing soft and flexible elastomers with high energy densities and small viscous losses. The interpenetrating networks are made as simple two pot mixtures...... as for the commercial available silylation based elastomers such as Elastosil RT625. The resulting interpenetrating networks are formulated to be softer than RT625 to increase the actuation caused when applying a voltage due to their softness combined with the significantly higher permittivity than the pure silicone...
EDITORIAL: Special issue on silicon photonics
Reed, Graham; Paniccia, Mario; Wada, Kazumi; Mashanovich, Goran
2008-06-01
mechanisms for modulation in silicon that have yielded increasingly impressive results (see, for example, Liao L et al 2007 Electron. Lett. 43 issue 22). The convergence of computing and communications and the resultant demand for increased bandwidth has been one of the factors influencing the upsurge of interest in silicon, together with the requirement for photonic and electronic integration, all to be realized at low cost. Thus emerging applications such as short-reach communications links for optical interconnect and fibre to the home (FTTH) (as well as a multitude of other applications) are frequently offered as examples of where silicon photonics will have a significant, perhaps a revolutionary, impact. One of the major conclusions of the joint MIT-industry Communication Technology Roadmap (http://mph-roadmap.mit.edu/index.php), was that 'Photonics technology will be driven by electronic-photonic synergy and short (intelligence. Thus the limitations of silicon as an optical material can be offset against the very significant advantages, to both commercial as well as technological success. Of course, there is still much to do, hence the increasing global investment in silicon technology and the massive increase in research activity in silicon photonics since the early work in the 1980s. Only time will tell if silicon can realize its potential to satisfy the ever-increasing array of applications. However, the indications are positive, and the contributors to this cause employ increasingly impressive levels of intellectual and technological capability to realize the desired goals. It is an interesting time to be involved in slicon photonics, and it will be equally fascinating to watch the evolution of the technology in the future. Whatever happens, silicon will make the transition from being regarded as purely an electronic material to recognition as an optoelectronic material. The evidence for this is represented in the collection of papers that form this special issue
Energy Technology Data Exchange (ETDEWEB)
Oliveira, S.S.L.; Ferreira, R.S.B.; Araujo, B.A.; Medeiros, K.M.; Lucena, H.L.; Araujo, E.M., E-mail: sandriely_sonaly@hotmail.com [Universidade Federal de Campina Grande (UFCG), PB (Brazil). Departamento de Engenharia de Materiais
2016-07-01
Silicon carbide is a promising material for the production of membranes due to its high melting temperature, thermal shock resistance, excellent mechanical and chemical stability. So, this study aims to characterize silicon carbide membranes in order to apply them in the separation of oil-water. A solution (SiC + PES + 1-Methyl- 2-Pyrrolidone) and through the extrusion technique by immersion precipitation membranes were obtained with hollow fiber geometry was prepared. And then sintered at 1500 ° C. For the characterization analyzes were made XRD, FTIR and SEM to evaluate the morphology and composition of the membranes obtained before and after sintering. (author)
Tsia, Kevin K.; Jalali, Bahram
2010-05-01
An intriguing optical property of silicon is that it exhibits a large third-order optical nonlinearity, with orders-ofmagnitude larger than that of silica glass in the telecommunication band. This allows efficient nonlinear optical interaction at relatively low power levels in a small footprint. Indeed, we have witnessed a stunning progress in harnessing the Raman and Kerr effects in silicon as the mechanisms for enabling chip-scale optical amplification, lasing, and wavelength conversion - functions that until recently were perceived to be beyond the reach of silicon. With all the continuous efforts developing novel techniques, nonlinear silicon photonics is expected to be able to reach even beyond the prior achievements. Instead of providing a comprehensive overview of this field, this manuscript highlights a number of new branches of nonlinear silicon photonics, which have not been fully recognized in the past. In particular, they are two-photon photovoltaic effect, mid-wave infrared (MWIR) silicon photonics, broadband Raman effects, inverse Raman scattering, and periodically-poled silicon (PePSi). These novel effects and techniques could create a new paradigm for silicon photonics and extend its utility beyond the traditionally anticipated applications.
Causes for an asymmetric relation between the price of crude oil and refined petroleum products
International Nuclear Information System (INIS)
Kaufmann, R.K.; Laskowski, C.
2005-01-01
We revisit the issue of asymmetries in the relation between the price of crude oil and refined petroleum products in the United States. An econometric analysis of monthly data indicates that the asymmetric relationship between the price of crude oil and motor gasoline is generated by refinery utilization rates and inventory behavior. The asymmetric relation between the price of crude oil and home heating oil probably is generated by contractual arrangements between retailers and consumers. Together, these results imply that price asymmetries may be generated by efficient markets. Under these conditions, there is little justification for policy interventions to reduce or eliminate price asymmetries in motor gasoline and home heating oil markets. (author)
Lemongrass Oil Granules AS Aedes Aegypti Larvicide
Mulyani, Sri
2014-01-01
One way to prevent the spread of Haemorrhage Dengue Fever is the use of abate. The use of abate as larvicides often complained causing an unpleasant smell, and can cause resistance. Lemongrass oil is reported to have activity as larvicides, and this study aims to make granules of lemongrass oil preparation, as well as determining the value of LC50, LC90 against larvae of Ae. aegypti instar III. The granules of lemongrass oil preparation are made with lactose filler and binder CMC-Na. Larvicid...
International Nuclear Information System (INIS)
Harapanhalli, R.S.; Narra, V.R.; Yaghmai, V.; Azure, M.T.; Goddu, M.; Howell, R.W.; Rao, D.V.
1994-01-01
Tissue-incorporated radionuclides impart radiation energy over extended periods of time depending on their effective half-lives. The capacity of vitamin A dissolved in soybean oil to protect against the biological effects caused by internal radionuclides is investigated. The radiochemicals examined are DNA-binding 125 IdU, cytoplasmically localized H 125 IPDM and the α-particle emitter 210 Po citrate. As in our previous studies, spermatogenesis in mice is used as the experimental model and spermatogonial cell survival is the biological end point. Surprisingly, soybean oil itself provides substantial and equal protection against the Auger effect of 125 IdU, which is comparable to a high-LET radiation effect, as well as the low-LET effects of H 125 IPDM, the dose modification factors (DMFs) being 3.6 ± 0.9 (SEM) and 3.4 ± 0.9, respectively. The protection afforded by the oil against the effects of 5.3 MeV α particles emitted by 210Po is also significant (DMF = 2.2 ± 0.4). The presence of vitamin A in the oil further enhanced the radioprotection against the effect of 125 IdU (DMF = 4.8 ± 1.3) and H 125 IKPDM (DMF = 5.1 ± 0.6); however, no enhancement is provided against the effects of α particles. These interesting results with soybean oil and vitamin A, together with data on the subcellular distribution of the protectors, provide clues regarding the mechanistic aspects of the protection. In addition, the data for vitamin A reaffirm our earlier conclusion that the mechanism by which DNA-bound Auger emitters impart biological damage is primarily indirect in nature. 29 refs., 7 figs., 2 tabs
Albert, Benjamin B; Vickers, Mark H; Gray, Clint; Reynolds, Clare M; Segovia, Stephanie A; Derraik, José G B; Lewandowski, Paul A; Garg, Manohar L; Cameron-Smith, David; Hofman, Paul L; Cutfield, Wayne S
2016-09-01
Fish oil is commonly taken by pregnant women, and supplements sold at retail are often oxidized. Using a rat model, we aimed to assess the effects of supplementation with oxidized fish oil during pregnancy in mothers and offspring, focusing on newborn viability and maternal insulin sensitivity. Female rats were allocated to a control or high-fat diet and then mated. These rats were subsequently randomized to receive a daily gavage treatment of 1 ml of unoxidized fish oil, a highly oxidized fish oil, or control (water) throughout pregnancy. At birth, the gavage treatment was stopped, but the same maternal diets were fed ad libitum throughout lactation. Supplementation with oxidized fish oil during pregnancy had a marked adverse effect on newborn survival at day 2, leading to much greater odds of mortality than in the control (odds ratio 8.26) and unoxidized fish oil (odds ratio 13.70) groups. In addition, maternal intake of oxidized fish oil during pregnancy led to increased insulin resistance at the time of weaning (3 wks after exposure) compared with control dams (HOMA-IR 2.64 vs. 1.42; P = 0.044). These data show that the consumption of oxidized fish oil is harmful in rat pregnancy, with deleterious effects in both mothers and offspring. Copyright © 2016 the American Physiological Society.
OPEC and Venezuelan oil production. Evidence against a cartel hypothesis
Energy Technology Data Exchange (ETDEWEB)
Reynolds, Douglas B.; Pippenger, Michael K. [Department of Economics, University of Alaska Fairbanks, Fairbanks, AK (United States)
2010-10-15
This study revisits the OPEC cartel hypothesis using a case study. A test is conducted to see if Venezuela has its production Granger cause its OPEC quota or whether the OPEC quota for Venezuela Granger causes Venezuelan production. The results show both occur at different times. In the short run, OPEC's oil production quota for Venezuela Granger causes Venezuelan production. However, shortly after cuts, Venezuela cheats on agreements, suggesting a tit-for-tat oligopoly game, which is not anti-competitive. In the long run, we show that Venezuelan oil production Granger causes OPEC's quota for Venezuela, but not vice versa. Having Venezuelan oil production Granger cause OPEC quotas for Venezuela in the long run suggests OPEC does not coordinate outputs as much as it reacts to them. The evidence suggests Venezuela is not a part of an OPEC anti-competitive syndicate even though we show that Venezuelan oil production is low. An alternative explanation for why Venezuela and possibly other OPEC members have low oil production outputs is that institutions and risk aversion, not cartel participation, is the cause. A vector error correction model shows that there is no tendency for Venezuelan oil production to converge to OPEC's quota for Venezuela. (author)
OPEC and Venezuelan oil production: Evidence against a cartel hypothesis
Energy Technology Data Exchange (ETDEWEB)
Reynolds, Douglas B., E-mail: DBReynolds@Alaska.ed [Department of Economics, University of Alaska Fairbanks, Fairbanks, AK (United States); Pippenger, Michael K. [Department of Economics, University of Alaska Fairbanks, Fairbanks, AK (United States)
2010-10-15
This study revisits the OPEC cartel hypothesis using a case study. A test is conducted to see if Venezuela has its production Granger cause its OPEC quota or whether the OPEC quota for Venezuela Granger causes Venezuelan production. The results show both occur at different times. In the short run, OPEC's oil production quota for Venezuela Granger causes Venezuelan production. However, shortly after cuts, Venezuela cheats on agreements, suggesting a tit-for-tat oligopoly game, which is not anti-competitive. In the long run, we show that Venezuelan oil production Granger causes OPEC's quota for Venezuela, but not vice versa. Having Venezuelan oil production Granger cause OPEC quotas for Venezuela in the long run suggests OPEC does not coordinate outputs as much as it reacts to them. The evidence suggests Venezuela is not a part of an OPEC anti-competitive syndicate even though we show that Venezuelan oil production is low. An alternative explanation for why Venezuela and possibly other OPEC members have low oil production outputs is that institutions and risk aversion, not cartel participation, is the cause. A vector error correction model shows that there is no tendency for Venezuelan oil production to converge to OPEC's quota for Venezuela.
OPEC and Venezuelan oil production: Evidence against a cartel hypothesis
International Nuclear Information System (INIS)
Reynolds, Douglas B.; Pippenger, Michael K.
2010-01-01
This study revisits the OPEC cartel hypothesis using a case study. A test is conducted to see if Venezuela has its production Granger cause its OPEC quota or whether the OPEC quota for Venezuela Granger causes Venezuelan production. The results show both occur at different times. In the short run, OPEC's oil production quota for Venezuela Granger causes Venezuelan production. However, shortly after cuts, Venezuela cheats on agreements, suggesting a tit-for-tat oligopoly game, which is not anti-competitive. In the long run, we show that Venezuelan oil production Granger causes OPEC's quota for Venezuela, but not vice versa. Having Venezuelan oil production Granger cause OPEC quotas for Venezuela in the long run suggests OPEC does not coordinate outputs as much as it reacts to them. The evidence suggests Venezuela is not a part of an OPEC anti-competitive syndicate even though we show that Venezuelan oil production is low. An alternative explanation for why Venezuela and possibly other OPEC members have low oil production outputs is that institutions and risk aversion, not cartel participation, is the cause. A vector error correction model shows that there is no tendency for Venezuelan oil production to converge to OPEC's quota for Venezuela.
Liquid phase epitaxial growth of silicon on porous silicon for photovoltaic applications
International Nuclear Information System (INIS)
Berger, S.; Quoizola, S.; Fave, A.; Kaminski, A.; Perichon, S.; Barbier, D.; Laugier, A.
2001-01-01
The aim of this experiment is to grow a thin silicon layer ( 2 atmosphere, and finally LPE silicon growth with different temperature profiles in order to obtain a silicon layer on the sacrificial porous silicon (p-Si). We observed a pyramidal growth on the surface of the (100) porous silicon but the coalescence was difficult to obtain. However, on a p-Si (111) oriented wafer, homogeneous layers were obtained. (orig.)
PLA and two components silicon rubber blends aiming for frozen foods packaging applications
Meekum, Utai; Khiansanoi, Apichart
2018-03-01
Designing of PLA and two components silicone rubber blends was studies. Frozen food packaging application is the main ultimate aim. The statistical method using 23 DOE was conducted. The standard testing methods, in particular impact testing at sub-zero temperature, were performed. The preliminary blend formula comprised 1.0 phr of silane and polyester polyols, respectively, was initially resolved. Then, the optimize the silicone portion in the blends was determined. Blending formula using 8.0 phr of silicone with respect to PLA matrix gave rise to the overall satisfactory properties. 3. TETA was used as the silicone curing agent and reactively blended onto the ingredients. TETA at 0.4 phr, with respect to the silicone, enhanced the mechanical properties, especially flexibility and toughness, of the PLA/silicone blend. Exceeding the optimal TETA loading would cause the chain scission and also the dilution effects. Hence, marginal inferior properties of the blends were be experienced. The preliminary biodegradability investigation found that the PLA/silicone blend initially triggered at the second week. Its degradation rate was likely to be faster than neat PLA.
FOLIAR APPLICATION OF SILICON ON YIELD COMPONENTS OF WHEAT CROP
Directory of Open Access Journals (Sweden)
THOMAS NEWTON MARTIN
2017-01-01
Full Text Available Wheat is a major winter crop in southern Brazil. To maximize its productivity, there should be no biotic or abiotic restrictions that can affect the yield components. Thus, the objective was to evaluate the changes caused in the wheat crop yield components by silicon foliar application. The experiment was conducted in two growing seasons. In the first year, five wheat cultivars (Quartzo, Campo Real, Onix and Fundacep Lineage were assessed and in the second year four were assessed (Mirante, Campo Real, Horizonte and Quartzo. In both years the crops were subjected to three doses of silicon (0, 3 and 6 L of silicon ha -1. The silicon was applied during the tillering, booting and anthesis stages. The yield components assessed were the number of plants, number of ears, number of fertile tillers, dry matter per plant, hectoliter weight, number of spikelets, number of grains per spike, weight of hundred grains, grain yield and harvest index. Most yield components did not respond to the silicon foliar application. The harvest index (first year and the number of tillers (second year however presented a quadratic relationship with the supply of silicon. The remaining differences were attributed to variations among the wheat cultivars.
Generation of reactive oxygen species from porous silicon microparticles in cell culture medium.
Low, Suet Peng; Williams, Keryn A; Canham, Leigh T; Voelcker, Nicolas H
2010-06-01
Nanostructured (porous) silicon is a promising biodegradable biomaterial, which is being intensively researched as a tissue engineering scaffold and drug-delivery vehicle. Here, we tested the biocompatibility of non-treated and thermally-oxidized porous silicon particles using an indirect cell viability assay. Initial direct cell culture on porous silicon determined that human lens epithelial cells only poorly adhered to non-treated porous silicon. Using an indirect cell culture assay, we found that non-treated microparticles caused complete cell death, indicating that these particles generated a toxic product in cell culture medium. In contrast, thermally-oxidized microparticles did not reduce cell viability significantly. We found evidence for the generation of reactive oxygen species (ROS) by means of the fluorescent probe 2',7'-dichlorofluorescin. Our results suggest that non-treated porous silicon microparticles produced ROS, which interacted with the components of the cell culture medium, leading to the formation of cytotoxic species. Oxidation of porous silicon microparticles not only mitigated, but also abolished the toxic effects.
PLA and two components silicon rubber blends aiming for frozen foods packaging applications
Directory of Open Access Journals (Sweden)
Utai Meekum
2018-03-01
Full Text Available Designing of PLA and two components silicone rubber blends was studies. Frozen food packaging application is the main ultimate aim. The statistical method using 23 DOE was conducted. The standard testing methods, in particular impact testing at sub-zero temperature, were performed. The preliminary blend formula comprised 1.0 phr of silane and polyester polyols, respectively, was initially resolved. Then, the optimize the silicone portion in the blends was determined. Blending formula using 8.0 phr of silicone with respect to PLA matrix gave rise to the overall satisfactory properties. 3. TETA was used as the silicone curing agent and reactively blended onto the ingredients. TETA at 0.4 phr, with respect to the silicone, enhanced the mechanical properties, especially flexibility and toughness, of the PLA/silicone blend. Exceeding the optimal TETA loading would cause the chain scission and also the dilution effects. Hence, marginal inferior properties of the blends were be experienced. The preliminary biodegradability investigation found that the PLA/silicone blend initially triggered at the second week. Its degradation rate was likely to be faster than neat PLA. Keywords: PLA/silicone blends, Mechanical properties, Sub-zero impact strength
Method of purifying metallurgical grade silicon employing reduced pressure atmospheric control
Ingle, W. M.; Thompson, S. W.; Chaney, R. E. (Inventor)
1979-01-01
A method in which a quartz tube is charged with chunks of metallurgical grade silicon and/or a mixture of such chunks and high purity quartz sand, and impurities from a class including aluminum, boron, as well as certain transition metals including nickel, iron, and manganese is described. The tube is then evacuated and heated to a temperature within a range of 800 C to 1400 C. A stream of gas comprising a reactant, such as silicon tetrafluoride, is continuously delivered at low pressures through the charge for causing a metathetical reaction of impurities of the silicon and the reactant to occur for forming a volatile halide and leaving a residue of silicon of an improved purity. The reactant which included carbon monoxide gas and impurities such as iron and nickel react to form volatile carbonyls.
Inelastic response of silicon to shock compression.
Higginbotham, A; Stubley, P G; Comley, A J; Eggert, J H; Foster, J M; Kalantar, D H; McGonegle, D; Patel, S; Peacock, L J; Rothman, S D; Smith, R F; Suggit, M J; Wark, J S
2016-04-13
The elastic and inelastic response of [001] oriented silicon to laser compression has been a topic of considerable discussion for well over a decade, yet there has been little progress in understanding the basic behaviour of this apparently simple material. We present experimental x-ray diffraction data showing complex elastic strain profiles in laser compressed samples on nanosecond timescales. We also present molecular dynamics and elasticity code modelling which suggests that a pressure induced phase transition is the cause of the previously reported 'anomalous' elastic waves. Moreover, this interpretation allows for measurement of the kinetic timescales for transition. This model is also discussed in the wider context of reported deformation of silicon to rapid compression in the literature.
Implantation of boron in silicon
International Nuclear Information System (INIS)
Hofker, W.K.
1975-01-01
The distribution versus depth of boron implanted in silicon and the corresponding electrical activity obtained after annealing are studied. The boron distributions are measured by secondary-ion mass spectrometry. Boron distributions implanted at energies in the range from 30 keV to 800 keV in amorphous and polycrystalline silicon are analysed. Moments of these distributions are determined by a curve-fitting programme and compared with moments calculated by Winterbon. Boron distributions obtained by implantations along a dense crystallographic direction in monocrystalline silicon are found to have penetrating tails. After investigation of some possible mechanisms of tail formation it is concluded that the tails are due to channelling. It was found that the behaviour of boron during annealing is determined by the properties of three boron fractions consisting of precipitated boron, interstitial boron and substitutional boron. The electrical activity of the boron versus depth is found to be consistent with the three boron fractions. A peculiar redistribution of boron is found which is induced by the implantation of a high dose of heavy ions and subsequent annealing. Different mechanisms which may cause the observed effects, such as thermal diffusion which is influenced by lattice strain and damage, are discussed. (Auth.)
Enzymatic interesterification of vegetable oil/ fish oil blend for margarine production
DEFF Research Database (Denmark)
Ibrahim, Nuzul Amri Bin; Xu, Xuebing
the desired properties. In this study, palm stearin (PS), palm kernel oil (PKO) and fish oil (FO) are blended and modified by enzymatic interesterification. PS functioned as the hard stock, PKO as the soft oil and FO as a source for eicosapentaenoic acid (EPA)/ docosahexaenoic acid (DHA). The purpose...... cause the product to be susceptible to oxidation due to the presence of high content of polyunsaturated fatty acids. Furthermore, FO could also influence the melting properties of the product. Therefore, in addition to determining the fatty acid position on the glycerol backbone, it is also pertinent...
Laser shock ignition of porous silicon based nano-energetic films
International Nuclear Information System (INIS)
Plummer, A.; Gascooke, J.; Shapter, J.; Kuznetsov, V. A.; Voelcker, N. H.
2014-01-01
Nanoporous silicon films on a silicon wafer were loaded with sodium perchlorate and initiated using illumination with infrared laser pulses to cause laser thermal ignition and laser-generated shock waves. Using Photon Doppler Velocimetry, it was determined that these waves are weak stress waves with a threshold intensity of 131 MPa in the silicon substrate. Shock generation was achieved through confinement of a plasma, generated upon irradiation of an absorptive paint layer held against the substrate side of the wafer. These stress waves were below the threshold required for sample fracturing. Exploiting either the laser thermal or laser-generated shock mechanisms of ignition may permit use of pSi energetic materials in applications otherwise precluded due to their environmental sensitivity
Laser shock ignition of porous silicon based nano-energetic films
Energy Technology Data Exchange (ETDEWEB)
Plummer, A.; Gascooke, J.; Shapter, J. [School of Chemical and Physical Sciences, Flinders University, 5042, Bedford Park (Australia); Centre of Expertise in Energetic Materials (CEEM), Bedford Park (Australia); Kuznetsov, V. A., E-mail: nico.voelcker@unisa.edu.au, E-mail: Valerian.Kuznetsov@dsto.defence.gov.au [School of Chemical and Physical Sciences, Flinders University, 5042, Bedford Park (Australia); Centre of Expertise in Energetic Materials (CEEM), Bedford Park (Australia); Weapons and Combat Systems Division, Defence Science and Technology Organisation, Edinburgh 5111 (Australia); Voelcker, N. H., E-mail: nico.voelcker@unisa.edu.au, E-mail: Valerian.Kuznetsov@dsto.defence.gov.au [Mawson Institute, University of South Australia, 5095, Mawson Lakes (Australia)
2014-08-07
Nanoporous silicon films on a silicon wafer were loaded with sodium perchlorate and initiated using illumination with infrared laser pulses to cause laser thermal ignition and laser-generated shock waves. Using Photon Doppler Velocimetry, it was determined that these waves are weak stress waves with a threshold intensity of 131 MPa in the silicon substrate. Shock generation was achieved through confinement of a plasma, generated upon irradiation of an absorptive paint layer held against the substrate side of the wafer. These stress waves were below the threshold required for sample fracturing. Exploiting either the laser thermal or laser-generated shock mechanisms of ignition may permit use of pSi energetic materials in applications otherwise precluded due to their environmental sensitivity.
Borghi, M.; Castellan, C.; Signorini, S.; Trenti, A.; Pavesi, L.
2017-09-01
Silicon photonics is a technology based on fabricating integrated optical circuits by using the same paradigms as the dominant electronics industry. After twenty years of fervid development, silicon photonics is entering the market with low cost, high performance and mass-manufacturable optical devices. Until now, most silicon photonic devices have been based on linear optical effects, despite the many phenomenologies associated with nonlinear optics in both bulk materials and integrated waveguides. Silicon and silicon-based materials have strong optical nonlinearities which are enhanced in integrated devices by the small cross-section of the high-index contrast silicon waveguides or photonic crystals. Here the photons are made to strongly interact with the medium where they propagate. This is the central argument of nonlinear silicon photonics. It is the aim of this review to describe the state-of-the-art in the field. Starting from the basic nonlinearities in a silicon waveguide or in optical resonator geometries, many phenomena and applications are described—including frequency generation, frequency conversion, frequency-comb generation, supercontinuum generation, soliton formation, temporal imaging and time lensing, Raman lasing, and comb spectroscopy. Emerging quantum photonics applications, such as entangled photon sources, heralded single-photon sources and integrated quantum photonic circuits are also addressed at the end of this review.
Transformational silicon electronics
Rojas, Jhonathan Prieto
2014-02-25
In today\\'s traditional electronics such as in computers or in mobile phones, billions of high-performance, ultra-low-power devices are neatly integrated in extremely compact areas on rigid and brittle but low-cost bulk monocrystalline silicon (100) wafers. Ninety percent of global electronics are made up of silicon. Therefore, we have developed a generic low-cost regenerative batch fabrication process to transform such wafers full of devices into thin (5 μm), mechanically flexible, optically semitransparent silicon fabric with devices, then recycling the remaining wafer to generate multiple silicon fabric with chips and devices, ensuring low-cost and optimal utilization of the whole substrate. We show monocrystalline, amorphous, and polycrystalline silicon and silicon dioxide fabric, all from low-cost bulk silicon (100) wafers with the semiconductor industry\\'s most advanced high-κ/metal gate stack based high-performance, ultra-low-power capacitors, field effect transistors, energy harvesters, and storage to emphasize the effectiveness and versatility of this process to transform traditional electronics into flexible and semitransparent ones for multipurpose applications. © 2014 American Chemical Society.
Silicon Microspheres Photonics
International Nuclear Information System (INIS)
Serpenguzel, A.
2008-01-01
Electrophotonic integrated circuits (EPICs), or alternatively, optoelectronic integrated circuit (OEICs) are the natural evolution of the microelectronic integrated circuit (IC) with the addition of photonic capabilities. Traditionally, the IC industry has been based on group IV silicon, whereas the photonics industry on group III-V semiconductors. However, silicon based photonic microdevices have been making strands in siliconizing photonics. Silicon microspheres with their high quality factor whispering gallery modes (WGMs), are ideal candidates for wavelength division multiplexing (WDM) applications in the standard near-infrared communication bands. In this work, we will discuss the possibility of using silicon microspheres for photonics applications in the near-infrared
Optic nerve compression as a late complication of a hydrogel explant with silicone encircling band
Directory of Open Access Journals (Sweden)
Niels Crama
2018-06-01
Full Text Available Purpose: To present a complication of compressive optic neuropathy caused by a swollen hydrogel explant and posteriorly displaced silicone encircling band. Observations: A 72-year-old female patient presented with progressive visual loss and a tilted optic disc. Her medical history included a retinal detachment in 1993 that was treated with a hydrogel explant under a solid silicone encircling band. Visual acuity had decreased from 6/10 to 6/20 and perimetry showed a scotoma in the temporal superior quadrant. On Magnetic Resonance Imaging (MRI, compression of the optic nerve by a displaced silicone encircling band inferior nasally in combination with a swollen episcleral hydrogel explant was observed. Surgical removal of the hydrogel explant and silicone encircling band was uneventful and resulted in improvement of visual acuity and visual field loss. Conclusions and importance: This is the first report on compressive optic neuropathy caused by swelling of a hydrogel explant resulting in a dislocated silicone encircling band. The loss of visual function resolved upon removal of the explant and encircling band. Keywords: Retinal detachment, Tilted disc, Optic neuropathy, Miragel, Explant, Encircling band
Wettability of Oil-Producing Reservoir Rocks as Determined from X-ray Photoelectron Spectroscopy
Toledo; Araujo; Leon
1996-11-10
Wettability has a dominant effect in oil recovery by waterflooding and in many other processes of industrial and environmental interest. Recently, the suggestion has been made that surface science analytical techniques (SSAT) could be used to rapidly determine the wettability of reservoir materials. Here, we bring the capability of X-ray photoelectron spectroscopy (XPS) to bear on the wettability evaluation of producing reservoir rocks. For a suite of freshly exposed fracture surfaces of rocks we investigate the relationship between wettability and surface composition as determined from XPS. The classical wettability index as measured with the Amott-Harvey test is used here as an indicator of the wettability of natural sandstones. The XPS spectra of oil-wet surfaces of rocks reveal the existence of organic carbon and also of an "organic" silicon species, of the kind Si-CH relevant to silanes, having a well-defined binding energy which differs from that of the Si-O species of mineral grains. We provide quantifiable evidence that chemisorbed organic material on the pore surfaces defines the oil-wetting character of various reservoir sandstones studied here which on a mineralogic basis are expected to be water-wet. This view is supported by a strong correlation between C content of pore surfaces and rock wettability. The results also suggest a correlation between organic silicon content on the pore surfaces and rock hydrophobicity.
Hao, Haixia; Chu, Yang; Yu, Zhenjiang; Xie, Hongde; Seo, Hyo Jin
2017-10-01
The novel luminescent polymer-rare earth complexes, denoted as (PFSi-IPDI)-Tb(Ⅲ)-Phen, have been successfully synthesized and can be made into flexible films. Amino-modified fluorine silicone oil-isophorone diisocyanate (PFSi-IPDI) was used as the host macromolecular ligand, and 1, 10-Phenanthroline (Phen) as the secondary small-molecular co-ligand. The luminescent lanthanide complexes were characterized by fourier transform infrared (FITR), scanning electron microscope (SEM), thermogravimetric analysis (TGA). The luminescent properties were investigated through photoluminescence excitation (PLE) and emission (PL) spectroscopy. FTIR analysis verifies the successful preparation and integration of PFSi-IPDI to Tb3+. The comparatively uniform morphological structure can be observed in the images of SEM. The polymer-rare earth complexes display the typical luminescence emission peaks under the excitation wavelength of 330 nm. From the decay curve, the short lifetime (about 0.89 ms) is observed for (PFSi-IPDI)-Tb(Ⅲ)-Phen (0.6 mol/L). Moreover, these luminescent polymer-rare earth complexes possess superior thermal stability (T5 > 195 °C). All the interesting results suggest the potential application of the luminescent polymer-rare earth complexes in green-emitting luminescent materials under high temperature.
International Nuclear Information System (INIS)
Jiang, Haifeng; Deng, Sunhua; Chen, Jie; Zhang, Mingyue; Li, Shu; Shao, Yifei; Yang, Jiaqi; Li, Junfeng
2017-01-01
Highlights: • The maximum yield of pyrolysis oil is obtained at the pretreatment time of 2.0 h. • The higher H/C ratio of oil is obtained after hydrothermal pretreatment. • Hydrothermal treatment promotes the formation of aliphatic hydrocarbons in the oil. • Long pretreatment time causes the increase of heavier oil fraction in the oil. - Abstract: In this work, Huadian oil shale from China was treated by hydrothermal pretreatment at 200 °C with 1.0–2.5 h in order to investigate the effect of hydrothermal pretreatment on pyrolysis product distribution and characteristics of oil. The differences in the elemental composition and thermal behavior between the untreated and treated oil shale were analyzed and compared. The hydrothermal treatment process could decompose oxygen functional groups and remove some water soluble inorganics in oil shale, which decreased the formation of gas and water during the pyrolysis. However, hydrothermal pretreatment was conducive to increasing shale oil yield. The maximum of oil yield was obtained at the pretreatment time of 2.0 h. The enhancement of the free-radical reactions during the pyrolysis and the reduction of the secondary cracking reactions of the generated oil vapors were considered as the main reasons. The oil obtained by the treated oil shale had a higher H/C ratio, indicating it had high energy content. The analysis results of chemical compositions in oils showed that the relative content of aliphatic hydrocarbons significantly increased after hydrothermal pretreatment. The further analysis demonstrated that the increase in the pretreatment time caused the generated long chain hydrocarbons tended to be directly released from oil shale particles, and were condensed into the oil.
Does fish oil prevent preterm birth?
DEFF Research Database (Denmark)
Secher, Niels Jørgen
2007-01-01
A literature review was performed on the effect of fish oil on preterm birth in observational and randomized studies. The only weak effect on preterm birth found in meta-analyses could be caused by the low compliance, and the fact that many women stop supplementation before term together with a f......A literature review was performed on the effect of fish oil on preterm birth in observational and randomized studies. The only weak effect on preterm birth found in meta-analyses could be caused by the low compliance, and the fact that many women stop supplementation before term together...... with a fast acting effect on fish oil....
Production of technical silicon and silicon carbide from rice-husk
Directory of Open Access Journals (Sweden)
A. Z. Issagulov
2014-10-01
Full Text Available In the article there are studied physical and chemical properties of silicon-carbonic raw material – rice-husk, thermophysical characteristics of the process of rice-husk pyrolysis in nonreactive and oxidizing environment; structure and phase composition of products of the rice-husk pyrolysis in interval of temperatures 150 – 850 °С and high temperature pyrolysis in interval of temperatures 900 – 1 500 °С. There are defined the silicon-carbon production conditions, which meet the requirements applicable to charging materials at production of technical silicon and silicon carbide.
Photovoltaic characteristics of porous silicon /(n+ - p) silicon solar cells
International Nuclear Information System (INIS)
Dzhafarov, T.D.; Aslanov, S.S.; Ragimov, S.H.; Sadigov, M.S.; Nabiyeva, A.F.; Yuksel, Aydin S.
2012-01-01
Full text : The purpose of this work is to improve the photovoltaic parameters of the screen-printed silicon solar cells by formation the nano-porous silicon film on the frontal surface of the cell. The photovoltaic characteristics of two type silicon solar cells with and without porous silicon layer were measured and compared. A remarkable increment of short-circuit current density and the efficiency by 48 percent and 20 percent, respectively, have been achieved for PS/(n + - pSi) solar cell comparing to (n + - p)Si solar cell without PS layer
Directory of Open Access Journals (Sweden)
C. Ozkan
2012-07-01
Full Text Available Marine oil spills due to releases of crude oil from tankers, offshore platforms, drilling rigs and wells, etc. are seriously affecting the fragile marine and coastal ecosystem and cause political and environmental concern. A catastrophic explosion and subsequent fire in the Deepwater Horizon oil platform caused the platform to burn and sink, and oil leaked continuously between April 20th and July 15th of 2010, releasing about 780,000 m3 of crude oil into the Gulf of Mexico. Today, space-borne SAR sensors are extensively used for the detection of oil spills in the marine environment, as they are independent from sun light, not affected by cloudiness, and more cost-effective than air patrolling due to covering large areas. In this study, generalization extent of an object based classification algorithm was tested for oil spill detection using multiple SAR imagery data. Among many geometrical, physical and textural features, some more distinctive ones were selected to distinguish oil and look alike objects from each others. The tested classifier was constructed from a Multilayer Perception Artificial Neural Network trained by ABC, LM and BP optimization algorithms. The training data to train the classifier were constituted from SAR data consisting of oil spill originated from Lebanon in 2007. The classifier was then applied to the Deepwater Horizon oil spill data in the Gulf of Mexico on RADARSAT-2 and ALOS PALSAR images to demonstrate the generalization efficiency of oil slick classification algorithm.
Uptake and toxicity of arsenic, copper, and silicon in Azolla caroliniana and Lemna minor.
Rofkar, Jordan R; Dwyer, Daryl F; Bobak, Deanna M
2014-01-01
Here we report on the analysis of two aquatic plant species, Azolla caroliniana and Lemna minor, with respect to tolerance and uptake of co-occurring arsenic, copper, and silicon for use in engineered wetlands. Plants were cultured in nutrient solution that was amended with arsenic (0 or 20 microM), copper (2 or 78 microM), and silicon (0 or 1.8 mM) either singly or in combination. We hypothesized that arsenic and copper would negatively affect the uptake of metals, growth, and pigmentation and that silicon would mitigate those stresses. Tolerance was assessed by measuring growth of biomass and concentrations of chlorophyll and anthocyanins. Both plant species accumulated arsenic, copper, and silicon; L. minor generally had higher levels on a per biomass basis. Arsenic negatively impacted A. caroliniana, causing a 30% decrease in biomass production and an increase in the concentration of anthocyanin. Copper negatively impacted L. minor, causing a 60% decrease in biomass production and a 45% decrease in chlorophyll content. Silicon augmented the impact of arsenic on biomass production in A. caroliniana but mitigated the effect of copper on L. minor. Our results suggest that mixtures of plant species may be needed to maximize uptake of multiple contaminants in engineered wetlands.
Performance improvement of silicon solar cells by nanoporous silicon coating
Directory of Open Access Journals (Sweden)
Dzhafarov T. D.
2012-04-01
Full Text Available In the present paper the method is shown to improve the photovoltaic parameters of screen-printed silicon solar cells by nanoporous silicon film formation on the frontal surface of the cell using the electrochemical etching. The possible mechanisms responsible for observed improvement of silicon solar cell performance are discussed.
International Nuclear Information System (INIS)
Díaz-Becerril, T.; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A.; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.
2017-01-01
Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta 2 O 5 and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta 2 O 5- SiO 2 -Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si + and Ta + states respectively. Ta 2 O 5 and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta 2 O 5 /Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.
Reprogramming hMSCs morphology with silicon/porous silicon geometric micro-patterns.
Ynsa, M D; Dang, Z Y; Manso-Silvan, M; Song, J; Azimi, S; Wu, J F; Liang, H D; Torres-Costa, V; Punzon-Quijorna, E; Breese, M B H; Garcia-Ruiz, J P
2014-04-01
Geometric micro-patterned surfaces of silicon combined with porous silicon (Si/PSi) have been manufactured to study the behaviour of human Mesenchymal Stem Cells (hMSCs). These micro-patterns consist of regular silicon hexagons surrounded by spaced columns of silicon equilateral triangles separated by PSi. The results show that, at an early culture stage, the hMSCs resemble quiescent cells on the central hexagons with centered nuclei and actin/β-catenin and a microtubules network denoting cell adhesion. After 2 days, hMSCs adapted their morphology and cytoskeleton proteins from cell-cell dominant interactions at the center of the hexagonal surface. This was followed by an intermediate zone with some external actin fibres/β-catenin interactions and an outer zone where the dominant interactions are cell-silicon. Cells move into silicon columns to divide, migrate and communicate. Furthermore, results show that Runx2 and vitamin D receptors, both specific transcription factors for skeleton-derived cells, are expressed in cells grown on micropatterned silicon under all observed circumstances. On the other hand, non-phenotypic alterations are under cell growth and migration on Si/PSi substrates. The former consideration strongly supports the use of micro-patterned silicon surfaces to address pending questions about the mechanisms of human bone biogenesis/pathogenesis and the study of bone scaffolds.
Biochemical and physiological responses of oil palm to bud rot caused by Phytophthora palmivora.
Moreno-Chacón, Andrés Leonardo; Camperos-Reyes, Jhonatan Eduardo; Ávila Diazgranados, Rodrigo Andrés; Romero, Hernán Mauricio
2013-09-01
In recent years, global consumption of palm oil has increased significantly, reaching almost 43 million tons in 2010. The sustainability of oil palm (Elaeis guineensis) cultivation has been compromised because of the bud rot disease whose initial symptoms are caused by Phytophthora palmivora. There was a significant incidence of the disease, from an initial stage 1 of the disease to the highest stage 5, that affected photosynthetic parameters, content of pigments, sugars, polyamines, enzymatic antioxidant activities, phenylalanine ammonia-lyase (PAL, EC 4.3.1.5) and β-(1,3) glucanase (β-Gluc, EC 3.2.1.39). In healthy palms photosynthesis was 13.29 μmol CO2 m(-2) s(-1) in average, while in stage 5 the average photosynthesis was around 3.66 μmol CO2 m(-2) s(-1). Additionally, total chlorophyll was reduced by half at the last stage of the disease. On the contrary, the contents of putrescine, spermine and spermidine increased three, nine and twelve times with respect to stage 5, respectively. Antioxidant enzyme activities, as well as the phenylalanine ammonia-lyase and β-(1,3) glucanase showed an increase as the severity of the disease increased, with the latter increasing from 0.71 EAU in healthy palms to 2.60 EAU in plants at stage 5 of the disease. The peroxidase (POD, EC 1.11.1.7) enzymatic activity and the content of spermidine were the most sensitive indicators of disease. Copyright © 2013 Elsevier Masson SAS. All rights reserved.
Surface effects in segmented silicon sensors
Energy Technology Data Exchange (ETDEWEB)
Kopsalis, Ioannis
2017-05-15
Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO{sub 2} layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO{sub 2} and at the Si-SiO{sub 2} interface. In this thesis the surface radiation damage of the Si-SiO{sub 2} system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO{sub 2} of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO{sub 2}) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO{sub 2} interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface
Surface effects in segmented silicon sensors
International Nuclear Information System (INIS)
Kopsalis, Ioannis
2017-05-01
Silicon detectors in Photon Science and Particle Physics require silicon sensors with very demanding specifications. New accelerators like the European X-ray Free Electron Laser (EuXFEL) and the High Luminosity upgrade of the Large Hadron Collider (HL-LHC), pose new challenges for silicon sensors, especially with respect to radiation hardness. High radiation doses and fluences damage the silicon crystal and the SiO 2 layers at the surface, thus changing the sensor properties and limiting their life time. Non-Ionizing Energy Loss (NIEL) of incident particles causes silicon crystal damage. Ionizing Energy Loss (IEL) of incident particles increases the densities of oxide charge and interface traps in the SiO 2 and at the Si-SiO 2 interface. In this thesis the surface radiation damage of the Si-SiO 2 system on high-ohmic Si has been investigated using circular MOSFETs biased in accumulation and inversion at an electric field in the SiO 2 of about 500 kV/cm. The MOSFETs have been irradiated by X-rays from an X-ray tube to a dose of about 17 kGy(SiO 2 ) in different irradiation steps. Before and after each irradiation step, the gate voltage has been cycled from inversion to accumulation conditions and back. From the dependence of the drain-source current on gate voltage the threshold voltage of the MOSFET and the hole and electron mobility at the Si-SiO 2 interface were determined. In addition, from the measured drain-source current the change of the oxide charge density during irradiation has been determined. The interface trap density and the oxide charge has been determined separately using the subthreshold current technique based on the Brews charge sheet model which has been applied for first time on MOSFETs built on high-ohmic Si. The results show a significant field-direction dependence of the surface radiation parameters. The extracted parameters and the acquired knowledge can be used to improve simulations of the surface radiation damage of silicon sensors.
Anomalous Shape Changes of Silicon Nanopillars by Electrochemical Lithiation
Lee, Seok Woo; McDowell, Matthew T.; Choi, Jang Wook; Cui, Yi
2011-01-01
Silicon is one of the most attractive anode materials for use in Li-ion batteries due to its ∼10 times higher specific capacity than existing graphite anodes. However, up to 400% volume expansion during reaction with Li causes particle pulverization
Study on structural properties of epitaxial silicon films on annealed double layer porous silicon
International Nuclear Information System (INIS)
Yue Zhihao; Shen Honglie; Cai Hong; Lv Hongjie; Liu Bin
2012-01-01
In this paper, epitaxial silicon films were grown on annealed double layer porous silicon by LPCVD. The evolvement of the double layer porous silicon before and after thermal annealing was investigated by scanning electron microscope. X-ray diffraction and Raman spectroscopy were used to investigate the structural properties of the epitaxial silicon thin films grown at different temperature and different pressure. The results show that the surface of the low-porosity layer becomes smooth and there are just few silicon-bridges connecting the porous layer and the substrate wafer. The qualities of the epitaxial silicon thin films become better along with increasing deposition temperature. All of the Raman peaks of silicon films with different deposition pressure are situated at 521 cm -1 under the deposition temperature of 1100 °C, and the Raman intensity of the silicon film deposited at 100 Pa is much closer to that of the monocrystalline silicon wafer. The epitaxial silicon films are all (4 0 0)-oriented and (4 0 0) peak of silicon film deposited at 100 Pa is more symmetric.
Residual stress in silicon wafer using IR polariscope
Lu, Zhijia; Wang, Pin; Asundi, Anand
2008-09-01
The infrared phase shift polariscope (IR-PSP) is a full-field optical technique for stress analysis in Silicon wafers. Phase shift polariscope is preferred to a conventional polariscope, as it can provide quantitative information of the normal stress difference and the shear stress in the specimen. The method is based on the principles of photoelasticity, in which stresses induces temporary birefringence in materials which can be quantitatively analyzed using a phase shift polariscope. Compared to other stress analysis techniques such as x-ray diffraction or laser scanning, infrared photoelastic stress analysis provides full-field information with high resolution and in near real time. As the semiconductor fabrication is advancing, larger wafers, thinner films and more compact packages are being manufactured. This results in a growing demand of process control. Residual stress exist in silicon during semiconductor fabrication and these stresses may make cell processing difficult or even cause the failure of the silicon. Reducing these stresses would improve manufacturability and reliability. Therefore stress analysis is essential to trace the root cause of the stresses. The polariscope images are processed using MATLAB and four-step phase shifting method to provide quantitative as well as qualitative information regarding the residual stress of the sample. The system is calibrated using four-point bend specimen and then the residual stress distribution in a MEMS sample is shown.
Halyo; Kim; Lee; Lee; Loomba; Perl
2000-03-20
We have carried out a direct search in bulk matter for free fractional electric charge elementary particles using the largest mass single sample ever studied-about 17.4 mg of silicone oil. The search used an improved and highly automated Millikan oil drop technique. No evidence for fractional charge particles was found. The concentration of particles with fractional charge more than 0. 16e ( e being the magnitude of the electron charge) from the nearest integer charge is less than 4.71x10(-22) particles per nucleon with 95% confidence.
Energy Technology Data Exchange (ETDEWEB)
Liu, Qingsheng; Yang, Tao [Department of Geophysics, China University of Geosciences, Wuhan 430074 (China); Liu, Qingsong [National Oceanography Centre Southampton, University of Southampton, European Way, Southampton SO14 3ZH (United Kingdom); Chan, Lungsang [Department of Earth Sciences, The University of Hong Kong, Pokfulam Road, Hong Kong (China); Xia, Xianghua; Cheng, Tongjin [Wuxi Institute of Petroleum Geology, SNOPEC, Jiangsu Wuxi 214151 (China)
2006-08-15
Magnetic parameters (volume-specific susceptibility k, and hysteresis parameters and ratios) of 47 samples, collected from an oil-producing well (M{sub 36}) and a dry well (M{sub 46}) from the oil-bearing II-You Formation of Paleogene Xingouzui Group in the Mawangmiao Oil Field in China, were measured to address the secondary alteration of iron-bearing minerals associated with hydrocarbon migration. Our results indicated that both k and magnetization (saturation magnetization J{sub s} and saturation isothermal remanent magnetization J{sub rs}) of oil-bearing formation have been dramatically enhanced. Further grain size estimation reveals that the background samples (samples both in M{sub 46} and outside the oil-bearing formation in M{sub 36}) contain coarser-grained magnetic particles (circa 30{mu}m) of detrital origin. In contrast, the alteration of hydrocarbon produces finer-grained (circa 25nm) magnetic particles. The new constraints on grain sizes and its origin of the hydrocarbon-related magnetic particles improve our understanding of the mechanism of formation of these secondary finer-grained particles, even though the precise nature of this process is still unknown. (author)
Inhibitory effect of essential oils against Trichosporon ovoides causing Piedra Hair Infection.
Saxena, Seema; Uniyal, Veena; Bhatt, R P
2012-10-01
Piedra, is an asymptomatic fungal infection of the hair shaft, resulting in the formation of nodules of different hardness on the infected hair. The infection also known as Trichomycosis nodularis is a superficial fungal infection arising from the pathogen being restricted to the stratum corneum with little or no tissue reaction. The nodules are a concretion of hyphae and fruiting bodies of the fungus. Two varieties of Piedra may be seen, Black Piedra and White Piedra. The fungus Trichosporon ovoides is involved in the occurrence of both types of Piedras. The purpose of this study was to examine the effectiveness of selected essential oils for the control of growth of the fungus and to determine whether the antifungal effect was due to the major compounds of the oils. Two screening methods viz. Agar well diffusion assay and Minimum Inhibitory Concentration were adopted for the study. MIC and MFC were determined by tube dilution method. Essential oils from Eucalyptus, Ocimum basilicum, Mentha piperita, Cymbopogon flexuosus, Cymbopogon winterians, Trachyspermum ammi, Zingiber officinalis, Citrus limon, Cinnamomon zeylanicum, Salvia sclarea, Citrus aurantifolia, Melaleuca alternifolia, Citrus aurantium, Citrus bergamia, Pogostemon pathchouli, Cedrus atlantica, Jasminum officinale, Juniperus communis, Abelmoschus moschatus, Cyperus scariosus, Palargonium graveolens, Boswellia carterii, Rosa damascene, Veteveria zizanoides and Commiphora myrrha were evaluated. The essential oils of Cymbopogon winterians, Mentha piperita, Cinnamomum zeylanicum, Melaleuca alternifolia and Eucalyptus globulus were proved to be most effective against the fungus Trichosporon ovoides.
Light emitting structures porous silicon-silicon substrate
International Nuclear Information System (INIS)
Monastyrskii, L.S.; Olenych, I.B.; Panasjuk, M.R.; Savchyn, V.P.
1999-01-01
The research of spectroscopic properties of porous silicon has been done. Complex of photoluminescence, electroluminescence, cathodoluminescence, thermostimulated depolarisation current analyte methods have been applied to study of geterostructures and free layers of porous silicon. Light emitting processes had tendency to decrease. The character of decay for all kinds of luminescence were different
Trends in oil spills from tanker ships 1995-2004
International Nuclear Information System (INIS)
Huijer, K.
2005-01-01
The trends in oil spills around the world over from 1995 to 2004 were examined and analyzed for possible influences on spill volumes and frequencies for incidents of 3 spill size classes. The International Tanker Owners Pollution Federation (ITOPF) has maintained a database since 1974 of all oil spills from tankers, combined carriers and barges. The number of oil spills has decreased significantly in the last 30 years despite a steady increase in maritime oil trade since the 1980s. The recent trends were identified by causes, locations, oil type, and shipping legislation. The causes include ship loading/discharging, bunkering, collisions, groundings, hull failures and fires. The types of oil spilt include bunker, crude, cargo fuel, white product and some unknowns. It was concluded that the decline in oil spills is due to a range of initiatives taken by governments and the shipping industry rather than any one factor. Some notable influences towards reduced number of spills include: the international convention for the prevention of pollution from ships of 1972, as modified by the Protocol of 1978; the international convention for the safety of life at sea of 1974; and the Oil Pollution Act of 1990. Results of investigations into the causes of spills serve the purpose of informing the international process to further prevent and reduce marine oil pollution due to tankers. 7 refs., 5 tabs., 12 figs
Gelcasting of SiC/Si for preparation of silicon nitride bonded silicon carbide
International Nuclear Information System (INIS)
Xie, Z.P.; Tsinghua University, Beijing,; Cheng, Y.B.; Lu, J.W.; Huang, Y.
2000-01-01
In the present paper, gelcasting of aqueous slurry with coarse silicon carbide(1mm) and fine silicon particles was investigated to fabricate silicon nitride bonded silicon carbide materials. Through the examination of influence of different polyelectrolytes on the Zeta potential and viscosity of silicon and silicon carbide suspensions, a stable SiC/Si suspension with 60 vol% solid loading could be prepared by using polyelectrolyte of D3005 and sodium alginate. Gelation of this suspension can complete in 10-30 min at 60-80 deg C after cast into mold. After demolded, the wet green body can be dried directly in furnace and the green strength will develop during drying. Complex shape parts with near net size were prepared by the process. Effects of the debindering process on nitridation and density of silicon nitride bonded silicon carbide were also examined. Copyright (2000) The Australian Ceramic Society
International Nuclear Information System (INIS)
Klanner, R.
1984-08-01
The status and recent progress of silicon detectors for high energy physics is reviewed. Emphasis is put on detectors with high spatial resolution and the use of silicon detectors in calorimeters. (orig.)
Depth resolved investigations of boron implanted silicon
Sztucki, M.; Metzger, T. H.; Milita, S.; Berberich, F.; Schell, N.; Rouvière, J. L.; Patel, J.
2003-01-01
We have studied the depth distribution and structure of defects in boron implanted silicon (0 0 1). Silicon wafers were implanted with a boron dose of 6×10 15 ions/cm -2 at 32 keV and went through different annealing treatments. Using diffuse X-ray scattering at grazing incidence and exit angles we are able to distinguish between different kinds of defects (point defect clusters and extrinsic stacking faults on {1 1 1} planes) and to determine their depth distribution as a function of the thermal budget. Cross-section transmission electron microscopy was used to gain complementary information. In addition we have determined the strain distribution caused by the boron implantation as a function of depth from rocking curve measurements.
Luminescence kinetics of porous silicon: fluctuation approach
Bondarev, V N
2001-01-01
Theoretical interpretation of the kinetics of the photoluminescence (PL), caused by the tunnel radiative recombination of the photoexcited electron and hole, localized on the crystallite/matrix interface, is given on the basis of the notions on the porous silicon structure as an incidental totality of the Si nanodimensional crystallites, submerged into the SiO sub 2 matrix. The relatively slow (by the stretched exponential type) time drop in the PL intensity is the results of averaging the intensity in each PL elementary act by the electron and hole mutual disposition and by the crystallite dimensions. The good quantitative description of the low-temperature experiments may be obtained through the proposed approach both by the PL kinetics and time evolution of the porous silicon PL spectrum
FTIR studies of swift silicon and oxygen ion irradiated porous silicon
International Nuclear Information System (INIS)
Bhave, Tejashree M.; Hullavarad, S.S.; Bhoraskar, S.V.; Hegde, S.G.; Kanjilal, D.
1999-01-01
Fourier Transform Infrared Spectroscopy has been used to study the bond restructuring in silicon and oxygen irradiated porous silicon. Boron doped p-type (1 1 1) porous silicon was irradiated with 10 MeV silicon and a 14 MeV oxygen ions at different doses ranging between 10 12 and 10 14 ions cm -2 . The yield of PL in porous silicon irradiated samples was observed to increase considerably while in oxygen irradiated samples it was seen to improve only by a small extent for lower doses whereas it decreased for higher doses. The results were interpreted in view of the relative intensities of the absorption peaks associated with O-Si-H and Si-H stretch bonds
Electrophysical properties of silicon doped by palladium-103 isotope
International Nuclear Information System (INIS)
Makhkamov, Sh.; Tursunov, N.A.; Sattiev, A.R.; Normurodov, A.B.
2007-01-01
The work is devoted to study of radiation physical processes taking place in Si under nuclear transmutation, Identification and determination of defects microstructure and homogeneities and their distribution, study of interactions of nuclear-transformed phosphorus isotopes with palladium atoms, and its effect on crystal properties. For examination monocrystalline silicon of n- and p-type conductivity with specific resistance from 1 to 40 Ω·cm, dislocation density ∼10 4 cm -2 and oxygen content ∼10 17 cm -3 has been applied. Doping of silicon plates by examined admixture has been carried out by thermal diffusion method within temperature range 1000-1250 deg. C for 0.5- 5 h. Irradiation of doped silicon was conducted by reactor neutron fluences 5·10 18 - 5·10 19 cm -2 with subsequent annealing at 1000 deg. C for 30 min. Efficiency of mixture centers formation in silicon, effect of concentration of formed mixture-defect centers on electro-physical, photoelectric and recombination parameters of doped silicon and revealing of type and state of generated defects have been controlled by electric, volume and X-ray fluorescent methods. On the base of spectroscopic researches it is shown, that in silicon forbidden zone after Pd diffusion in DLTS spectra peaks related with acceptor (E c -0.18 and E v +0.34 eV) levels, and peak responsible for level E v +0.32 eV of donor character caused by palladium impurity. It is shown, that irradiation of doped silicon samples by neutrons lead to nuclear transmutation of 102 Pd, 104 Pd in 103 Pd isotopes in the crystal volume with following electron capture in stable isotope 103m Rh
International Nuclear Information System (INIS)
Chao, D.S.; Liang, J.H.
2013-01-01
Recently, light emission from silicon nanostructures has gained great interest due to its promising potential of realizing silicon-based optoelectronic applications. In this study, luminescent silicon nanocrystals (Si–NCs) were in situ synthesized in silicon-rich silicon nitride (SRSN) films grown by plasma-enhanced chemical vapor deposition (PECVD). SRSN films with various excess silicon contents were deposited by adjusting SiH 4 flow rate to 100 and 200 sccm and keeping NH 3 one at 40 sccm, and followed by furnace annealing (FA) treatments at 600, 850 and 1100 °C for 1 h. The effects of excess silicon content and post-annealing temperature on optical properties of Si–NCs were investigated by photoluminescence (PL) and Fourier transform infrared spectroscopy (FTIR). The origins of two groups of PL peaks found in this study can be attributed to defect-related interface states and quantum confinement effects (QCE). Defect-related interface states lead to the photon energy levels almost kept constant at about 3.4 eV, while QCE results in visible and tunable PL emission in the spectral range of yellow and blue light which depends on excess silicon content and post-annealing temperature. In addition, PL intensity was also demonstrated to be highly correlative to the excess silicon content and post-annealing temperature due to its corresponding effects on size, density, crystallinity, and surface passivation of Si–NCs. Considering the trade-off between surface passivation and structural properties of Si–NCs, an optimal post-annealing temperature of 600 °C was suggested to maximize the PL intensity of the SRSN films
Oil price, biofuels and food supply
International Nuclear Information System (INIS)
Timilsina, Govinda R.; Mevel, Simon; Shrestha, Ashish
2011-01-01
The price of oil could play a significant role in influencing the expansion of biofuels, but this issue has yet to be fully investigated in the literature. Using a global computable general equilibrium (CGE) model, this study analyzes the impact of oil price on biofuel expansion, and subsequently, on food supply. The study shows that a 65% increase in oil price in 2020 from the 2009 level would increase the global biofuel penetration to 5.4% in 2020 from 2.4% in 2009. If oil prices rise 150% from their 2009 levels by 2020, the resulting penetration of biofuels would be 9%, which is higher than that would be caused by current mandates and targets introduced in more than forty countries around the world. The study also shows that aggregate agricultural output drops due to an oil price increase, but the drop is small in major biofuel producing countries as the expansion of biofuels would partially offset the negative impacts of the oil price increase on agricultural outputs. An increase in oil price would reduce global food supply through direct impacts as well as through the diversion of food commodities and cropland towards the production of biofuels. - Highlights: ► A global CGE model to analyze impacts of oil price on biofuels and food supply. ► Global biofuel penetration increases from 2.4% (2009) to 5.4% (2020) in baseline. ► A 150% rise of oil price boosts biofuels more than current mandates and targets do. ► Biofuels partially offset drops in agricultural outputs caused by oil price rise. ► Biofuels as well as oil price rise negatively affect global food supply.
Directory of Open Access Journals (Sweden)
Carlos Blanco Valdivia
2010-04-01
Full Text Available The land´s polution caused by hydrocarbon in Sergio Soto oil refinery constituted a problem for the technicians of this entity that in coordination with the Petroleum Investigations Center (CEINPET, carried out a study for the application of the bioremediation in the company. The area to this purpose was determined and the soil impacted was deposit on it, this soil was homogenized with an appropriate equipment (agricultural tractor. The fertilizers were added and the removal stage was made in order to help the soil oxygenation. They were carried out samples and analysis obtaining satisfactory results with the application of the bioremediation in the company.
International Nuclear Information System (INIS)
Eltony, M.N.
1998-01-01
A model for the non-oil production side of the Kuwaiti economy was developed and estimated. The model, then, was simulated according to various scenarios designed to eliminate the budget deficit by the year 2000, in order to examine the effect on the non-oil sector of the economy. The results indicate that, in terms of its impact on non-oil GDP, the extreme case scenario is harsh, bringing down the level of non-oil GDP by more than 20% by the year 2000 from its level in 1993. The impact on the budget deficit may be very positive, but non-oil production and consumption will decline very rapidly, creating widespread hardship across all economic sectors. The results suggest a better option lies in adopting either of two intermediate case scenarios. While each of these will also cause a decline in non-oil GDP, it will not be to the extent caused by the extreme case scenario
ANTIBACTERIAL ACTIVITY OF GINGER OIL AGAINST FOOD BORN PATHOGENS
International Nuclear Information System (INIS)
TAHA, S.M.A.
2008-01-01
This study was carried out to investigate the antibacterial activity of ginger oil against Food Born pathogens and the effect of heating, microwave heating and gamma irradiation on microbiological quality and antibacterial activity of ginger oil. Growth and survival of A. hydrophila and L. monocytogenes in broth media and carrot juice with different concentrations of ginger oil was also studied. Gram-negative bacteria were more resistant than gram-positive bacteria. Heating at 80 0 C for 10 min did not change the antibacterial activity of ginger oil, whereas heating at 100 0 C for 5 min and autoclaving at 121 0 C for 15 min caused slight reduction in antibacterial activity in most microorganisms tested. Heating by microwave of ginger oil destroyed its antibacterial activity against B. cereus although it still works against other microorganisms tested. The dose 6 kGy caused slight reduction in antibacterial activity of ginger oil, whereas the dose 10 kGy caused markedly reduction in antibacterial activity of ginger oil against most microorganisms tested. Ginger oil was more effective on L. monocytogenes as compared with its effect on A. hydrophila in tryptone soya broth at 4 0 C or 25 0 C. Supplementation of ginger oil with carrot juice was more effective on A. hydrophila and L. monocytogenes than in tryptone soya broth and this effect was increased with increasing the time of incubation and the concentration of ginger oil. These results support the notion that plant essential oils may have an important role as pharmaceuticals and food preservatives
Mitigation of Oil in Water Column: Mitigation Prototype Tests
2017-06-01
designed with an open end to allow aquatic animals to escape. After the treated foam becomes saturated with submerged oil, the net would be lifted...needed openings to allow the frame to pass through the water column without causing severe drag resistance . However, this also allows oil to flow...to the water and should only help the regions of hypoxia caused by microbial degradation of the oil. However, the proposed field set up with
Eliminating Light-Induced Degradation in Commercial p-Type Czochralski Silicon Solar Cells
Directory of Open Access Journals (Sweden)
Brett Hallam
2017-12-01
Full Text Available This paper discusses developments in the mitigation of light-induced degradation caused by boron-oxygen defects in boron-doped Czochralski grown silicon. Particular attention is paid to the fabrication of industrial silicon solar cells with treatments for sensitive materials using illuminated annealing. It highlights the importance and desirability of using hydrogen-containing dielectric layers and a subsequent firing process to inject hydrogen throughout the bulk of the silicon solar cell and subsequent illuminated annealing processes for the formation of the boron-oxygen defects and simultaneously manipulate the charge states of hydrogen to enable defect passivation. For the photovoltaic industry with a current capacity of approximately 100 GW peak, the mitigation of boron-oxygen related light-induced degradation is a necessity to use cost-effective B-doped silicon while benefitting from the high-efficiency potential of new solar cell concepts.
Strategies for doped nanocrystalline silicon integration in silicon heterojunction solar cells
Czech Academy of Sciences Publication Activity Database
Seif, J.; Descoeudres, A.; Nogay, G.; Hänni, S.; de Nicolas, S.M.; Holm, N.; Geissbühler, J.; Hessler-Wyser, A.; Duchamp, M.; Dunin-Borkowski, R.E.; Ledinský, Martin; De Wolf, S.; Ballif, C.
2016-01-01
Roč. 6, č. 5 (2016), s. 1132-1140 ISSN 2156-3381 R&D Projects: GA MŠk LM2015087 Institutional support: RVO:68378271 Keywords : microcrystalline silicon * nanocrystalline silicon * silicon heterojunctions (SHJs) * solar cells Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.712, year: 2016
Hon, Nick K.; Tsia, Kevin K.; Solli, Daniel R.; Khurgin, Jacob B.; Jalali, Bahram
2010-02-01
Bulk centrosymmetric silicon lacks second-order optical nonlinearity χ(2) - a foundational component of nonlinear optics. Here, we propose a new class of photonic device which enables χ(2) as well as quasi-phase matching based on periodic stress fields in silicon - periodically-poled silicon (PePSi). This concept adds the periodic poling capability to silicon photonics, and allows the excellent crystal quality and advanced manufacturing capabilities of silicon to be harnessed for devices based on χ(2)) effects. The concept can also be simply achieved by having periodic arrangement of stressed thin films along a silicon waveguide. As an example of the utility, we present simulations showing that mid-wave infrared radiation can be efficiently generated through difference frequency generation from near-infrared with a conversion efficiency of 50% based on χ(2) values measurements for strained silicon reported in the literature [Jacobson et al. Nature 441, 199 (2006)]. The use of PePSi for frequency conversion can also be extended to terahertz generation. With integrated piezoelectric material, dynamically control of χ(2)nonlinearity in PePSi waveguide may also be achieved. The successful realization of PePSi based devices depends on the strength of the stress induced χ(2) in silicon. Presently, there exists a significant discrepancy in the literature between the theoretical and experimentally measured values. We present a simple theoretical model that produces result consistent with prior theoretical works and use this model to identify possible reasons for this discrepancy.
Melting of Grey Cast Iron Based on Steel Scrap Using Silicon Carbide
Directory of Open Access Journals (Sweden)
Stojczew A.
2014-08-01
Full Text Available The paper presents the issue of synthetic cast iron production in the electric induction furnace exclusively on the steel scrap base. Silicon carbide and synthetic graphite were used as carburizers. The carburizers were introduced with solid charge or added on the liquid metal surface. The chemical analysis of the produced cast iron, the carburization efficiency and microstructure features were presented in the paper. It was stated that ferrosilicon can be replaced by silicon carbide during the synthetic cast iron melting process. However, due to its chemical composition (30% C and 70% Si which causes significant silicon content in iron increase, the carbon deficit can be partly compensated by the carburizer introduction. Moreover it was shown that the best carbon and silicon assimilation rate is obtained where the silicon carbide is being introduced together with solid charge. When it is thrown onto liquid alloy surface the efficiency of the process is almost two times less and the melting process lasts dozen minutes long. The microstructure of the cast iron produced with the silicon carbide shows more bulky graphite flakes than inside the microstructure of cast iron produced on the pig iron base.
Oxygen-related 1-platinum defects in silicon: An electron paramagnetic resonance study
Juda, U.; Scheerer, O.; Höhne, M.; Riemann, H.; Schilling, H.-J.; Donecker, J.; Gerhardt, A.
1996-09-01
A monoclinic 1-platinum defect recently detected was investigated more thoroughly by electron paramagnetic resonance (EPR). The defect is one of the dominating defects in platinum doped silicon. With a perfect reproducibility it is observed in samples prepared from n-type silicon as well as from p-type silicon, in float zone (FZ) silicon as well as in Czochralski (Cz) silicon. Its concentration varies with the conditions of preparation and nearly reaches that of isolated substitutional platinum in Cz silicon annealed for 2 h at 540 °C after quenching from the temperature of platinum diffusion. Because of its concentration which in Cz-Si exceeds that in FZ-Si the defect is assumed to be oxygen-related though a hyperfine structure with 17O could not be resolved. The defect causes a level close to the valence band. This is concluded from variations of the Fermi level and from a discussion of the spin Hamiltonian parameters. In photo-EPR experiments the defect is coupled to recently detected acceptorlike self-interstitial related defects (SIRDs); their level position turns out to be near-midgap. These defects belong to the lifetime limiting defects in Pt-doped Si.
Ahn, B Kollbe; Kraft, Stefan; Wang, D; Sun, X Susan
2011-05-09
Thermal stability and optical transparency are important factors for flexible electronics and heat-related applications of pressure-sensitive adhesives (PSAs). However, current acryl- and rubber-based PSAs cannot attain the required thermal stability, and silicon-based PSAs are much more expensive than the alternatives. Oleo-chemicals including functionalized plant oils have great potential to replace petrochemicals. In this study, novel biobased PSAs from soybean oils were developed with excellent thermal stability and transparency as well as peel strength comparable to current PSAs. In addition, the fast curing (drying) property of newly developed biobased PSAs is essential for industrial applications. The results show that soybean oil-based PSA films and tapes have great potential to replace petro-based PSAs for a broad range of applications including flexible electronics and medical devices because of their thermal stability, transparency, chemical resistance, and potential biodegradability from triglycerides.
Operating experience of a sodium rig following the ingress of oil
Energy Technology Data Exchange (ETDEWEB)
Bell, A C; Mehew, R D; Robertson, C M [UKAEA, Dounreay, Thurso, Caithness, Scotland (United Kingdom)
1980-05-01
The experience of operating the Small Water Leak Rig at Dounreay in the two years following the ingress into the sodium of silicone oil from the pump is reported. The carbon penetration into the rig pipework has been monitored and has been found to agree with a diffusion model. The predictions based on this model have enabled an operating strategy to be determined to allow continued operation of the rig. (author)
Operating experience of a sodium rig following the ingress of oil
International Nuclear Information System (INIS)
Bell, A.C.; Mehew, R.D.; Robertson, C.M.
1980-01-01
The experience of operating the Small Water Leak Rig at Dounreay in the two years following the ingress into the sodium of silicone oil from the pump is reported. The carbon penetration into the rig pipework has been monitored and has been found to agree with a diffusion model. The predictions based on this model have enabled an operating strategy to be determined to allow continued operation of the rig. (author)
Effective preparation of magnetic superhydrophobic Fe3O4/PU sponge for oil-water separation
Li, Zeng-Tian; Lin, Bo; Jiang, Li-Wang; Lin, En-Chao; Chen, Jian; Zhang, Shi-Jie; Tang, Yi-Wen; He, Fu-An; Li, De-Hao
2018-01-01
Fe3O4 nanoparticles were modified by tetraethoxysilane and different amounts of trimethoxy (1H,1H,2H,2H-heptadecafluorodecyl) silane in sequence to obtain the magnetic nanoparticles with low surface energy, which could be used to construct the superhydrophobic surfaces for PU sponge, cotton fabric, and filter paper by a simple drop-coating method. Particularly, all the resultant Fe3O4/PU sponges containing different fluoroalkylsilane-modified Fe3O4 nanoparticles possessed both high water repellency with contact angle in the range of 150.2-154.7° and good oil affinity, which could not only effectively remove oil from water followed by convenient magnetic recovery but also easily realize the oil-water separation as a filter only driven by gravity. The Fe3O4/PU sponges showed high absorption capability of peanut oil, pump oil, and silicone oil with the maximum absorptive capacities of 40.3, 39.3, and 46.3 g/g, respectively. Such novel sponges might be a potential candidate for oil-water separation as well as oil absorption and transportation accompanied by the advantages of simple process, remote control by magnetic field, and low energy consumption.
International Nuclear Information System (INIS)
Schubert, E.; Fahlteich, J.; Hoeche, Th.; Wagner, G.; Rauschenbach, B.
2006-01-01
Glancing angle ion beam assisted deposition is used for the growth of amorphous silicon nanospirals onto [0 0 1] silicon substrates in a temperature range from room temperature to 475 deg. C. The nanostructures are post-growth annealed in an argon atmosphere at various temperatures ranging from 400 deg. C to 800 deg. C. Recrystallization of silicon within the persisting nanospiral configuration is demonstrated for annealing temperatures above 800 deg. C. Transmission electron microscopy and Raman spectroscopy are used to characterize the silicon samples prior and after temperature treatment
Rochow, E G; Emeléus, H J; Nyholm, Ronald
1975-01-01
Pergamon Texts in Organic Chemistry, Volume 9: The Chemistry of Silicon presents information essential in understanding the chemical properties of silicon. The book first covers the fundamental aspects of silicon, such as its nuclear, physical, and chemical properties. The text also details the history of silicon, its occurrence and distribution, and applications. Next, the selection enumerates the compounds and complexes of silicon, along with organosilicon compounds. The text will be of great interest to chemists and chemical engineers. Other researchers working on research study involving s
Radiation hardened high efficiency silicon space solar cell
International Nuclear Information System (INIS)
Garboushian, V.; Yoon, S.; Turner, J.
1993-01-01
A silicon solar cell with AMO 19% Beginning of Life (BOL) efficiency is reported. The cell has demonstrated equal or better radiation resistance when compared to conventional silicon space solar cells. Conventional silicon space solar cell performance is generally ∼ 14% at BOL. The Radiation Hardened High Efficiency Silicon (RHHES) cell is thinned for high specific power (watts/kilogram). The RHHES space cell provides compatibility with automatic surface mounting technology. The cells can be easily combined to provide desired power levels and voltages. The RHHES space cell is more resistant to mechanical damage due to micrometeorites. Micro-meteorites which impinge upon conventional cells can crack the cell which, in turn, may cause string failure. The RHHES, operating in the same environment, can continue to function with a similar crack. The RHHES cell allows for very efficient thermal management which is essential for space cells generating higher specific power levels. The cell eliminates the need for electrical insulation layers which would otherwise increase the thermal resistance for conventional space panels. The RHHES cell can be applied to a space concentrator panel system without abandoning any of the attributes discussed. The power handling capability of the RHHES cell is approximately five times more than conventional space concentrator solar cells
Energy Technology Data Exchange (ETDEWEB)
Riise, Heine Nygard, E-mail: h.n.riise@fys.uio.no; Azarov, Alexander; Svensson, Bengt G.; Monakhov, Edouard [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P. O. Box 1048 Blindern, N-0316 Oslo (Norway); Schumann, Thomas; Hübner, Renè; Skorupa, Wolfgang [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, P. O. Box 510119, 01314 Dresden (Germany)
2015-07-13
Shallow, Boron (B)-doped p{sup +} emitters have been realized using spin-on deposition and Flash Lamp Annealing (FLA) to diffuse B into monocrystalline float zone Silicon (Si). The emitters extend between 50 and 140 nm in depth below the surface, have peak concentrations between 9 × 10{sup 19 }cm{sup –3} and 3 × 10{sup 20 }cm{sup –3}, and exhibit sheet resistances between 70 and 3000 Ω/□. An exceptionally large increase in B diffusion occurs for FLA energy densities exceeding ∼93 J/cm{sup 2} irrespective of 10 or 20 ms pulse duration. The effect is attributed to enhanced diffusion of B caused by Si interstitial injection following a thermally activated reaction between the spin-on diffusant film and the silicon wafer.
Capillaric penetration of etchant solution into swift heavy ion-irradiated silicone rubber
International Nuclear Information System (INIS)
Fink, D.; Mueller, M.
2000-01-01
There is growing evidence that etchants penetrate into latent ion tracks in polymers from the very beginning, i.e., even during the so-called 'incubation time' when no visible etchant attack is observed. The model of capillaric penetration of viscous liquids into sponge-like matter agrees with experimental values both in their parametric dependence as in the absolute values. Our experiments are based on LiOH etching of both pristine and swift heavy ion-irradiated silicone rubber foils. About five times more etchant penetrates into irradiated than into pristine silicone rubber. The overall etchant penetration is highest in tracks parallel to the surface normal, and decreases with increasing ion track tilt angle towards the surface normal. The etchant penetration into the tracks proceeds relatively slowly with an effective viscosity comparable to that of heavy machine oil. When swelling starts to predominate, the maximum etchant penetration depth comes to saturation, with the total etchant uptake even decreasing
International Nuclear Information System (INIS)
Wang, Xiaojuan; Li, Dong; Zhang, Qichong; Zou, Liping; Wang, Fengli; Zhou, Jun; Zhang, Zengxing
2015-01-01
Graphene/silicon heterostructures present a Schottky characteristic and have potential applications for solar cells and photodetectors. Here, we fabricated graphene/silicon heterostructures by using chemical vapor deposition derived graphene and n-type silicon, and studied the electronic and optoelectronic properties through varying their interface and silicon resistivity. The results exhibit that the properties of the fabricated configurations can be effectively modulated. The graphene/silicon heterostructures with a Si (111) interface and high resistivity show a better photovoltaic behavior and should be applied for high-performance photodetectors. With the combined atomic force microscopy and theoretical analysis, the possible origination is discussed. The work here should be helpful on exploring high-performance graphene/silicon photoelectronics. - Highlights: • Different graphene/silicon heterostructures were fabricated. • Electronic and optoelectronic properties of the heterostructures were studied. • Graphene/silicon heterostructures were further explored for photodetectors.
Energy Technology Data Exchange (ETDEWEB)
Wang, Xiaojuan [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); School of Physics and Electronics, Henan University, Kaifeng 475004 (China); Li, Dong; Zhang, Qichong; Zou, Liping; Wang, Fengli [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); Zhou, Jun, E-mail: zhoujunzhou@tongji.edu.cn [Center for Phononics and Thermal Energy Science, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China); Zhang, Zengxing, E-mail: zhangzx@tongji.edu.cn [MOE Key Laboratory of Advanced Micro-structured Materials & Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology, School of Physics Science and Engineering, Tongji University, Shanghai 200092 (China)
2015-10-01
Graphene/silicon heterostructures present a Schottky characteristic and have potential applications for solar cells and photodetectors. Here, we fabricated graphene/silicon heterostructures by using chemical vapor deposition derived graphene and n-type silicon, and studied the electronic and optoelectronic properties through varying their interface and silicon resistivity. The results exhibit that the properties of the fabricated configurations can be effectively modulated. The graphene/silicon heterostructures with a Si (111) interface and high resistivity show a better photovoltaic behavior and should be applied for high-performance photodetectors. With the combined atomic force microscopy and theoretical analysis, the possible origination is discussed. The work here should be helpful on exploring high-performance graphene/silicon photoelectronics. - Highlights: • Different graphene/silicon heterostructures were fabricated. • Electronic and optoelectronic properties of the heterostructures were studied. • Graphene/silicon heterostructures were further explored for photodetectors.
Reduced Moment-Based Models for Oxygen Precipitates and Dislocation Loops in Silicon
Trzynadlowski, Bart
The demand for ever smaller, higher-performance integrated circuits and more efficient, cost-effective solar cells continues to push the frontiers of process technology. Fabrication of silicon devices requires extremely precise control of impurities and crystallographic defects. Failure to do so not only reduces performance, efficiency, and yield, it threatens the very survival of commercial enterprises in today's fiercely competitive and price-sensitive global market. The presence of oxygen in silicon is an unavoidable consequence of the Czochralski process, which remains the most popular method for large-scale production of single-crystal silicon. Oxygen precipitates that form during thermal processing cause distortion of the surrounding silicon lattice and can lead to the formation of dislocation loops. Localized deformation caused by both of these defects introduces potential wells that trap diffusing impurities such as metal atoms, which is highly desirable if done far away from sensitive device regions. Unfortunately, dislocations also reduce the mechanical strength of silicon, which can cause wafer warpage and breakage. Engineers must negotiate this and other complex tradeoffs when designing fabrication processes. Accomplishing this in a complex, modern process involving a large number of thermal steps is impossible without the aid of computational models. In this dissertation, new models for oxygen precipitation and dislocation loop evolution are described. An oxygen model using kinetic rate equations to evolve the complete precipitate size distribution was developed first. This was then used to create a reduced model tracking only the moments of the size distribution. The moment-based model was found to run significantly faster than its full counterpart while accurately capturing the evolution of oxygen precipitates. The reduced model was fitted to experimental data and a sensitivity analysis was performed to assess the robustness of the results. Source
Energy Technology Data Exchange (ETDEWEB)
Díaz-Becerril, T., E-mail: tomas.diaz.be@gmail.com; Herrera, V.; Morales, C.; García-Salgado, G.; Rosendo, E.; Coyopol, A., E-mail: acoyopol@gmail.com; Galeazzi, R.; Romano, R.; Nieto-Caballero, F.G.; Sarmiento, J.
2017-04-15
Tantalum oxide crystals encrusted in a silicon oxide matrix were synthesized by using a hot filament chemical vapor deposition system (HFCVD). A solid source composed by a mixture in different percentages of Ta{sub 2}O{sub 5} and silicon (Si) powders were used as reactants. The films were grown at 800 °C and 1000 °C under hydrogen ambient. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), high-resolution transmission electron microscopy (HRTEM) and photoluminescence (PL) at room temperature. From the XPS results it was confirmed the formation of a mixture of Tantalum oxide, silicon oxide and Si nanoparticles (Ta{sub 2}O{sub 5-}SiO{sub 2}-Si(nc)) as seen from the Si (2p) and Ta (4f) lines corresponding to Si{sup +} and Ta{sup +} states respectively. Ta{sub 2}O{sub 5} and Si nanocrystals (Si-NCs) embedded in the silicon oxide films were observed on HRTEM images which corroborate the XPS results. Finally the emission properties of the films exhibited a broad band from 400 to 850 nm caused by the independent PL properties of tantalum oxide and Si-NCs that compose the film. The intensity of the emissions was observed to be dependent on both temperature of deposition and the ratio Ta{sub 2}O{sub 5}/Si, used as initial reactants. Results from this work might supply useful data for the development of future light emitter devices.
Irradiation effects of swift heavy ions on gallium arsenide, silicon and silicon diodes
International Nuclear Information System (INIS)
Bhoraskar, V.N.
2001-01-01
The irradiation effects of high energy lithium, boron, oxygen and silicon ions on crystalline silicon, gallium arsenide, porous silicon and silicon diodes were investigated. The ion energy and fluence were varied over the ranges 30 to 100 MeV and 10 11 to 10 14 ions/cm 2 respectively. Semiconductor samples were characterized with the x-ray fluorescence, photoluminescence, thermally stimulated exo-electron emission and optical reflectivity techniques. The life-time of minority carriers in crystalline silicon was measured with a pulsed electron beam and the lithium depth distribution in GaAs was measured with the neutron depth profiling technique. The diodes were characterized through electrical measurements. The results of optical reflectivity, life-time of minority carriers and photoluminescence show that swift heavy ions induce defects in the surface region of crystalline silicon. In the ion-irradiated GaAs, migration of silicon, oxygen and lithium atoms from the buried region towards the surface was observed, with orders of magnitude enhancement in the diffusion coefficients. Enhancement in the photoluminescence intensity was observed in the GaAs and porous silicon samples that, were irradiated with silicon ions. The trade-off between the turn-off time and the voltage, drop in diodes irradiated with different swift heavy ions was also studied. (author)
Energy Technology Data Exchange (ETDEWEB)
Sundberg, G.J.; Vartabedian, A.M.; Wade, J.A.; White, C.S. [Norton Co., Northboro, MA (United States). Advanced Ceramics Div.
1994-10-01
The purpose of joining, Phase 2 was to develop joining technologies for HIP`ed Si{sub 3}N{sub 4} with 4wt% Y{sub 2}O{sub 3} (NCX-5101) and for a siliconized SiC (NT230) for various geometries including: butt joins, curved joins and shaft to disk joins. In addition, more extensive mechanical characterization of silicon nitride joins to enhance the predictive capabilities of the analytical/numerical models for structural components in advanced heat engines was provided. Mechanical evaluation were performed by: flexure strength at 22 C and 1,370 C, stress rupture at 1,370 C, high temperature creep, 22 C tensile testing and spin tests. While the silicon nitride joins were produced with sufficient integrity for many applications, the lower join strength would limit its use in the more severe structural applications. Thus, the silicon carbide join quality was deemed unsatisfactory to advance to more complex, curved geometries. The silicon carbide joining methods covered within this contract, although not entirely successful, have emphasized the need to focus future efforts upon ways to obtain a homogeneous, well sintered parent/join interface prior to siliconization. In conclusion, the improved definition of the silicon carbide joining problem obtained by efforts during this contract have provided avenues for future work that could successfully obtain heat engine quality joins.
International Nuclear Information System (INIS)
Peercy, P.S.
1980-01-01
The structural aspects of amorphous silicon and the role of hydrogen in this structure are reviewed with emphasis on ion implantation studies. In amorphous silicon produced by Si ion implantation of crystalline silicon, the material reconstructs into a metastable amorphous structure which has optical and electrical properties qualitatively similar to the corresponding properties in high-purity evaporated amorphous silicon. Hydrogen studies further indicate that these structures will accomodate less than or equal to 5 at.% hydrogen and this hydrogen is bonded predominantly in a monohydride (SiH 1 ) site. Larger hydrogen concentrations than this can be achieved under certain conditions, but the excess hydrogen may be attributed to defects and voids in the material. Similarly, glow discharge or sputter deposited amorphous silicon has more desirable electrical and optical properties when the material is prepared with low hydrogen concentration and monohydride bonding. Results of structural studies and hydrogen incorporation in amorphous silicon were discussed relative to the different models proposed for amorphous silicon
A convenient way of manufacturing silicon nanotubes on a silicon substrate
Energy Technology Data Exchange (ETDEWEB)
Zhang, Changchang; Cheng, Heming; Liu, Xiang, E-mail: liuxiang@ahut.edu.cn
2016-07-01
A convenient approach of preparing silicon nanotubes (SiNTs) on a silicon substrate is described in this work in detail. Firstly, a porous silicon (PSi) slice is prepared by a galvanic displacement reaction. Then it is put into aqueous solutions of 20% (w%) ammonium fluoride and 2.5 mM cobalt nitrate for a predetermined time. The cobalt ions are reduced and the resulted cobalt particles are deposited on the PSi slice. After the cobalt particles are removed with 5 M nitric acid a plenty of SiNTs come out and exhibit disorderly on the silicon substrate, which are illustrated by scanning electron microscopy (SEM). The compositions of the SiNTs are examined by energy-dispersive X-ray spectroscopy. Based on the SEM images, a suggested mechanism is put forward to explain the generation of the SiNTs on the PSi substrate. - Highlights: • A facile approach of preparing silicon nano tubes was invented. • The experimental results demonstrated the strong reducibility of Si-H{sub x} species. • It provided a new way of manufacturing silicon-contained hybrids.
Marine oil spill response organizations
International Nuclear Information System (INIS)
Hendry, C.
1997-01-01
The obligations under the law relative to the prevention of marine oil spills and the type of emergency plans needed to mitigate any adverse effects caused by a marine oil spill were discussed. The organizational structure, spill response resources and operational management capabilities of Canada's newly created Response Organizations (ROs) were described. The overall range of oil spill response services that the RO provides to the domestic oil handling, oil transportation and the international shipping industries were reviewed. Amendments to the Canada Shipping Act which require that certain ships and oil handling facilities take oil spill preparedness and response measures, including having an arrangement with an RO certified by the Canadian Coast Guard, were outlined. Canadians now benefit from five ROs established to provide coast-to-coast oil spill response coverage. These include the Western Canada Marine Response Corporation, the Canadian Marine Response Management Corporation, the Great Lakes Response Corporation, the Eastern Canada Response Corporation and the Atlantic Emergency Response Team Ltd. ROs have the expertise necessary to organize and manage marine oil spill response services. They can provide equipment, personnel and operational management for the containment, recovery and cleanup of oil spilled on water
Effect of silicon content and defects on the lifetime of ductile cast iron
Directory of Open Access Journals (Sweden)
Alhussein Akram
2014-06-01
Full Text Available In this work, the influence of microstructure on the mechanical properties has been studied for different grades of ferritic ductile cast iron. Mechanical tests were carried out and the effect of silicon on the resistance of material was well noticed. An increasing silicon content increases the strength and decreases the ductility of material. The lifetime and endurance limit of material were affected by the presence of defects in material and microstructure heterogeneity. Metallurgical characterizations showed that the silicon was highly segregated around graphite nodules which leads to the initiation of cracks. The presence of defects causes the stress concentration and leads to the initiation and propagation of cracks.
A DLTS study of hydrogen doped czochralski-grown silicon
Energy Technology Data Exchange (ETDEWEB)
Jelinek, M. [Infineon Technologies Austria AG, 9500 Villach (Austria); Laven, J.G. [Infineon Technologies AG, 81726 Munich (Germany); Kirnstoetter, S. [Institute of Solid State Physics, Graz University of Technology, 8010 Graz (Austria); Schustereder, W. [Infineon Technologies Austria AG, 9500 Villach (Austria); Schulze, H.-J. [Infineon Technologies AG, 81726 Munich (Germany); Rommel, M. [Fraunhofer Institute of Integrated Systems and Devices IISB, 91058 Erlangen (Germany); Frey, L. [Fraunhofer Institute of Integrated Systems and Devices IISB, 91058 Erlangen (Germany); Chair of Electron Devices, FAU Erlangen-Nuremberg, 91058 Erlangen (Germany)
2015-12-15
In this study we examine proton implanted and subsequently annealed commercially available CZ wafers with the DLTS method. Depth-resolved spreading resistance measurements are shown, indicating an additional peak in the induced doping profile, not seen in the impurity-lean FZ reference samples. The additional peak lies about 10–15 μm deeper than the main peak near the projected range of the protons. A DLTS characterization in the depth of the additional peak indicates that it is most likely not caused by classical hydrogen-related donors known also from FZ silicon but by an additional donor complex whose formation is assisted by the presence of silicon self-interstitials.
Hefni Rusli, M.; Wheals, Alan E.; Sharma, Sweta; Seman, Idris A.; Cooper, Richard M.
2017-01-01
Vascular wilt disease caused by Fusarium oxysporum f. sp. elaeidis (Foe) has devasted oil palm in west and central Africa. This study investigates the spatial distribution of Foe, whereby non-random, clustered patterns of the disease were recorded in four separate plantations in Ghana; infection from tree to tree via elongating roots therefore plays a more significant role than aerial distribution by conidiospores, with management implications. Control of Foe with disease-resistant palm lines...
Steffy, D. A.; Nichols, A.; Hobbs, K.
2017-12-01
Oil spill material released by the 2010 Deepwater Horizon accident contaminated a majority of the 60 miles of Alabama coastline. In response to the oil spill, BP sprayed a dispersant, Corexit 9500A, as an initial remediation effort. An unforeseen impact of the saltwater-dispersant mixture includes the mobilization of oil-spilled material into the underlying beach sand. This study investigated the effect of the dispersant to promote gravitational drainage by measuring the physical characteristics of the sand, saltwater, crude oil, and the dispersant solution. The saltwater-dispersant mixture promoted the downward movement of oil mass 20 times greater extent than just saltwater. These tests are meant to simulate spill material on the beach being exposed to a low-energy, 1-meter mixed tide occurring along the Alabama coastline. A separate test simulated oilwet sand exposed to saltwater and a saltwater-dispersant mixture. The oil-wet sand impeded the vertical movement of saltwater, but allowed a saltwater-dispersant solution to mobilize the oil to migrate downward. The mobilization of oil in this three phase system of saltwater, oil, and air is controlled by: the pressure-saturation profile of the sand; interfacial tension with saltwater; and its surface tension with air.
Optimization of Fluorescent Silicon Nano material Production Using Peroxide/ Acid/ Salt Technique
International Nuclear Information System (INIS)
Abuhassan, L.H.
2009-01-01
Silicon nano material was prepared using the peroxide/ acid/ salt technique in which an aqueous silicon-based salt solution was added to H 2 O 2 / HF etchants. In order to optimize the experimental conditions for silicon nano material production, the amount of nano material produced was studied as a function of the volume of the silicon salt solution used in the synthesis. A set of samples was prepared using: 0, 5, 10, 15, and 20 ml of an aqueous 1 mg/ L metasilicate solution. The area under the corresponding peaks in the infrared (ir) absorption spectra was used as a qualitative indicator to the amount of the nano material present. The results indicated that using 10 ml of the metasilicate solution produced the highest amount of nano material. Furthermore, the results demonstrated that the peroxide/ acid/ salt technique results in the enhancement of the production yield of silicon nano material at a reduced power demand and with a higher material to void ratio. A model in which the silicon salt forms a secondary source of silicon nano material is proposed. The auxiliary nano material is deposited into the porous network causing an increase in the amount of nano material produced and a reduction in the voids present. Thus a reduction in the resistance of the porous layer, and consequently reduction in the power required, are expected. (author)
International Nuclear Information System (INIS)
Lugscheider, E.; Deppe, E.; Ambroziak, A.; Melzer, A.
1991-01-01
Iron nickel chromium manganese silicon and iron chromium nickel manganese silicon molybdenum niobium alloys have a so-called duplex structure in a wide concentration range. This causes an excellent resistance to wear superior in the case of adhesive stress with optimized concentrations of manganese, silicon, molybdenum and niobium. The materials can be used for welded armouring structures wherever cobalt and boron-containing alloy systems are not permissible, e.g. in nuclear science. Within the framework of pre-investigations for manufacturing of filling wire electrodes, cast test pieces were set up with duplex structure, and their wear behavior was examined. (orig.) [de
Relation between electron- and photon-caused oxidation in EUVL optics
Malinowski, Michael E.; Steinhaus, Charles A.; Meeker, Donald E.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa
2003-06-01
Extreme ultraviolet (EUV)-induced oxidation of silicon-capped, [Mo/Si] multilayer mirrors in the presence of background levels of water vapor is recognized as one of the most serious threats to multilayer lifetime since oxidation of the top silicon layer is an irreversible process. The current work directly compares the oxidation on a silicon-capped, [Mo/Si] multilayers caused by EUV photons with the oxidation caused by 1 keV electrons in the presence of the same water vapor environment (2 x 10-6 Torr). Similar, 4 nm, silicon-capped, [Mo/Si] multilayer mirror samples were exposed to photons (95.3 eV) + water vapor at the ALS, LBNL, and also to a 1 keV electron beam + water vapor in separate experimental systems. The results of this work showed that the oxidation produced by ~1 µA of e-beam current was found to be equivalent to that produced by ~1 mW of EUV exposure. These results will help allow the use of 1 keV electrons beams, instead of EUV photons, to perform environmental testing of multilayers in a low-pressure water environment and to more accurately determine projected mirror lifetimes based on the electron beam exposures.
Development of oil for lead shielded glass windows
International Nuclear Information System (INIS)
Antony, M.P.; Subramaniam, S.; Vasudeva Rao, P.R.
1997-01-01
Oil filled glass windows are used in Hot Cells for viewing purposes. Absorption of moisture and radiation can cause degradation of the oil and the degradation products will make the oil cloudy. Hence, it is necessary to replace the oil at least once in two years. The requirements of the oil were met so far by importing it from USA, Germany and France. An attempt has been made to make the oil indigenously with a view to replenish the oil in future, and also as an alternate source for oil import. The details of the development of a radiation resistant oil, its specifications and the test procedures are discussed in this report. (author)
Chakraborty, Kajal; Joseph, Deepu
2015-01-28
Crude Sardinella longiceps oil was refined in different stages such as degumming, neutralization, bleaching, and deodorization. The efficiency of these processes was evaluated on the basis of free fatty acid (FFA), peroxide (PV), p-anisidine (pAV), total oxidation (TOTOX), thiobarbituric acid reactive species (TBARS) values, Lovibond CIE-L*a*b* color analyses, and (1)H NMR or GC-MS experiments. The utilities of NMR-based proton signal characteristics as new analytical tools to understand the signature peaks and relative abundance of different fatty acids and monitoring the refining process of fish oil have been demonstrated. Phosphoric acid (1%) was found to be an effective degumming reagent to obtain oil with the lowest FFA, PV, pAV, TOTOX, and TBARS values and highest color reduction. Significant reduction in the contents of hydrocarbon functionalities as shown by the decrease in proton integral in the characteristic (1)H NMR region was demonstrated by using 1% H3PO4 during the course of the degumming process. A combination (1.25:3.75%) of activated charcoal and Fuller's earth at 3% concentration for a stirring time of 40 min was found to be effective in bleaching the sardine oil. This study demonstrated that unfavorable odor-causing components, particularly low molecular weight carbonyl compounds, could successfully be removed by the refining process. The alkane-dienals/alkanes, which cause unfavorable fishy odors, were successfully removed by distillation (100 °C) under vacuum with aqueous acetic acid solution (0.25 N) to obtain greater quality of refined sardine oil, a rich source of essential fatty acids and improved oxidative stability. The present study demonstrated that the four-stage refinement process of sardine oil resulted in a significant improvement in quality characteristics and nutritional values, particularly n-3 PUFAs, with improved fish oil characteristics for use in the pharmaceutical and functional food industries.
Directory of Open Access Journals (Sweden)
V. А. Pilipenko
2017-01-01
Full Text Available Introduction of submicron design standards into microelectronic industry and a decrease of the gate dielectric thickness raise the importance of the analysis of microinhomogeneities in the silicon-silicon dioxide system. However, there is very little to no information on practical implementation of probe electrometry methods, and particularly scanning Kelvin probe method, in the interoperational control of real semiconductor manufacturing process. The purpose of the study was the development of methods for nondestructive testing of semiconductor wafers based on the determination of electrophysical properties of the silicon-silicon dioxide interface and their spatial distribution over wafer’s surface using non-contact probe electrometry methods.Traditional C-V curve analysis and scanning Kelvin probe method were used to characterize silicon- silicon dioxide interface. The samples under testing were silicon wafers of KEF 4.5 and KDB 12 type (orientation <100>, diameter 100 mm.Probe electrometry results revealed uniform spatial distribution of wafer’s surface potential after its preliminary rapid thermal treatment. Silicon-silicon dioxide electric potential values were also higher after treatment than before it. This potential growth correlates with the drop in interface charge density. At the same time local changes in surface potential indicate changes in surface layer structure.Probe electrometry results qualitatively reflect changes of interface charge density in silicon-silicon dioxide structure during its technological treatment. Inhomogeneities of surface potential distribution reflect inhomogeneity of damaged layer thickness and can be used as a means for localization of interface treatment defects.
Silicon microphotonic waveguides
International Nuclear Information System (INIS)
Ta'eed, V.; Steel, M.J.; Grillet, C.; Eggleton, B.; Du, J.; Glasscock, J.; Savvides, N.
2004-01-01
Full text: Silicon microphotonic devices have been drawing increasing attention in the past few years. The high index-difference between silicon and its oxide (Δn = 2) suggests a potential for high-density integration of optical functions on to a photonic chip. Additionally, it has been shown that silicon exhibits strong Raman nonlinearity, a necessary property as light interaction can occur only by means of nonlinearities in the propagation medium. The small dimensions of silicon waveguides require the design of efficient tapers to couple light to them. We have used the beam propagation method (RSoft BeamPROP) to understand the principles and design of an inverse-taper mode-converter as implemented in several recent papers. We report on progress in the design and fabrication of silicon-based waveguides. Preliminary work has been conducted by patterning silicon-on-insulator (SOI) wafers using optical lithography and reactive ion etching. Thus far, only rib waveguides have been designed, as single-mode ridge-waveguides are beyond the capabilities of conventional optical lithography. We have recently moved to electron beam lithography as the higher resolutions permitted will provide the flexibility to begin fabricating sub-micron waveguides
A new concept for improved oil spill containment in open waters
International Nuclear Information System (INIS)
Sethness, E.D. Jr.
1990-01-01
In this paper a new concept for improved oil spill containment in open waters is presented. The proposed system is a combination oil boom and wave barrier. Waveguard International has taken its extensive experience as a designer of floating breakwaters and applied this knowledge into the design of a readily transportable, readily deployable floating oil boom with integrated wave attenuation capabilities as well. The new concept is based on the attenuation of the two major natural causes of oil spill dispersion; first, horizontal dispersion caused by wind shear effects; and second, vertical entrainment into the water column caused by the mixing action of wave motion. The physical encirclement of an oil spill with a floating boom to contain horizontal dispersion is not a new concept. Existing systems, however, work best in calm water and rapidly loose efficiency as waves increase. The proposed system can not only physically surround the spill area, but is as much as 90% effective in stopping the transmission of wave energy. The oil boom thus minimizes vertical mixing of the contained oil slick
DEFF Research Database (Denmark)
Ashokkumar, Saranya; Adler-Nissen, Jens; Møller, Per
2012-01-01
The main aim of the work was to investigate the wettability of different surface materials with vegetable oil (olive oil) over the temperature range of 25–200°C to understand the differences in cleanability of different surfaces exposed to high temperatures in food processes. The different surface...... different levels of roughness. The cosine of the contact angle of olive oil on different surface materials rises linearly with increasing temperature. Among the materials analyzed, polymers (PTFE, silicone) gave the lowest cosθ values. Studies of the effect of roughness and surface flaws on wettability...... contact angle and cleanability. In addition to surface wettability with oil many other factors such as roughness and surface defects play an essential role in determining their cleanability....
Energy Technology Data Exchange (ETDEWEB)
Baker, J M
1970-01-01
Oils vary in their toxicity according to the content of low-boiling compounds, unsaturated compounds, aromatics, and acids. The higher the concentration of these constituents, the more toxic the oil. After penetrating into a plant, the oil may travel in the intercellular spaces and possibly also in the vascular system. Cell membranes are damaged by penetration of hydrocarbon molecules, leading to leakage of cell contents, and oil may enter the cells. Oils reduce the transpiration rate, probably by blocking the stomata and intercellular spaces. This may also be the reason for the reduction of the photosynthesis which occurs, though there are other possible explanations of this - such as disruption of chloroplast membranes and inhibition caused by accumulation of end-products. The effects of oils on respiration are variable, but an increase of respiration rate often occurs, possibly due to mitochondrial damage resulting in an uncoupling effect. Oils inhibit translocation probably by physical interference. The severity of the above effects depends on the constituents and amount of the oil, on the environmental conditions, and on the species of plant involved. 88 references, 3 tables.
INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES
Directory of Open Access Journals (Sweden)
Deiler Antonio Lima Oliveira
2012-06-01
Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.
Cosmetic Cleansing Oil Absorption by Soft Contact Lenses in Dry and Wet Conditions.
Tsukiyama, Junko; Miyamoto, Yuko; Kodama, Aya; Fukuda, Masahiko; Shimomura, Yoshikazu
2017-09-01
Previous reports showed that cosmetic cleansing oil for removing makeup, which contains mineral oil and surfactant, can deform some silicone hydrogel contact lenses (SHCLs) when applied directly to the lenses, although plasma-coated SHCLs (lotrafilcon A and B) were not affected. In the present study, we investigated hydrogel lenses and SHCLs in both wet and dry conditions. Several brands of hydrogel and SHCLs were immersed in a cleansing oil solution containing Sudan Black B for 5 min under wet and dry conditions. The lenses under the wet condition were simply picked up from the saline, whereas those under the dry condition were blotted with paper wipes. After immersing, the excess solution remaining on the lenses was removed by finger rubbing with a multipurpose solution. The lenses were then examined using a stereomicroscope, and their mean brightness was measured and compared. The cosmetic cleansing oil was not absorbed by the hydrogel lenses under wet or dry conditions. However, four of seven brands of SHCLs absorbed the cosmetic cleansing oil under both conditions (dry and wet), whereas asmofilcon A absorbed it only under the dry condition. Lotrafilcon B and delefilcon A did not absorb cleansing oil even under the dry condition. Hydrogel lenses resist cosmetic cleansing oil. However, SHCLs have different degrees of resistance depending on the lens material. Some SHCLs absorbed cosmetic cleansing oil more under dry conditions than under wet conditions.
International Nuclear Information System (INIS)
Lee, W.C.T.; Bishop, N.; Thompson, D.L.; Xue, K.; Scappucci, G.; Cederberg, J.G.; Gray, J.K.; Han, S.M.; Celler, G.K.; Carroll, M.S.; Simmons, M.Y.
2013-01-01
Highlights: ► Strained silicon-on-insulator (sSOI) samples were flash-annealed at high temperature under ultra-high vacuum conditions. ► The extend of surface strain relaxation depends on the annealing temperature with no strain relaxation observed below 1020 °C. ► A 2 × 1 reconstructed surface with low defect density can be achieved. ► The annealed sSOI surface shows enhanced step undulations due to the unique energetics caused by surface strain. - Abstract: We investigate the ability to reconstruct strained silicon-on-insulator (sSOI) substrates in ultra-high vacuum for use in atomic scale device fabrication. Characterisation of the starting sSOI substrate using μRaman shows an average tensile strain of 0.8%, with clear strain modulation in a crosshatch pattern across the surface. The surfaces were heated in ultra-high vacuum from temperatures of 900 °C to 1100 °C and subsequently imaged using scanning tunnelling microscopy (STM). The initial strain modulation on the surface is observed to promote silicon migration and the formation of crosshatched surface features whose height and pitch increases with increasing annealing temperature. STM images reveal alternating narrow straight S A steps and triangular wavy S B steps attributed to the spontaneous faceting of S B and preferential adatom attachment on S B under biaxial tensile strain. Raman spectroscopy shows that despite these high temperature anneals no strain relaxation of the substrate is observed up to temperatures of 1020 °C. Above 1100 °C, strain relaxation is evident but is confined to the surface.
Environmentally adjusted oil and grease
International Nuclear Information System (INIS)
Andersson, Bert; Lindberg, J.
1992-01-01
With the intention of maintaining healthy environment in our rivers Vattenfall in 1988 initiated a project called 'Environmentally friendly oils'. The goal was to find oils for bearings and hydraulic systems that would cause less negative environmental effects and still maintain a good technical function. Based on the results of the project it is recommended, for both economical and environmental reasons, to change to synthetic oil in bearings and to hydraulic oil made of white oil in regulating systems. The change may be carried out in connection to other work on the system in question. Special care is recommended when cleaning the system from old oil and dirt. In order to maintain the high quality of the oil, also during manufacturing and assembling, one should follow the recommendations outlined in the report. In bearings where used grease leaks into the river, a grease made of white oil should be used. The quality of the rubber sealings must be adapted to the oil used, in order to secure a good function. In order to bring down the number of products and to create an open market some additional changes is necessary in the specifications of oil. Further research regarding oil and sealing is planned. (3 refs.)
Ceramic silicon-boron-carbon fibers from organic silicon-boron-polymers
Riccitiello, Salvatore R. (Inventor); Hsu, Ming-Ta S. (Inventor); Chen, Timothy S. (Inventor)
1993-01-01
Novel high strength ceramic fibers derived from boron, silicon, and carbon organic precursor polymers are discussed. The ceramic fibers are thermally stable up to and beyond 1200 C in air. The method of preparation of the boron-silicon-carbon fibers from a low oxygen content organosilicon boron precursor polymer of the general formula Si(R2)BR(sup 1) includes melt-spinning, crosslinking, and pyrolysis. Specifically, the crosslinked (or cured) precursor organic polymer fibers do not melt or deform during pyrolysis to form the silicon-boron-carbon ceramic fiber. These novel silicon-boron-carbon ceramic fibers are useful in high temperature applications because they retain tensile and other properties up to 1200 C, from 1200 to 1300 C, and in some cases higher than 1300 C.
Energy Technology Data Exchange (ETDEWEB)
Pradeepkumar, Aiswarya; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca [Queensland Micro and Nanotechnology Centre and Environmental Futures Research Institute, Griffith University, Nathan QLD 4111 (Australia); Boeckl, John J. [Materials and Manufacturing Directorate, Air Force Research Laboratories, Wright-Patterson Air Force Base, Ohio 45433 (United States); Hellerstedt, Jack; Fuhrer, Michael S. [Monash Centre for Atomically Thin Materials, Monash University, Monash, VIC 3800 (Australia)
2016-07-04
Epitaxial cubic silicon carbide on silicon is of high potential technological relevance for the integration of a wide range of applications and materials with silicon technologies, such as micro electro mechanical systems, wide-bandgap electronics, and graphene. The hetero-epitaxial system engenders mechanical stresses at least up to a GPa, pressures making it extremely challenging to maintain the integrity of the silicon carbide/silicon interface. In this work, we investigate the stability of said interface and we find that high temperature annealing leads to a loss of integrity. High–resolution transmission electron microscopy analysis shows a morphologically degraded SiC/Si interface, while mechanical stress measurements indicate considerable relaxation of the interfacial stress. From an electrical point of view, the diode behaviour of the initial p-Si/n-SiC junction is catastrophically lost due to considerable inter-diffusion of atoms and charges across the interface upon annealing. Temperature dependent transport measurements confirm a severe electrical shorting of the epitaxial silicon carbide to the underlying substrate, indicating vast predominance of the silicon carriers in lateral transport above 25 K. This finding has crucial consequences on the integration of epitaxial silicon carbide on silicon and its potential applications.
Silicone stent placement for primary tracheal amyloidosis accompanied by cartilage destruction.
Ryu, Duck Hyun; Eom, Jung Seop; Jeong, Ho Jung; Kim, Jung Hoon; Lee, Ji Eun; Jun, Ji Eun; Song, Dae Hyun; Han, Joungho; Kim, Hojoong
2014-06-01
Primary tracheal amyloidosis (PTA) can lead to airway obstructions, and patients with severe PTA should undergo bronchoscopic interventions in order to maintain airway patency. Focal airway involvements with amyloidosis can only be treated with mechanical dilatation. However, the PTA with diffused airway involvements and concomitant cartilage destructions requires stent placement. Limited information regarding the usefulness of silicone stents in patients with PTA has been released. Therefore, we report a case of diffused PTA with tracheomalacia causing severe cartilage destruction, which is being successfully managed with bronchoscopic interventions and silicone stent placements.
Fluid diversion in oil recovery
International Nuclear Information System (INIS)
Nimir, Hassan B.
1999-01-01
In any oil recovery process, large scale heterogeneities, such as fractures, channels, or high-permeability streaks, can cause early break through of injected fluid which will reduce oil recovery efficiency. In waterflooding, enhanced oil recovery, and acidizing operations, this problem is particularly acute because of the cost of the injected fluid. On the other hand coping with excess water production is always a challenging task for field operators. The cost of handling and disposing produced water can significantly shorten the economic production life of an oil well. The hydrostatic pressure created by high fluid levels in a well (water coning) is also detrimental to oil production. In this paper, the concept of fluid diversion is explained. Different methods that are suggested to divert the fluid into the oil-bearing-zones are briefly discussed, to show their advantages and disadvantages. Methods of reducing water production in production well are also discussed. (Author)
International Nuclear Information System (INIS)
Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo
2005-01-01
Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level
Identification of CYP1A inducing compounds in crude oil
Energy Technology Data Exchange (ETDEWEB)
Khan, C.W.; Hodson, P.V. [Queen' s Univ., Kingston, ON (Canada). Dept. of Biology; Hollebone, B.P.; Wang, Z. [Environment Canada, Ottawa, ON (Canada). Environmental Technology Advancement Directorate; Brown, R.S. [Queen' s Univ., Kingston, ON (Canada). Dept. of Chemistry
2004-07-01
One of the major sources of polycyclic aromatic hydrocarbons (PAHs) in aquatic ecosystems is crude oil. PAHs are responsible for developmental malformations in the early life stages of fish. The induction of CYP1A enzyme is characteristic of developmental toxicity caused by crude oil. As such, it is an effective biomarker of PAH uptake. It is not known which PAHs cause toxicity because of the complex chemical composition of crude oil. In this study, an approach called Toxicity Identification and Evaluation (TIE) was used with different crude oils to separate bioavailable PAHs into petroleum sub-fractions. The extent of CYP1A induction in rainbow trout was measured after 48 hour exposures to each fraction. Low temperature vacuum distillation was used to create white gas, kerosene, coal tar/bitumen and wax fractions. Hepatic CYP1A activity was induced by whole oil and some fractions. The highest PAH concentration was found in the coal tar/bitumen fraction which accounted for most CYP1A induction in whole oil. The wax fraction also caused moderate CYP1A induction, but the white gas fraction did not cause any CYP1A induction. The hypothesis that alkyl PAH may be the most significant source of CYP1A inducers in the coal tar/bitumen fraction was supported by chemical analysis of CYP1A induction potency. Results showed that benzo[a]pyrene accounts for nearly all of the CYP1A induction caused by the wax fraction.
Microbial enhanced oil recovery and compositions therefor
Bryant, Rebecca S.
1990-01-01
A method is provided for microbial enhanced oil recovery, wherein a combination of microorganisms is empirically formulated based on survivability under reservoir conditions and oil recovery efficiency, such that injection of the microbial combination may be made, in the presence of essentially only nutrient solution, directly into an injection well of an oil bearing reservoir having oil present at waterflood residual oil saturation concentration. The microbial combination is capable of displacing residual oil from reservoir rock, which oil may be recovered by waterflooding without causing plugging of the reservoir rock. Further, the microorganisms are capable of being transported through the pores of the reservoir rock between said injection well and associated production wells, during waterflooding, which results in a larger area of the reservoir being covered by the oil-mobilizing microorganisms.
Quality Tests of Double-Sided Silicon Strip Detectors
Cambon, T; CERN. Geneva; Fintz, P; Guillaume, G; Jundt, F; Kuhn, C; Lutz, Jean Robert; Pagès, P; Pozdniakov, S; Rami, F; Sparavec, K; Dulinski, W; Arnold, L
1997-01-01
The quality of the SiO2 insulator (AC coupling between metal and implanted strips) of double-sided Silicon strip detectors has been studied by using a probe station. Some tests performed on 23 wafers are described and the results are discussed. Remark This note seems to cause problems with ghostview but it can be printed without any problem.
Single-Event Effects in Silicon and Silicon Carbide Power Devices
Lauenstein, Jean-Marie; Casey, Megan C.; LaBel, Kenneth A.; Topper, Alyson D.; Wilcox, Edward P.; Kim, Hak; Phan, Anthony M.
2014-01-01
NASA Electronics Parts and Packaging program-funded activities over the past year on single-event effects in silicon and silicon carbide power devices are presented, with focus on SiC device failure signatures.
International Nuclear Information System (INIS)
Yin Yunpeng; Sawin, Herbert H.
2008-01-01
The surface roughness evolutions of single crystal silicon, thermal silicon dioxide (SiO 2 ), and low dielectric constant film coral in argon plasma have been measured by atomic force microscopy as a function of ion bombardment energy, ion impingement angle, and etching time in an inductively coupled plasma beam chamber, in which the plasma chemistry, ion energy, ion flux, and ion incident angle can be adjusted independently. The sputtering yield (or etching rate) scales linearly with the square root of ion energy at normal impingement angle; additionally, the angular dependence of the etching yield of all films in argon plasma followed the typical sputtering yield curve, with a maximum around 60 deg. -70 deg. off-normal angle. All films stayed smooth after etching at normal angle but typically became rougher at grazing angles. In particular, at grazing angles the rms roughness level of all films increased if more material was removed; additionally, the striation structure formed at grazing angles can be either parallel or transverse to the beam impingement direction, which depends on the off-normal angle. More interestingly, the sputtering caused roughness evolution at different off-normal angles can be qualitatively explained by the corresponding angular dependent etching yield curve. In addition, the roughening at grazing angles is a strong function of the type of surface; specifically, coral suffers greater roughening compared to thermal silicon dioxide
Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng
2011-01-01
In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999
Methods and mechanisms of gettering of silicon structures in the production of integrated circuits
Directory of Open Access Journals (Sweden)
Pilipenko V. A.
2013-05-01
Full Text Available Increasing the degree of integration of hardware components imposes more stringent requirements for the reduction of the concentration of contaminants and oxidation stacking faults in the original silicon wafers with its preservation in the IC manufacturing process cycle. This causes high relevance of the application of gettering in modern microelectronic technology. The existing methods of silicon wafers gettering and the mechanisms of their occurrence are considered.
Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.
1991-01-01
A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.
Silicon: electrochemistry and luminescence
Kooij, Ernst Stefan
1997-01-01
The electrochemistry of crystalline and porous silicon and the luminescence from porous silicon has been studied. One chapter deals with a model for the anodic dissolution of silicon in HF solution. In following chapters both the electrochemistry and various ways of generating visible
Polycrystalline Silicon Gettered by Porous Silicon and Heavy Phosphorous Diffusion
Institute of Scientific and Technical Information of China (English)
LIU Zuming(刘祖明); Souleymane K Traore; ZHANG Zhongwen(张忠文); LUO Yi(罗毅)
2004-01-01
The biggest barrier for photovoltaic (PV) utilization is its high cost, so the key for scale PV utilization is to further decrease the cost of solar cells. One way to improve the efficiency, and therefore lower the cost, is to increase the minority carrier lifetime by controlling the material defects. The main defects in grain boundaries of polycrystalline silicon gettered by porous silicon and heavy phosphorous diffusion have been studied. The porous silicon was formed on the two surfaces of wafers by chemical etching. Phosphorous was then diffused into the wafers at high temperature (900℃). After the porous silicon and diffusion layers were removed, the minority carrier lifetime was measured by photo-conductor decay. The results show that the lifetime's minority carriers are increased greatly after such treatment.
Co-processing of standard gas oil and biocrude oil to hydrocarbon fuels
International Nuclear Information System (INIS)
Agblevor, Foster A.; Mante, O.; McClung, R.; Oyama, S.T.
2012-01-01
The major obstacle in thermochemical biomass conversion to hydrocarbon fuels using pyrolysis has been the high oxygen content and the poor stability of the product oils, which cause them to solidify during secondary processing. We have developed a fractional catalytic pyrolysis process to convert biomass feedstocks into a product termed “biocrude oils” (stable biomass pyrolysis oils) which are distinct from unstable conventional pyrolysis oils. The biocrude oils are stable, low viscosity liquids that are storable at ambient conditions without any significant increases in viscosity; distillable at both atmospheric pressure and under vacuum without char or solid formation. About 15 wt% biocrude oils containing 20–25% oxygen were blended with 85 wt% standard gas oil and co-cracked in an Advanced Catalyst Evaluation (ACE™) unit using fluid catalytic cracking (FCC) catalysts to produce hydrocarbon fuels that contain negligible amount of oxygen. For the same conversion of 70% for both the standard gas oil and the biocrude oil/gas oil blends, the product gasoline yield was 44 wt%, light cycle oil (LCO) 17 wt%, heavy cycle oil (HCO) 13 wt%, and liquefied petroleum gas (LPG) 16 wt%. However, the coke yield for the standard gas oil was 7.06 wt% compared to 6.64–6.81 wt% for the blends. There appeared to be hydrogen transfer from the cracking of the standard gas oil to the biocrude oil which subsequently eliminated the oxygen in the fuel without external hydrogen addition. We have demonstrated for the first time that biomass pyrolysis oils can be successfully converted into hydrocarbons without hydrogenation pretreatment. -- Highlights: ► The co-processed product had less than 1% oxygen content and contained biocarbons determined by 14 C analysis. ► The co-processing did not affect the yields of gasoline, LCO, and HCO. ► First demonstration of direct conversion of pyrolysis oils into drop-in hydrocarbon fuels.
Otter Lutra lutra L. mortality and marine oil pollution
Energy Technology Data Exchange (ETDEWEB)
Baker, J R [Veterinary Field Station, Cheshire, England; Jones, A M; Jones, T P; Watson, H C
1981-01-01
Following an oil spill at Sullom Voe Oil Terminal, Shetland, at least 13 otters died. Post-mortems on five corpses showed that they had died of haemorrhagic gastroenteropathy, associated with ingested oil. The primary cause of oil ingestion seems to have been grooming of the fur. A survey of the polluted coasts revealed that otters were still present throughout most of the area.
Properties of non-stoichiometric nitrogen doped LPCVD silicon thin films
Energy Technology Data Exchange (ETDEWEB)
Mansour, F.; Mahamdi, R. [Departement d' Electronique, Universite Mentouri, Constantine (Algeria); Beghoul, M.R. [Departement d' Electronique, Universite de Jijel (Algeria); Temple-Boyer, P. [CNRS, LAAS, Toulouse (France); Universite de Toulouse, UPS, INSA, INP, ISAE, LAAS, Toulouse (France); Bouridah, H.
2010-02-15
The influence of nitrogen on the internal structure and so on the electrical properties of silicon thin films obtained by low-pressure chemical vapor deposition (LPCVD) was studied using several investigation methods. We found by using Raman spectroscopy and SEM observations that a strong relationship exists between the structural order of the silicon matrix and the nitrogen ratio in film before and after thermal treatment. As a result of the high disorder caused by nitrogen on silicon network during the deposit phase of films, the crystallization phenomena in term of nucleation and crystalline growth were found to depend upon the nitrogen content. Resistivity measurements results show that electrical properties of NIDOS films depend significantly on structural properties. It was appeared that for high nitrogen content, the films tend to acquire an insulator behavior. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)
The impact of oil price on Malaysian sector indices
Ismail, Mohd Tahir; Luan, Yeap Pei; Ee, Ong Joo
2015-12-01
In this paper, vector error correction model (VECM) has been utilized to model the dynamic relationships between world crude oil price and the sector indices of Malaysia. The sector indices have been collected are covering the period Jan 1998 to Dec 2013. Surprisingly, our investigations show that oil price changes do not Granger-cause any of the sectors in all of Malaysia. However, sector indices of Food Producer and Utilities are found to be the cause of the changes in world crude oil prices. Furthermore, from the results of variance decomposition, very high percentage of shocks is explained by world crude oil price itself over the 12 months and small impact from other sector indices.
Fan, H. J.; Kuok, M. H.; Ng, S. C.; Boukherroub, R.; Lockwood, D. J.
2002-07-01
Brillouin scattering has been performed to probe acoustic waves in porous silicon films that have been chemically modified with either 1-decene, decyl aldehyde, undecylenic acid, or ethyl undecylenate. The shift in the frequencies of acoustic modes in the passivated porous silicon samples, relative to those in freshly prepared porous silicon, is different for different chemical modifiers. The magnitude of the frequency shift is qualitatively correlated with the change, caused by the passivation, in the average densities and elastic constants of the samples.
A new submarine oil-water separation system
Cai, Wen-Bin; Liu, Bo-Hong
2017-12-01
In order to solve the oil field losses of environmental problems and economic benefit caused by the separation of lifting production liquid to offshore platforms in the current offshore oil production, from the most basic separation principle, a new oil-water separation system has been processed of adsorption and desorption on related materials, achieving high efficiency and separation of oil and water phases. And the submarine oil-water separation device has been designed. The main structure of the device consists of gas-solid phase separation device, period separating device and adsorption device that completed high efficiency separation of oil, gas and water under the adsorption and desorption principle, and the processing capacity of the device is calculated.
Thermoelectric characteristics of Pt-silicide/silicon multi-layer structured p-type silicon
International Nuclear Information System (INIS)
Choi, Wonchul; Jun, Dongseok; Kim, Soojung; Shin, Mincheol; Jang, Moongyu
2015-01-01
Electric and thermoelectric properties of silicide/silicon multi-layer structured devices were investigated with the variation of silicide/silicon heterojunction numbers from 3 to 12 layers. For the fabrication of silicide/silicon multi-layered structure, platinum and silicon layers are repeatedly sputtered on the (100) silicon bulk substrate and rapid thermal annealing is carried out for the silicidation. The manufactured devices show ohmic current–voltage (I–V) characteristics. The Seebeck coefficient of bulk Si is evaluated as 195.8 ± 15.3 μV/K at 300 K, whereas the 12 layered silicide/silicon multi-layer structured device is evaluated as 201.8 ± 9.1 μV/K. As the temperature increases to 400 K, the Seebeck coefficient increases to 237.2 ± 4.7 μV/K and 277.0 ± 1.1 μV/K for bulk and 12 layered devices, respectively. The increase of Seebeck coefficient in multi-layered structure is mainly attributed to the electron filtering effect due to the Schottky barrier at Pt-silicide/silicon interface. At 400 K, the thermal conductivity is reduced by about half of magnitude compared to bulk in multi-layered device which shows the efficient suppression of phonon propagation by using Pt-silicide/silicon hetero-junctions. - Highlights: • Silicide/silicon multi-layer structured is proposed for thermoelectric devices. • Electric and thermoelectric properties with the number of layer are investigated. • An increase of Seebeck coefficient is mainly attributed the Schottky barrier. • Phonon propagation is suppressed with the existence of Schottky barrier. • Thermal conductivity is reduced due to the suppression of phonon propagation
Chaieb, Sahraoui
2015-04-09
Embodiments of the present disclosure provide for a colloidal photoluminescent amorphous porous silicon particle suspension, methods of making a colloidal photoluminescent amorphous porous silicon particle suspension, methods of using a colloidal photoluminescent amorphous porous silicon particle suspension, and the like.
Chaieb, Saharoui; Mughal, Asad Jahangir
2015-01-01
Embodiments of the present disclosure provide for a colloidal photoluminescent amorphous porous silicon particle suspension, methods of making a colloidal photoluminescent amorphous porous silicon particle suspension, methods of using a colloidal photoluminescent amorphous porous silicon particle suspension, and the like.
Effect of iron and silicon in aluminium and its alloys
International Nuclear Information System (INIS)
Kovacs, I.
1990-01-01
The iron and silicon are the main impurities in aluminium, they are always present in alloys made from commercially pure base material. The solid solubility of iron in aluminium is very low, therefore its largest amount forms intermetallic compounds the kind of which depends strongly on the other impurities of alloying elements. Although the solid solubility of silicon is much larger than that of the iron, it is the constituent of both the primary and the secondary particles, the structure of which depends in general on the iron-silicon concentration ratio. These Fe and Si containing particles can cause various and basic changes in the macroscopic properties of the alloy. Since commercially pure aluminium has extensive consumer and industrial use, it is very important to know, not only from scientific but also from practical point of view, the effect of iron and silicon on the physical and mechanical properties of aluminium and its alloys. The aim of the ''International Workshop on the Effect of Iron and Silicon in Aluminium and its Alloys'' was to clarify the present knowledge on this subject. The thirty papers presented at the Workshop and collected in this Proceedings cover many important fields of the subject. I hope that they will contribute to both the deeper understanding of the related phenomena and the improvement of technologies for producing better aluminium alloys
International Nuclear Information System (INIS)
Timokhov, D. F.; Timokhov, F. P.
2009-01-01
Possible ways for increasing the photoluminescence quantum yield of porous silicon layers have been investigated. The effect of the anodization parameters on the photoluminescence properties for porous silicon layers formed on silicon substrates with different crystallographic orientations was studied. The average diameters for silicon nanoclusters are calculated from the photoluminescence spectra of porous silicon. The influence of the substrate crystallographic orientation on the photoluminescence quantum yield of porous silicon is revealed. A model explaining the effect of the substrate orientation on the photoluminescence properties for the porous silicon layers formed by anode electrochemical etching is proposed.
Prevention of oiled wildlife project (POW)
International Nuclear Information System (INIS)
Harvey, T.C.
1998-01-01
The establishment of a project to analyse the nature and extent of the problem of marine oil spills and their impact on the wildlife in coastal Newfoundland was described. Pelagic seabirds were identified as the marine wildlife most affected by oil released into the ocean. The Prevention of Oiled Wildlife (POW) project was initiated by the Canadian Coast Guard, the lead agency for oil spills of unknown origin. Details of the POW project were provided. It was shown that the project serves as an interdepartmental approach to: (1) identifying past occurrences, probable sources, causes, effects and possible releases of oil into the marine environment, (2) identifying remedial measures undertaken to date to curb the release of oil, and (3) establishing a plan of action through legislation, education, detection, prosecution or any other means, to eliminate the release of oil. 14 refs., 4 tabs., 5 figs
Energy Technology Data Exchange (ETDEWEB)
Saleem, Muhammad [Department of Chemistry, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of); Rafiq, Muhammad; Seo, Sung-Yum [Department of Biology, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of); Lee, Ki Hwan, E-mail: khlee@kongju.ac.kr [Department of Chemistry, Kongju National University, Gongju, Chungnam 314-701 (Korea, Republic of)
2014-07-01
Acetylcholinesterase immobilized p-type porous silicon surface was prepared by covalent attachment. The immobilization procedure was based on support surface chemical oxidation, silanization, surface activation with cyanuric chloride and finally covalent attachment of free enzyme on the cyanuric chloride activated porous silicon surface. Different pore diameter of porous silicon samples were prepared by electrochemical etching in HF based electrolyte solution and appropriate sample was selected suitable for enzyme immobilization with maximum trapping ability. The surface modification was studied through field emission scanning electron microscope, EDS, FT-IR analysis, and photoluminescence measurement by utilizing the fluctuation in the photoluminescence of virgin and enzyme immobilized porous silicon surface. Porous silicon showed strong photoluminescence with maximum emission at 643 nm and immobilization of acetylcholinesterase on porous silicon surface cause considerable increment on the photoluminescence of porous silicon material while acetylcholinesterase free counterpart did not exhibit any fluorescence in the range of 635–670 nm. The activities of the free and immobilized enzymes were evaluated by spectrophotometric method by using neostigmine methylsulfate as standard enzyme inhibitor. The immobilized enzyme exhibited considerable response toward neostigmine methylsulfate in a dose dependent manner comparable with that of its free counterpart alongside enhanced stability, easy separation from the reaction media and significant saving of enzyme. It was believed that immobilized enzyme can be exploited in organic and biomolecule synthesis possessing technical and economical prestige over free enzyme and prominence of easy separation from the reaction mixture.
International Nuclear Information System (INIS)
Saleem, Muhammad; Rafiq, Muhammad; Seo, Sung-Yum; Lee, Ki Hwan
2014-01-01
Acetylcholinesterase immobilized p-type porous silicon surface was prepared by covalent attachment. The immobilization procedure was based on support surface chemical oxidation, silanization, surface activation with cyanuric chloride and finally covalent attachment of free enzyme on the cyanuric chloride activated porous silicon surface. Different pore diameter of porous silicon samples were prepared by electrochemical etching in HF based electrolyte solution and appropriate sample was selected suitable for enzyme immobilization with maximum trapping ability. The surface modification was studied through field emission scanning electron microscope, EDS, FT-IR analysis, and photoluminescence measurement by utilizing the fluctuation in the photoluminescence of virgin and enzyme immobilized porous silicon surface. Porous silicon showed strong photoluminescence with maximum emission at 643 nm and immobilization of acetylcholinesterase on porous silicon surface cause considerable increment on the photoluminescence of porous silicon material while acetylcholinesterase free counterpart did not exhibit any fluorescence in the range of 635–670 nm. The activities of the free and immobilized enzymes were evaluated by spectrophotometric method by using neostigmine methylsulfate as standard enzyme inhibitor. The immobilized enzyme exhibited considerable response toward neostigmine methylsulfate in a dose dependent manner comparable with that of its free counterpart alongside enhanced stability, easy separation from the reaction media and significant saving of enzyme. It was believed that immobilized enzyme can be exploited in organic and biomolecule synthesis possessing technical and economical prestige over free enzyme and prominence of easy separation from the reaction mixture.
The Efficacy of a Silicone Sheet in Postoperative Scar Management.
Kim, Jin Sam; Hong, Joon Pio; Choi, Jong Woo; Seo, Dong Kyo; Lee, Eun Sook; Lee, Ho Seong
2016-09-01
Silicone gel sheeting has been introduced to prevent scarring, but objective evidence for its usefulness in scar healing is limited. Therefore, the authors' objective was to examine the effectiveness of silicone gel sheeting by randomly applying it to only unilateral scars from a bilateral hallux valgus surgery with symmetrical closure. In a prospective randomized, blinded, intraindividual comparison study, the silicone gel sheeting was applied to 1 foot of a hallux valgus incision scar (an experiment group) for 12 weeks upon removal of the stitches, whereas the symmetrical scar from the other foot was left untreated (a control group). The scars were evaluated at 4 and 12 weeks after the silicon sheet application. The Vancouver Scar Scale was used to measure the vascularity, pigmentation, pliability, height, and length of the scars. Adverse effects were also evaluated, and they included pain, itchiness, rash, erythema, and skin softening. At weeks 4 and 12, the experiment group scored significantly better on the Vancouver Scar Scale in all items, except length (P sheet does not cause adverse effects (P sheet application did show a significant improvement in prevention of postoperative scarring.
Efek Olive Oil dan Virgin Coconut Oil terhadap Striae Gravidarum
Directory of Open Access Journals (Sweden)
Evi Pratami
2014-03-01
Full Text Available The prevalence of striae gravidarum (SG in pregnant women ranges from 50% to 90%. Effects of SG include itchy and hot feeling as well as dry skin. This situation also causes emotional disturbances that poses a cosmetic problem for most pregnant women. Nowadays, many pregnant women use olive oil to prevent SG, but it is relatively difficult to find and costly. In Indonesia, a similar oil, virgin coconut oil (VCO, has been used by many pregnant women for the same reason. The aim of this study was to analyze the differences and correlation between effects of olive oil and VCO against SG. The study was conducted in March−July 2012. An experimental study was performed on 80 pregnant women in Surabaya Municipality and they were divided into 2 groups using random permuted blocks. The results of this study showed that there was no difference in SG appearance based on the number of lines and levels of erythema between groups (p=0.156 and 1.00. Furthermore, there was a strong negative correlation between the effect of olive oil or VCO on the number of lines (r=-0.576 and -0.560 and the level of erythema (r=-0.699 and -0.586. In conclusion, there is no difference in the effect of olive oil and VCO against SG.
Joining elements of silicon carbide
International Nuclear Information System (INIS)
Olson, B.A.
1979-01-01
A method of joining together at least two silicon carbide elements (e.g.in forming a heat exchanger) is described, comprising subjecting to sufficiently non-oxidizing atmosphere and sufficiently high temperature, material placed in space between the elements. The material consists of silicon carbide particles, carbon and/or a precursor of carbon, and silicon, such that it forms a joint joining together at least two silicon carbide elements. At least one of the elements may contain silicon. (author)
Molecular defense response of oil palm to Ganoderma infection.
Ho, C-L; Tan, Y-C
2015-06-01
Basal stem rot (BSR) of oil palm roots is due to the invasion of fungal mycelia of Ganoderma species which spreads to the bole of the stem. In addition to root contact, BSR can also spread by airborne basidiospores. These fungi are able to break down cell wall components including lignin. BSR not only decreases oil yield, it also causes the stands to collapse thus causing severe economic loss to the oil palm industry. The transmission and mode of action of Ganoderma, its interactions with oil palm as a hemibiotroph, and the molecular defence responses of oil palm to the infection of Ganoderma boninense in BSR are reviewed, based on the transcript profiles of infected oil palms. The knowledge gaps that need to be filled in oil palm-Ganoderma molecular interactions i.e. the associations of hypersensitive reaction (HR)-induced cell death and reactive oxygen species (ROS) kinetics to the susceptibility of oil palm to Ganoderma spp., the interactions of phytohormones (salicylate, jasmonate and ethylene) at early and late stages of BSR, and cell wall strengthening through increased production of guaiacyl (G)-type lignin, are also discussed. Copyright © 2014 Elsevier Ltd. All rights reserved.
A root cause analysis approach to risk assessment of a pipeline network for Kuwait Oil Company
Energy Technology Data Exchange (ETDEWEB)
Davies, Ray J.; Alfano, Tony D. [Det Norske Veritas (DNV), Rio de Janeiro, RJ (Brazil); Waheed, Farrukh [Kuwait Oil Company, Ahmadi (Kuwait); Komulainen, Tiina [Kongsberg Oil and Gas Technologies, Sandvika (Norway)
2009-07-01
A large scale risk assessment was performed by Det Norske Veritas (DNV) for the entire Kuwait Oil Company (KOC) pipeline network. This risk assessment was unique in that it incorporated the assessment of all major sources of process related risk faced by KOC and included root cause management system related risks in addition to technical risks related to more immediate causes. The assessment was conducted across the entire pipeline network with the scope divided into three major categories:1. Integrity Management 2. Operations 3. Management Systems Aspects of integrity management were ranked and prioritized using a custom algorithm based on critical data sets. A detailed quantitative risk assessment was then used to further evaluate those issues deemed unacceptable, and finally a cost benefit analysis approach was used to compare and select improvement options. The operations assessment involved computer modeling of the entire pipeline network to assess for bottlenecks, surge and erosion analysis, and to identify opportunities within the network that could potentially lead to increased production. The management system assessment was performed by conducting a gap analysis on the existing system and by prioritizing those improvement actions that best aligned with KOC's strategic goals for pipelines. Using a broad and three-pronged approach to their overall risk assessment, KOC achieved a thorough, root cause analysis-based understanding of risks to their system as well as a detailed list of recommended remediation measures that were merged into a 5-year improvement plan. (author)
Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers
International Nuclear Information System (INIS)
Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry
2014-01-01
Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)
Oil and international security: old and new issues
International Nuclear Information System (INIS)
Noel, P.
1998-09-01
This paper questions the effectiveness of the link between international oil and (inter)national security. First, it explains an acknowledge the rise and decline of the traditional case of this link: the threat that so called ''oil dependence'' inflicts upon the national economy, hence the problem it raises for government. The reason for that decline is looked for in the emergence of multiple forms of competition in oil and energy markets, making the ''oil weapon'' less credible and its use less desirable and less possible. In oil like in any other commodity, the normal situation is the competition between suppliers for access to markets. For all basic economics tells us and experience has confirmed, analyses and policies (at least in France) are still strongly influenced by the ''oil security'' thinking shaped in the eve of the 1970 crises. Yet, those fallacies may be the strongest obstacle to the acknowledgment of the real oil security issues we face. The main one is the possible political destabilization of the Middle East due to oil competition between its members in an increasingly competitive world market. The consequences on regional antagonisms of the come back of Iraqi oil to the market on one hand, the internal stability of Iran and Saudi Arabia in a situation of lasting low oil revenues on the other hand, are reviewed as the main possible factors of regional destabilization in a context of strong competition in the world oil market. A large scale political burst with major oil producers concerned would certainly hurt developed and developing economy. That leads us to this paradoxical situation: the very cause of the decline of the traditional oil dependence issue is, due to Middle East situation, the main cause of possible destabilization of world oil market today. (author)
International Nuclear Information System (INIS)
Martin, J.; Hodson, P.
2010-01-01
In August 2005, a freight train derailment near the shore of Lake Wabamun near Edmonton, Alberta resulted in the release of nearly 150,000 litres of Bunker C oil on the lakeshore. The purpose of this study was to define the toxic load of oil in sediments to better describe the exposure and toxicity of fish to sunken heavy oil on spawning substrates. Heavy Bunker C fuel contains a complex mixture of polycyclic aromatic hydrocarbons (PAH), particularly the 3-4 ringed alkylated forms that cause sublethal toxic responses in early life stages of rainbow trout (Oncorhynchus mykiss). Oil patches still persist in near-shore sediments where fish spawn. This study evaluated how the behaviour of heavy oil in water interacts with exposure and toxicity to trout embryo. Flow-through oiled gravel columns were used to determine whether the toxic constituents of heavy oil are transferred to water quickly enough to cause toxicity. Embryonic trout exposed to the outflow of these columns showed signs of sublethal toxicity and dose-dependent mortality. In addition, column output of hydrocarbons and CYP1A induction in fish were flow-dependent. The desorption kinetics of the gravel column dosing was characterized in order to evaluate the toxicity of oil on these substrates and relate it back to toxicity of oil in sediments. The time to steady-state desorption of oil constituents in water was first determined, and then the rate at which different classes of oil constituents partition into water were identified.
Honarvar, Hossein; Hussein, Mahmoud I.
2018-05-01
The thermal conductivity of a freestanding single-crystal silicon membrane may be reduced significantly by attaching nanoscale pillars on one or both surfaces. Atomic resonances of the nanopillars form vibrons that intrinsically couple with the base membrane phonons causing mode hybridization and flattening at each coupling location in the phonon band structure. This in turn causes group velocity reductions of existing phonons, in addition to introducing new modes that get excited but are localized and do not transport energy. The nanopillars also reduce the phonon lifetimes at and around the hybridization zones. These three effects, which in principle may be tuned to take place across silicon's full spectrum, lead to a lowering of the in-plane thermal conductivity in the base membrane. Using equilibrium molecular dynamics simulations, and utilizing the concept of vibrons compensation, we report a staggering two orders of magnitude reduction in the thermal conductivity at room temperature by this mechanism. Specifically, a reduction of a factor of 130 is demonstrated for a roughly 10-nm-thick pillared membrane compared to a corresponding unpillared membrane. This amounts to a record reduction of a factor of 481 compared to bulk crystalline silicon and nearly a factor of 2 compared to bulk amorphous silicon. These results are obtained while providing a path for preserving performance with upscaling.
Use of porous silicon to minimize oxidation induced stacking fault defects in silicon
International Nuclear Information System (INIS)
Shieh, S.Y.; Evans, J.W.
1992-01-01
This paper presents methods for minimizing stacking fault defects, generated during oxidation of silicon, include damaging the back of the wafer or depositing poly-silicon on the back. In either case a highly defective structure is created and this is capable of gettering either self-interstitials or impurities which promote nucleation of stacking fault defects. A novel method of minimizing these defects is to form a patch of porous silicon on the back of the wafer by electrochemical etching. Annealing under inert gas prior to oxidation may then result in the necessary gettering. Experiments were carried out in which wafers were subjected to this treatment. Subsequent to oxidation, the wafers were etched to remove oxide and reveal defects. The regions of the wafer adjacent to the porous silicon patch were defect-free, whereas remote regions had defects. Deep level transient spectroscopy has been used to examine the gettering capability of porous silicon, and the paper discusses the mechanism by which the porous silicon getters
Applications in the oil sands industry for Particlear{sup R} silica microgel
Energy Technology Data Exchange (ETDEWEB)
Moffett, B. [DuPont Chemical Solutions Enterprise, Wilmington, DE (United States)
2009-07-01
This presentation demonstrated the use of Particlear{sup R} silica microgel in the oil sands industry. The silica-based coagulant is an amorphous silicon dioxide microgel solution. The surface area of a football field can be obtained using 2.7 grams of the substance. The coagulation mechanism is achieved by charge neutralization and inter-particle bridging. The microgel is manufactured at the point of use from commodity chemicals, water, and carbon dioxide (CO{sub 2}). Applications for the microgel include potable water treatment, paper retention, and animal processing wastewater. In the oil sands industry, Particlear{sup R} can be used in tailings flocculation, thickened tailings drying, steam assisted gravity drainage (SAGD) water treatment, and enhanced bitumen recovery. It was concluded that the microgel can be used in many oil sands processing and liquid-solid separation processes in order to remove dissolved solids and organics and increase the rate of solids dewatering. tabs., figs.
International Nuclear Information System (INIS)
Zhou, Youzheng; Wang, Zheyao; Wang, Chaonan; Ruan, Wenzhou; Liu, Litian
2009-01-01
This paper presents the design, fabrication and characterization of a silicon dioxide piezoresistive microcantilever immunosensor fabricated on silicon-on-insulator (SOI) wafers. The microcantilever consists of two strips of single crystalline silicon piezoresistors sandwiched in between two silicon dioxide layers. A theoretical model for the laminated microcantilever with a discontinuous layer is deduced using classic laminated beam theory. A two-step release method combining anisotropic and isotropic etching is developed to suspend the microcantilever, and the fabrication results show an excellent yield. The residual stress-induced free bending of the microcantilever and the stress caused by self-heating of the piezoresistors are discussed. The microcantilever sensor is characterized as an immunosensor using specific binding of antigen and antibody. These methods and some conclusions are also applicable to the development of other piezoresistive sensors that use laminated structures
Indentation fatigue in silicon nitride, alumina and silicon carbide ...
Indian Academy of Sciences (India)
Repeated indentation fatigue (RIF) experiments conducted on the same spot of different structural ceramics viz. a hot pressed silicon nitride (HPSN), sintered alumina of two different grain sizes viz. 1 m and 25 m, and a sintered silicon carbide (SSiC) are reported. The RIF experiments were conducted using a Vicker's ...
Removal of oil films from stainless steel tubes
Energy Technology Data Exchange (ETDEWEB)
Yan, J.F.; Saez, A.E.; Grant, C.S. [North Carolina State Univ., Raleigh, NC (United States). Dept. of Chemical Engineering
1997-01-01
The contamination of metal surfaces with oil is a widespread problem in the chemical, metalworking, and automotive industries. The main source of oil fouling comes from the process fluids in various operations. For example, in a heat exchanger, the oil contaminates the equipment surface causing a lower heat-transfer efficiency. The fouled equipment leads to increased costs due to added heat-transfer area, maintenance, energy, and production losses caused by unit downtime. The removal of oil films from the inner surface of a stainless steel tube cell using aqueous cleaning solutions was studied. The two oils used in the cleaning experiments, Sunquench 1042 and heavy mineral oil, contained P{sup 32} labeled tributyl phosphate (TBP) as a radioactive tracer. The {beta}{sup {minus}} particles emitted from the radioactive TBP were detected by a CaF{sub 2} scintillator and used as a measure of the amount of oil remaining in the tube cell. Cleaning experiments performed at different flow rates, surface treatment, and surfactant concentrations indicated that initially the oil films were removed rapidly. At the end of the experiments, the oil removal rate reduced significantly, eventually becoming negligible. The stainless steel morphology affected oil removal significantly, and the rougher tube tended to retard the oil removal. The rate and extent of the decontamination were significantly increased in the presence of sodium dodecyl sulfate, a nonionic surfactant. Experimental data were compared to a hydrodynamic model based on the removal of a liquid contaminant from a solid surface by an immiscible fluid. The model deviated from the experimental data due to the presence of instabilities at the oil-water interface.
Integrating Soil Silicon Amendment into Management Programs for Insect Pests of Drill-Seeded Rice.
Villegas, James M; Way, Michael O; Pearson, Rebecca A; Stout, Michael J
2017-08-13
Silicon soil amendment has been shown to enhance plant defenses against insect pests. Rice is a silicon-accumulating graminaceous plant. In the southern United States, the rice water weevil and stem borers are important pests of rice. Current management tactics for these pests rely heavily on the use of insecticides. This study evaluated the effects of silicon amendment when combined with current management tactics for these rice insect pests in the field. Field experiments were conducted from 2013 to 2015. Rice was drill-planted in plots subjected to factorial combinations of variety (conventional and hybrid), chlorantraniliprole seed treatment (treated and untreated), and silicon amendment (treated and untreated). Silicon amendment reduced densities of weevil larvae on a single sampling date in 2014, but did not affect densities of whiteheads caused by stem borers. In contrast, insecticidal seed treatment strongly reduced densities of both weevil larvae and whiteheads. Higher densities of weevil larvae were also observed in the hybrid variety in 2014, while higher incidences of whiteheads were observed in the conventional variety in 2014 and 2015. Silicon amendment improved rice yields, as did chlorantraniliprole seed treatment and use of the hybrid variety.
Silicon web process development
Duncan, C. S.; Seidensticker, R. G.; Mchugh, J. P.; Skutch, M. E.; Driggers, J. M.; Hopkins, R. H.
1981-01-01
The silicon web process takes advantage of natural crystallographic stabilizing forces to grow long, thin single crystal ribbons directly from liquid silicon. The ribbon, or web, is formed by the solidification of a liquid film supported by surface tension between two silicon filaments, called dendrites, which border the edges of the growing strip. The ribbon can be propagated indefinitely by replenishing the liquid silicon as it is transformed to crystal. The dendritic web process has several advantages for achieving low cost, high efficiency solar cells. These advantages are discussed.
A fault-tolerant addressable spin qubit in a natural silicon quantum dot
Takeda, Kenta; Kamioka, Jun; Otsuka, Tomohiro; Yoneda, Jun; Nakajima, Takashi; Delbecq, Matthieu R.; Amaha, Shinichi; Allison, Giles; Kodera, Tetsuo; Oda, Shunri; Tarucha, Seigo
2016-01-01
Fault-tolerant quantum computing requires high-fidelity qubits. This has been achieved in various solid-state systems, including isotopically purified silicon, but is yet to be accomplished in industry-standard natural (unpurified) silicon, mainly as a result of the dephasing caused by residual nuclear spins. This high fidelity can be achieved by speeding up the qubit operation and/or prolonging the dephasing time, that is, increasing the Rabi oscillation quality factor Q (the Rabi oscillation decay time divided by the π rotation time). In isotopically purified silicon quantum dots, only the second approach has been used, leaving the qubit operation slow. We apply the first approach to demonstrate an addressable fault-tolerant qubit using a natural silicon double quantum dot with a micromagnet that is optimally designed for fast spin control. This optimized design allows access to Rabi frequencies up to 35 MHz, which is two orders of magnitude greater than that achieved in previous studies. We find the optimum Q = 140 in such high-frequency range at a Rabi frequency of 10 MHz. This leads to a qubit fidelity of 99.6% measured via randomized benchmarking, which is the highest reported for natural silicon qubits and comparable to that obtained in isotopically purified silicon quantum dot–based qubits. This result can inspire contributions to quantum computing from industrial communities. PMID:27536725
Pigouvian penalty for oil spills
International Nuclear Information System (INIS)
Kohn, R.E.
1993-01-01
The imposition of ex ante taxes on expected spilled oil, in addition to ex post payments for damages under tort liability, would foster economic efficiency. This paper begins the analysis of the joint approach with the case in which Pigouvian taxes are used exclusively. A model is developed in which the volume of spilled oil causing environmental damage is reduced, first by spill prevention expenditures by shippers and then by clean-up expenditures by the government. The efficient Pigouvian tax on expected spilled oil equals marginal environmental damage which equals the net marginal cost of prevention which equals marginal clean-up cost. (Author)
Using Polymer Alternating Gas to Enhance Oil Recovery in Heavy Oil
Yang, Yongzhi; Li, Weirong; Zhou, Tiyao; Dong, Zhenzhen
2018-02-01
CO2 has been used to recover oil for more than 40 years. Currently, about 43% of EOR production in U.S. is from CO2 flooding. CO2 flooding is a well-established EOR technique, but its density and viscosity nature are challenges for CO2 projects. Low density (0.5 to 0.8 g/cm3) causes gas to rise upward in reservoirs and bypass many lower portions of the reservoir. Low viscosity (0.02 to 0.08 cp) leads to poor volumetric sweep efficiency. So water-alternating-gas (WAG) method was used to control the mobility of CO2 and improve sweep efficiency. However, WAG process has some other problems in heavy oil reservoir, such as poor mobility ratio and gravity overriding. To examine the applicability of carbon dioxide to recover viscous oil from highly heterogeneous reservoirs, this study suggests a new EOR method--polymer-alternating gas (PAG) process. The process involves a combination of polymer flooding and CO2 injection. To confirm the effectiveness of PAG process in heavy oils, a reservoir model from Liaohe Oilfield is used to compare the technical and economic performance among PAG, WAG and polymer flooding. Simulation results show that PAG method would increase oil recovery over 10% compared with other EOR methods and PAG would be economically success based on assumption in this study. This study is the first to apply PAG to enhance oil recovery in heavy oil reservoir with highly heterogeneous. Besides, this paper provides detailed discussions and comparison about PAG with other EOR methods in this heavy oil reservoir.
International Nuclear Information System (INIS)
Hezel, R.; Streb, W.
1985-01-01
Silicon oxynitride films about 5 nm in thickness were prepared by simultaneously implanting 5 keV oxygen and nitrogen ions into silicon at room temperature up to saturation. These films with concentrations ranging from pure silicon oxide to silicon nitride were characterized using Auger electron spectroscopy, electron energy loss spectroscopy and depth-concentration profiling. The different behaviour of the silicon oxynitride films compared with those of silicon oxide and silicon nitride with regard to thermal stability and hardness against electron and argon ion irradiation is pointed out. (Auth.)
Erythema multiforme due to contact with laurel oil.
Athanasiadis, G I; Pfab, F; Klein, A; Braun-Falco, M; Ring, J; Ollert, M
2007-08-01
Erythema multiforme is a relatively common skin disorder. The best known cause is herpes simplex virus infection. We report the first case of erythema multiforme due to contact with laurel oil. The diagnosis was confirmed by the positive patch test to laurel oil, the histopathological studies of the lesions and the histopathological studies of the positive patch test to laurel oil.
Delay oil oxidation during frying process
International Nuclear Information System (INIS)
Atta, N.M.M.; Shams Eldin, N.M.M.
2010-01-01
Blend oil (mixed of refined sunflower and soy beans oils 1:1 w/w) containing add 200 ppm of rosemary leaves methanolic extract (rosemary extract) (RE) and 3% refined rice bran oil (RRBO), were used in frying process at 1800 degree c for 5 hrs/ day, four consecutive days to delay oil oxidation during frying. Therefore, rosemary extract (methanolic extract) was analyzed by HPLC technique for identification of flavonoids compounds (as a specific active compounds; gives high protection to frying oil). Physical and chemical properties, including refractive index(RI). Red color unit (R), viscosity, acidity (FFA), peroxide value (PV), iodine value (IV) oxidized fatty acid (OFA), polymer content (PC), total polar components (TPC) and trans fatty acid (TFA) as eliadic acid were determined. The results indicated that; rosemary extract contained about eight flavonoids compounds (hypersoid, rutin, 3-OH flavon, luleotin, kempferol, sakarutin, querectrin and apeginin). Addition of RE or RRBO to frying oil caused delay oil oxidation during frying process compared with frying oil without any addition. Also, the results indicated that rosemary extract was more effective in reducing formation of PV, FFA, OFA, PC, TPC and TFA in frying oil than refined rice bran oil
Ex-USSR: Oil exporter or importer?
International Nuclear Information System (INIS)
Khartukov, E.M.
1993-01-01
Political disintegration and economic perestroika in the former Soviet Union (FSU) have major international ramifications and some of these can be found in the oil sector. An overview is presented of the FSU oil industry, including the conditions preceding and following the breakup. Early effects of the breakup included shortages of liquid fuels and the introduction of a strict export quota and license system. The likelihood of disruptions in oil exports, caused by political clashes between Russia and the other oil-transporting republics is low. Rapid decentralization of the Soviet oil trade is likely to bring forth currency-starved national exporters that may add substantially to international market competition and further undercut unstable world oil prices. In the short term, the FSU oil industry will suffer from inevitable imbalances which will manifest themselves in regional oil shortages and gluts spreading towards neighbouring international markets. In the medium and longer term the cooperating parts will become more self-reliant or infrastructurally independent and will speed natural growth and integration into neighbouring petroleum markets. Crude oil and oil product balances of the FSU republics are presented. 2 refs., 2 figs., 7 tabs
[Effects of silicon on the ultrastructures of wheat radical cells under copper stress].
Zhang, Dai-Jing; Ma, Jian-Hui; Yang, Shu-Fang; Chen, Hui-Ting; Liu, Pei; Wang, Wen-Fei; Li, Chun-Xi
2014-08-01
To explore the alleviation effect of silicon on wheat growth under copper stress, cultivar Aikang 58 was chosen as the experimental material. The growth, root activities and root tip ultrastructures of wheat seedlings, which were cultured in Hoagland nutrient solution with five different treatments (control, 15 mg x L(-1) Cu2+, 30 mg x L(-1) Cu2+, 15 mg x L(-1) Cu2+ and 50 mg x L(-1) silicon, 30 mg x L(-1) Cu2+ and 50 mg x L(-1) silicon), were fully analyzed. The results showed that root length, plant height and root activities of wheat seedlings were significantly restrained under the copper treatments compared with the control (P effects were alleviated after adding silicon to copper-stress Hoagland nutrient solution. Under copper stress, the cell wall and cell membrane of wheat seedling root tips suffered to varying degrees of destruction, which caused the increase of intercellular space and the disappearance of some organelles. After adding silicon, the cell structure was maintained intact, although some cells and organelles were still slightly deformed compared with the control. In conclusion, exogenous silicon could alleviate the copper stress damages on wheat seedlings and cellular components to some extent.
Selecting protective gloves for oil spill response and cleanup
International Nuclear Information System (INIS)
McDermott, H.J.
1993-01-01
Oil spill responders and cleanup workers must be provided with gloves that prevent skin contact while permitting them to do their job safely and efficiently. Glove selection is largely based on professional judgment, considering permeation, resistance to puncture and abrasion, and whether the material gets slick when coated with oil. This paper consolidates the most useful information from various studies and presents a selection rationale. In general, we found neoprene, polyvinyl chloride (PVC), and nitrile to be the glove materials of choice for protection in oil spills. The skin toxicity potential for most petroleum materials encountered in a spill is low. Some fresh crudes may contain hydrocarbon molecules that may penetrate the skin and cause some systemic toxicity with high enough exposure. However, as crude weathers, the more volatile hydrocarbons evaporate rapidly, leaving behind the heavier fraction, which often contains polynuclear aromatic (PNA) compounds. Some PNAs have caused skin cancer in animals after prolonged and repeated contact. As a reference, most weathered crude is similar to used motor oil in skin toxicity; prolonged and repeated skin contact should be avoided, but there is no cause for concern if some gets on the skin. The typical skin problems from excessive skin contact are drying and cracking from the defatting action of the oil itself or from the soap or hand cleaners used to remove the oil, and pustules (similar to boils) if the oil plugs the sweat glands in the skin
Irradiation of electron with high energy induced micro-crystallization of amorphous silicon
International Nuclear Information System (INIS)
Zhong Yule; Huang Junkai; Liu Weiping; Li Jingna
2001-01-01
Amorphous silicon is amorphous alloy of Si-H. It is random network of silicon with some hydrogen. And its structure has many unstable bonds as weak bonds of Si-Si and distortion bonds of all kinds. The bonds was broken or was out of shape by light and electrical ageing. It induced increase of defective state that causes character of material going to bad. This drawback will be overcome after micro-crystallization of amorphous silicon. It was discovered that a-Si:H was micro-crystallized by irradiated of electrons with energy of 0.3-0.5 MeV, density of electronic beam of 1.3 x 10 19 cm -1 s -1 and irradiated time of 10-600 s. Size of grain is 10-20 nm. Thick of microcrystalline lager is 25-250 nm
Impact of oil price shocks on selected macroeconomic variables in Nigeria
International Nuclear Information System (INIS)
Iwayemi, Akin; Fowowe, Babajide
2011-01-01
The impact of oil price shocks on the macroeconomy has received a great deal of attention since the 1970 s. Initially, many empirical studies found a significant negative effect between oil price shocks and GDP but more recently, empirical studies have reported an insignificant relationship between oil shocks and the macroeconomy. A key feature of existing research is that it applies predominantly to advanced, oil-importing countries. For oil-exporting countries, different conclusions are expected but this can only be ascertained empirically. This study conducts an empirical analysis of the effects of oil price shocks on a developing country oil-exporter - Nigeria. Our findings showed that oil price shocks do not have a major impact on most macroeconomic variables in Nigeria. The results of the Granger-causality tests, impulse response functions, and variance decomposition analysis all showed that different measures of linear and positive oil shocks have not caused output, government expenditure, inflation, and the real exchange rate. The tests support the existence of asymmetric effects of oil price shocks because we find that negative oil shocks significantly cause output and the real exchange rate. (author)
Silicon germanium mask for deep silicon etching
Serry, Mohamed
2014-07-29
Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.
Silicon germanium mask for deep silicon etching
Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad
2014-01-01
Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.
Evanescent field phase shifting in a silicon nitride waveguide using a coupled silicon slab
DEFF Research Database (Denmark)
Jensen, Asger Sellerup; Oxenløwe, Leif Katsuo; Green, William M. J.
2015-01-01
An approach for electrical modulation of low-loss silicon nitride waveguides is proposed, using a silicon nitride waveguide evanescently loaded with a thin silicon slab. The thermooptic phase-shift characteristics are investigated in a racetrack resonator configuration....
Experimental study on immiscible jet breakup using refractive index matched oil-water pair
Xue, Xinzhi; Katz, Joseph
2016-11-01
A subsea oil well blowout creates an immiscible crude oil jet. This jet fragments shortly after injection, resulting in generation of a droplet cloud. Detailed understanding of the processes involved is crucial for modeling the fragmentation and for predicting the droplet size distribution. High density of opaque droplets near nozzle limits our ability to visualize and quantify the breakup process. To overcome this challenge, two immiscible fluids: silicone oil and sugar water with the same index of refraction (1.4015) are used as surrogates for crude oil and seawater, respectively. Their ratios of kinematic viscosity (5.64), density (0.83) and interfacial tension are closely matched with those of crude oil and seawater. Distribution of the oil phase is visualized by fluorescent tagging. Both phases are also seeded with particles for simultaneous PIV measurements. The measurements are performed within atomization range of Ohnesorge and Reynolds numbers. Index matching facilitates undistorted view of the phase distribution in illuminated section. Ongoing tests show that the jet surface initially rolls up into Kelvin-Helmholtz rings, followed by development of dispersed phase ligaments further downstream, which then break into droplets. Some of these droplets are re-entrained into the high momentum core, resulting in secondary breakup. As the oil layer and ligaments evolve, they often entrain water, resulting in generation of multiple secondary water droplets encapsulated within the oil droplets. This research is made possible by a Grant from Gulf of Mexico Research Initiative.
Effect of chain extender on properties of silicone rubber sealant
Liu, Jiesheng; Wu, Shaopeng; Mi, Yixuan; Zhu, Guojun; Zheng, Shaoping
2010-03-01
The room-temperature vulcanizing silicone rubber sealant was prepared with chain extender. The effect of chain extender on the properties of silicone rubber sealant was discussed. The composite samples with chain extender were investigated from the aspects of tack-free time, cross-linkage density, hardness (penetration number) and adhesive strength with the concrete slab. It was found that the adding of the chain extender effectively makes the molecular chain length increase and causes the mechanical properties improvement. In addition, the increase in the amount of the chain extender reduces the cross-linkage density and hardness of silicone rubber sealant, which is accompanied with a decrease in the tack-free time. Adhesive strength is one of the most important requirements for sealant. The effect of chain extender on the adhesive strength was also investigated in this study. It was found that the increase in the amount of the chain extender makes the adhesive strength between the sealant and the concrete slab decrease.
Selective formation of porous silicon
Fathauer, Robert W. (Inventor); Jones, Eric W. (Inventor)
1993-01-01
A pattern of porous silicon is produced in the surface of a silicon substrate by forming a pattern of crystal defects in said surface, preferably by applying an ion milling beam through openings in a photoresist layer to the surface, and then exposing said surface to a stain etchant, such as HF:HNO3:H2O. The defected crystal will preferentially etch to form a pattern of porous silicon. When the amorphous content of the porous silicon exceeds 70 percent, the porous silicon pattern emits visible light at room temperature.
Modulation Doping of Silicon using Aluminium-induced Acceptor States in Silicon Dioxide
K?nig, Dirk; Hiller, Daniel; Gutsch, Sebastian; Zacharias, Margit; Smith, Sean
2017-01-01
All electronic, optoelectronic or photovoltaic applications of silicon depend on controlling majority charge carriers via doping with impurity atoms. Nanoscale silicon is omnipresent in fundamental research (quantum dots, nanowires) but also approached in future technology nodes of the microelectronics industry. In general, silicon nanovolumes, irrespective of their intended purpose, suffer from effects that impede conventional doping due to fundamental physical principles such as out-diffusi...
Oil boycott and the political economy
International Nuclear Information System (INIS)
Katouzian, H.
1988-01-01
The severe foreign exchange shortage caused by the loss of oil revenues forced Musaddiq's government to adopt the strategy of non-oil economics. This was not a coherent and comprehensive policy framework, but its different strands tended to complement and reinforce each other. Hence by August 1953 the balance of payments was on a steady course, and the domestic economy was under control. This paper gives a brief review of the background of the oil boycott and a discussion of the search for a solution
Optical property of silicon quantum dots embedded in silicon nitride by thermal annealing
Energy Technology Data Exchange (ETDEWEB)
Kim, Baek Hyun, E-mail: bhkim@andrew.cmu.ed [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Davis, Robert F. [Department of Materials Science and Engineering, Carnegie Mellon University, Pittsburgh, PA 15213, United Sates (United States); Park, Seong-Ju [Nanophotonic Semiconductors Laboratory, Department of Materials Science and Engineering, Gwangju Institute of Science and Technology, Gwangju, 500-712 (Korea, Republic of)
2010-01-01
We present the effects on the thermal annealing of silicon quantum dots (Si QDs) embedded in silicon nitride. The improved photoluminescence (PL) intensities and the red-shifted PL spectra were obtained with annealing treatment in the range of 700 to 1000 {sup o}C. The shifts of PL spectra were attributed to the increase in the size of Si QDs. The improvement of the PL intensities was also attributed to the reduction of point defects at Si QD/silicon nitride interface and in the silicon nitride due to hydrogen passivation effects.
Silicon photonics fundamentals and devices
Deen, M Jamal
2012-01-01
The creation of affordable high speed optical communications using standard semiconductor manufacturing technology is a principal aim of silicon photonics research. This would involve replacing copper connections with optical fibres or waveguides, and electrons with photons. With applications such as telecommunications and information processing, light detection, spectroscopy, holography and robotics, silicon photonics has the potential to revolutionise electronic-only systems. Providing an overview of the physics, technology and device operation of photonic devices using exclusively silicon and related alloys, the book includes: * Basic Properties of Silicon * Quantum Wells, Wires, Dots and Superlattices * Absorption Processes in Semiconductors * Light Emitters in Silicon * Photodetectors , Photodiodes and Phototransistors * Raman Lasers including Raman Scattering * Guided Lightwaves * Planar Waveguide Devices * Fabrication Techniques and Material Systems Silicon Photonics: Fundamentals and Devices outlines ...
International Nuclear Information System (INIS)
Gardelis, S; Gianneta, V.; Nassiopoulou, A.G
2016-01-01
We report on a 20-fold enhancement of the integrated photoluminescence (PL) emission of silicon nanocrystals, embedded in a matrix of silicon dioxide, induced by excited surface plasmons from silver nanoparticles, which are located in the vicinity of the silicon nanocrystals and separated from them by a silicon dioxide layer of a few nanometers. The electric field enhancement provided by the excited surface plasmons increases the absorption cross section and the emission rate of the nearby silicon nanocrystals, resulting in the observed enhancement of the photoluminescence, mainly attributed to a 20-fold enhancement in the emission rate of the silicon nanocrystals. The observed remarkable improvement of the PL emission makes silicon nanocrystals very useful material for photonic, sensor and solar cell applications.
International Nuclear Information System (INIS)
Liscom, W.L.
1991-01-01
Geopolitics can inject a great deal of uncertainty and cause fundamental shifts in the overall direction of oil markets, which would otherwise act in a fairly predictable and stable manner. The Iraqi invasion of Kuwait and the response of the USA were definitely linked with oil, and the aftermath of the invasion left four geopolitical issues affecting world oil markets. The provision authorizing $1.6 billion in Iraqi oil exports under the United Nations sanctions was imposed with little concern about the potential impact of these exports on the oil market; Iraq could export as much as 1 million bbl/d and it is unlikely that exports would be stopped once the $1.6 billion limit is reached. By making up most of the supply shortfall during the Kuwait crisis, Saudi Arabia suddenly became the producer of over a third of OPEC oil supplies and now dominates OPEC. The Saudis have indicated it will swing production according to world demand, irrespective of what OPEC wants, so that world oil demand will return strongly and remain. Middle East politics in general will determine the stability of oil supplies in the region for many of the countries. A producer-consumer dialogue at the high governmental level has started, with a view to some type of multilateral understanding in the light of mutual interests in secure oil supplies. This is not likely to have a big impact on oil markets without participation and support from the USA. The recent changes in the Soviet Union have potential impacts in regard to the attraction of that market for Western investment, in particular to assist exports. The worldwide environmental movement will also play a geopolitical role in the world oil market due to its influence on oil taxation policies
Energy Technology Data Exchange (ETDEWEB)
NONE
2004-07-01
This essay talks about the responsibility of the agents that cause damage to the environment, both civil liability, as well as criminal and administrative responsibility. It analyzes the most important brazilian juridical rules, emphasizing the National Environmental Politics Law, the Brazilian Federal Republic Constitution and the Environmental Crimes Law. Specially, due to the amount and importance of the oil and gas activities in Brazil, it talks about the environmental responsibility, in the above mentioned fields, related to damage resulting from the Oil and Gas Industry's activities. It focuses the rules that rule this subject in the brazilian juridical system, emphasizing the cases of environmental damage resulting from oil spills in Brazil and the probable juridical consequences to the agents responsible for this damage. (author)
International Nuclear Information System (INIS)
Hamm, Keith
1992-01-01
The two major political events of 1991 produced a much less dramatic reaction in the global oil industry than might have been expected. The economic dislocation in the former USSR caused oil production to fall sharply but this was largely offset by a concurrent fall in demand. Within twelve months of the invasion of Kuwait, crude oil prices had returned to their pre-invasion level; there was no shortage of supply due to the ability of some producers to boost their output rapidly. Details are given of world oil production and developments in oil demand. Demand stagnated in 1991 due to mainly to the economic chaos in the former USSR and a slowdown in sales in the USA; this has produced problems for the future of the refining industry. By contrast, the outlook for the natural gas industry is much more buoyant. Most clean air or carbon emissions legislation is designed to promote the use of gas rather than other hydrocarbons. World gas production rose by 1.5% in 1991; details by production on a country by country basis are given. (UK)
Direct Production of Silicones From Sand
Energy Technology Data Exchange (ETDEWEB)
Larry N. Lewis; F.J. Schattenmann: J.P. Lemmon
2001-09-30
Silicon, in the form of silica and silicates, is the second most abundant element in the earth's crust. However the synthesis of silicones (scheme 1) and almost all organosilicon chemistry is only accessible through elemental silicon. Silicon dioxide (sand or quartz) is converted to chemical-grade elemental silicon in an energy intensive reduction process, a result of the exceptional thermodynamic stability of silica. Then, the silicon is reacted with methyl chloride to give a mixture of methylchlorosilanes catalyzed by cooper containing a variety of tract metals such as tin, zinc etc. The so-called direct process was first discovered at GE in 1940. The methylchlorosilanes are distilled to purify and separate the major reaction components, the most important of which is dimethyldichlorosilane. Polymerization of dimethyldichlorosilane by controlled hydrolysis results in the formation of silicone polymers. Worldwide, the silicones industry produces about 1.3 billion pounds of the basic silicon polymer, polydimethylsiloxane.
Flexible Thermoelectric Generators on Silicon Fabric
Sevilla, Galo T.
2012-11-01
In this work, the development of a Thermoelectric Generator on Flexible Silicon Fabric is explored to extend silicon electronics for flexible platforms. Low cost, easily deployable plastic based flexible electronics are of great interest for smart textile, wearable electronics and many other exciting applications. However, low thermal budget processing and fundamentally limited electron mobility hinders its potential to be competitive with well established and highly developed silicon technology. The use of silicon in flexible electronics involve expensive and abrasive materials and processes. In this work, high performance flexible thermoelectric energy harvesters are demonstrated from low cost bulk silicon (100) wafers. The fabrication of the micro- harvesters was done using existing silicon processes on silicon (100) and then peeled them off from the original substrate leaving it for reuse. Peeled off silicon has 3.6% thickness of bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. The demonstrated generic batch processing shows a pragmatic way of peeling off a whole silicon circuitry after conventional fabrication on bulk silicon wafers for extremely deformable high performance integrated electronics. In summary, by using a novel, low cost process, this work has successfully integrated existing and highly developed fabrication techniques to introduce a flexible energy harvester for sustainable applications.
Subwavelength silicon photonics
International Nuclear Information System (INIS)
Cheben, P.; Bock, P.J.; Schmid, J.H.; Lapointe, J.; Janz, S.; Xu, D.-X.; Densmore, A.; Delage, A.; Lamontagne, B.; Florjanczyk, M.; Ma, R.
2011-01-01
With the goal of developing photonic components that are compatible with silicon microelectronic integrated circuits, silicon photonics has been the subject of intense research activity. Silicon is an excellent material for confining and manipulating light at the submicrometer scale. Silicon optoelectronic integrated devices have the potential to be miniaturized and mass-produced at affordable cost for many applications, including telecommunications, optical interconnects, medical screening, and biological and chemical sensing. We review recent advances in silicon photonics research at the National Research Council Canada. A new type of optical waveguide is presented, exploiting subwavelength grating (SWG) effect. We demonstrate subwavelength grating waveguides made of silicon, including practical components operating at telecom wavelengths: input couplers, waveguide crossings and spectrometer chips. SWG technique avoids loss and wavelength resonances due to diffraction effects and allows for single-mode operation with direct control of the mode confinement by changing the refractive index of a waveguide core over a range as broad as 1.6 - 3.5 simply by lithographic patterning. The light can be launched to these waveguides with a coupling loss as small as 0.5 dB and with minimal wavelength dependence, using coupling structures similar to that shown in Fig. 1. The subwavelength grating waveguides can cross each other with minimal loss and negligible crosstalk which allows massive photonic circuit connectivity to overcome the limits of electrical interconnects. These results suggest that the SWG waveguides could become key elements for future integrated photonic circuits. (authors)
Directory of Open Access Journals (Sweden)
Valentyna Krashevska
Full Text Available Large areas of tropical rainforest are being converted to agricultural and plantation land uses, but little is known of biodiversity and ecological functioning under these replacement land uses. We investigated the effects of conversion of rainforest into jungle rubber, intensive rubber and oil palm plantations on testate amoebae, diverse and functionally important protists in litter and soil. Living testate amoebae species richness, density and biomass were all lower in replacement land uses than in rainforest, with the impact being more pronounced in litter than in soil. Similar abundances of species of high and low trophic level in rainforest suggest that trophic interactions are more balanced, with a high number of functionally redundant species, than in rubber and oil palm. In contrast, plantations had a low density of high trophic level species indicating losses of functions. This was particularly so in oil palm plantations. In addition, the relative density of species with siliceous shells was >50% lower in the litter layer of oil palm and rubber compared to rainforest and jungle rubber. This difference suggests that rainforest conversion changes biogenic silicon pools and increases silicon losses. Overall, the lower species richness, density and biomass in plantations than in rainforest, and the changes in the functional composition of the testate amoebae community, indicate detrimental effects of rainforest conversion on the structure and functioning of microbial food webs.
Krashevska, Valentyna; Klarner, Bernhard; Widyastuti, Rahayu; Maraun, Mark; Scheu, Stefan
2016-01-01
Large areas of tropical rainforest are being converted to agricultural and plantation land uses, but little is known of biodiversity and ecological functioning under these replacement land uses. We investigated the effects of conversion of rainforest into jungle rubber, intensive rubber and oil palm plantations on testate amoebae, diverse and functionally important protists in litter and soil. Living testate amoebae species richness, density and biomass were all lower in replacement land uses than in rainforest, with the impact being more pronounced in litter than in soil. Similar abundances of species of high and low trophic level in rainforest suggest that trophic interactions are more balanced, with a high number of functionally redundant species, than in rubber and oil palm. In contrast, plantations had a low density of high trophic level species indicating losses of functions. This was particularly so in oil palm plantations. In addition, the relative density of species with siliceous shells was >50% lower in the litter layer of oil palm and rubber compared to rainforest and jungle rubber. This difference suggests that rainforest conversion changes biogenic silicon pools and increases silicon losses. Overall, the lower species richness, density and biomass in plantations than in rainforest, and the changes in the functional composition of the testate amoebae community, indicate detrimental effects of rainforest conversion on the structure and functioning of microbial food webs.
Tsai, Tzu-Hsuan; Shih, Yu-Pei; Wu, Yung-Fu
2013-05-01
The growing demand for silicon solar cells in the global market has greatly increased the amount of silicon sawing waste produced each year. Recycling kerf Si and SiC from sawing waste is an economical method to reduce this waste. This study reports the separation of Si and SiC using a ramp settling tank. As they settle in an electrical field, small Si particles with higher negative charges have a longer horizontal displacement than SiC particles in a solution of pH 7, resulting in the separation of Si and SiC. The agreement between experimental results and predicted results shows that the particles traveled a short distance to reach the collection port in the ramp tank. Consequently, the time required for tiny particles to hit the tank bottom decreased, and the interference caused by the dispersion between particles and the fluid motion during settling decreased. In the ramp tank, the highest purities of the collected SiC and Si powders were 95.2 and 7.01 wt%, respectively. Using a ramp tank, the recycling fraction of Si-rich powders (SiC tanks. Recycling Si and SiC abrasives from the silicon sawing waste is regarded as an economical solution to reduce the sawing waste. However, the separation of Si and SiC is difficult. This study reports the separation of Si and SiC using a ramp settling tank under an applied electrical field. As they settle in an electrical field, small Si particles with higher negative charges have a longer horizontal displacement than SiC particles in a solution of pH 7, resulting in the separation of Si and SiC. Compared with the rectangular tanks, the recycling fraction of Si-rich powders using a ramp tank is greater, and the proposed ramp settling tank is more suitable for industrial applications.
Silicon photonic integration in telecommunications
Directory of Open Access Journals (Sweden)
Christopher Richard Doerr
2015-08-01
Full Text Available Silicon photonics is the guiding of light in a planar arrangement of silicon-based materials to perform various functions. We focus here on the use of silicon photonics to create transmitters and receivers for fiber-optic telecommunications. As the need to squeeze more transmission into a given bandwidth, a given footprint, and a given cost increases, silicon photonics makes more and more economic sense.
Silicon microphones - a Danish perspective
DEFF Research Database (Denmark)
Bouwstra, Siebe; Storgaard-Larsen, Torben; Scheeper, Patrick
1998-01-01
Two application areas of microphones are discussed, those for precision measurement and those for hearing instruments. Silicon microphones are under investigation for both areas, and Danish industry plays a key role in both. The opportunities of silicon, as well as the challenges and expectations......, are discussed. For precision measurement the challenge for silicon is large, while for hearing instruments silicon seems to be very promising....
International Nuclear Information System (INIS)
Mohammad Poure Daryaei, N.
2000-01-01
Caspian Sea is the biggest lake in the world. It is almost F-shape and located between five Countries of Iran, Turkmenistan, Russia, Azarbayjohn, Ghazaghestan. Un fortunately, in the different region of the sea there are highly contaminated oil, in addition with other source of pollutants such as: agricultural, industrial and domestic pollution, which causes to eliminate the natural habitats of aquatic life and thus, the Caspian sea with all of the valuable natural sources of foods and energy is close to be destroyed. This paper studies the pollution by oil industry which causes the elimination of aquatic life and natural ecosystem, as well as, necessary plan to over come the present situation
Integrated silicon optoelectronics
Zimmermann, Horst
2000-01-01
'Integrated Silicon Optoelectronics'assembles optoelectronics and microelectronics The book concentrates on silicon as the major basis of modern semiconductor devices and circuits Starting from the basics of optical emission and absorption and from the device physics of photodetectors, the aspects of the integration of photodetectors in modern bipolar, CMOS, and BiCMOS technologies are discussed Detailed descriptions of fabrication technologies and applications of optoelectronic integrated circuits are included The book, furthermore, contains a review of the state of research on eagerly expected silicon light emitters In order to cover the topic of the book comprehensively, integrated waveguides, gratings, and optoelectronic power devices are included in addition Numerous elaborate illustrations promote an easy comprehension 'Integrated Silicon Optoelectronics'will be of value to engineers, physicists, and scientists in industry and at universities The book is also recommendable for graduate students speciali...
Energy Technology Data Exchange (ETDEWEB)
Franta, Benjamin, E-mail: bafranta@gmail.com; Pastor, David; Gandhi, Hemi H.; Aziz, Michael J.; Mazur, Eric [School of Engineering and Applied Sciences, Harvard University, Cambridge, Massachusetts 02138 (United States); Rekemeyer, Paul H.; Gradečak, Silvija [Department of Materials Science and Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)
2015-12-14
Hyperdoped black silicon fabricated with femtosecond laser irradiation has attracted interest for applications in infrared photodetectors and intermediate band photovoltaics due to its sub-bandgap optical absorptance and light-trapping surface. However, hyperdoped black silicon typically has an amorphous and polyphasic polycrystalline surface that can interfere with carrier transport, electrical rectification, and intermediate band formation. Past studies have used thermal annealing to obtain high crystallinity in hyperdoped black silicon, but thermal annealing causes a deactivation of the sub-bandgap optical absorptance. In this study, nanosecond laser annealing is used to obtain high crystallinity and remove pressure-induced phases in hyperdoped black silicon while maintaining high sub-bandgap optical absorptance and a light-trapping surface morphology. Furthermore, it is shown that nanosecond laser annealing reactivates the sub-bandgap optical absorptance of hyperdoped black silicon after deactivation by thermal annealing. Thermal annealing and nanosecond laser annealing can be combined in sequence to fabricate hyperdoped black silicon that simultaneously shows high crystallinity, high above-bandgap and sub-bandgap absorptance, and a rectifying electrical homojunction. Such nanosecond laser annealing could potentially be applied to non-equilibrium material systems beyond hyperdoped black silicon.
Levin, Harry (Inventor)
1987-01-01
A reactor apparatus (10) adapted for continuously producing molten, solar grade purity elemental silicon by thermal reaction of a suitable precursor gas, such as silane (SiH.sub.4), is disclosed. The reactor apparatus (10) includes an elongated reactor body (32) having graphite or carbon walls which are heated to a temperature exceeding the melting temperature of silicon. The precursor gas enters the reactor body (32) through an efficiently cooled inlet tube assembly (22) and a relatively thin carbon or graphite septum (44). The septum (44), being in contact on one side with the cooled inlet (22) and the heated interior of the reactor (32) on the other side, provides a sharp temperature gradient for the precursor gas entering the reactor (32) and renders the operation of the inlet tube assembly (22) substantially free of clogging. The precursor gas flows in the reactor (32) in a substantially smooth, substantially axial manner. Liquid silicon formed in the initial stages of the thermal reaction reacts with the graphite or carbon walls to provide a silicon carbide coating on the walls. The silicon carbide coated reactor is highly adapted for prolonged use for production of highly pure solar grade silicon. Liquid silicon (20) produced in the reactor apparatus (10) may be used directly in a Czochralski or other crystal shaping equipment.
PAT and SEM study of porous silicon formed by anodization methods
International Nuclear Information System (INIS)
Liu Jian; Wei Long; Wang Huiyao; Ma Chuangxin; Wang Baoyi
2000-01-01
The porous silicon formed by anodization of crystal silicon was studied by positron annihilation technique (PAT) and scanning electron microscopy (SEM). The PAT experiments showed that the mean life and vacancy defects increased with the increasing anodization time. While the intensities of the longest lifetime, several ns-tens ns (ortho-positronium) dropped down. Small single-crystal Si spheres with mean radius of a few μm were observed by SEM after anodization. Pits with mean radius of a few μm from the divorcement of single-crystal spheres were also observed after further anodization. The increases of vacancy defects might be that the extension of structures of porous silicon towards inner layer with anodization time and caused more vacancy defects in inner layer. The SEM observation presented another possibility of the increase of density of vacancy defects in surface layer induced by the change of structures
International Nuclear Information System (INIS)
Day, D.J.; White, J.C.
1984-01-01
A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)
Energy Technology Data Exchange (ETDEWEB)
Tsuo, Y.S.; Menna, P.; Pitts, J.R. [National Renewable Energy Lab., Golden, CO (United States)] [and others
1996-05-01
The authors have studied a novel extrinsic gettering method that uses the large surface areas produced by a porous-silicon etch as gettering sites. The annealing step of the gettering used a high-flux solar furnace. They found that a high density of photons during annealing enhanced the impurity diffusion to the gettering sites. The authors used metallurgical-grade Si (MG-Si) prepared by directional solidification casing as the starting material. They propose to use porous-silicon-gettered MG-Si as a low-cost epitaxial substrate for polycrystalline silicon thin-film growth.
Conlon, Lauren E; King, Ryan D; Moran, Nancy E; Erdman, John W
2012-08-29
Evidence suggests that monounsaturated and polyunsaturated fats facilitate greater absorption of carotenoids than saturated fats. However, the comparison of consuming a polyunsaturated fat source versus a saturated fat source on tomato carotenoid bioaccumulation has not been examined. The goal of this study was to determine the influence of coconut oil and safflower oil on tomato carotenoid tissue accumulation in Mongolian gerbils ( Meriones unguiculatus ) fed a 20% fat diet. Coconut oil feeding increased carotenoid concentrations among many compartments including total carotenoids in the serum (p = 0.0003), adrenal glandular phytoene (p = 0.04), hepatic phytofluene (p = 0.0001), testicular all-trans-lycopene (p = 0.01), and cis-lycopene (p = 0.006) in the prostate-seminal vesicle complex compared to safflower oil. Safflower oil-fed gerbils had greater splenic lycopene concentrations (p = 0.006) compared to coconut oil-fed gerbils. Coconut oil feeding increased serum cholesterol (p = 0.0001) and decreased hepatic cholesterol (p = 0.0003) compared to safflower oil. In summary, coconut oil enhanced tissue uptake of tomato carotenoids to a greater degree than safflower oil. These results may have been due to the large proportion of medium-chain fatty acids in coconut oil, which might have caused a shift in cholesterol flux to favor extrahepatic carotenoid tissue deposition.
Refinery Upgrading of Hydropyrolysis Oil From Biomass
Energy Technology Data Exchange (ETDEWEB)
Roberts, Michael [Gas Technology Inst., Des Plaines, IL (United States); Marker, Terry [Gas Technology Inst., Des Plaines, IL (United States); Ortiz-Toral, Pedro [Gas Technology Inst., Des Plaines, IL (United States); Linck, Martin [Gas Technology Inst., Des Plaines, IL (United States); Felix, Larry [Gas Technology Inst., Des Plaines, IL (United States); Wangerow, Jim [Gas Technology Inst., Des Plaines, IL (United States); Swanson, Dan [Gas Technology Inst., Des Plaines, IL (United States); McLeod, Celeste [CRI Catalyst, Houston, TX (United States); Del Paggio, Alan [CRI Catalyst, Houston, TX (United States); Urade, Vikrant [CRI Catalyst, Houston, TX (United States); Rao, Madhusudhan [CRI Catalyst, Houston, TX (United States); Narasimhan, Laxmi [CRI Catalyst, Houston, TX (United States); Gephart, John [Johnson Timber, Hayward, WI (United States); Starr, Jack [Cargill, Wayzata, MN (United States); Hahn, John [Cargill, Wayzata, MN (United States); Stover, Daniel [Cargill, Wayzata, MN (United States); Parrish, Martin [Valero, San Antonio, TX (United States); Maxey, Carl [Valero, San Antonio, TX (United States); Shonnard, David [MTU, Friedrichshafen (Germany); Handler, Robert [MTU, Friedrichshafen (Germany); Fan, Jiquig [MTU, Friedrichshafen (Germany)
2015-08-31
hydropyrolysis oils had low acidity and caused almost no corrosion in comparison to pyrolysis oils, which had high acidity and caused significant levels of corrosion.
Linguine sign at MR imaging: does it represent the collapsed silicone implant shell?
Gorczyca, D P; DeBruhl, N D; Mund, D F; Bassett, L W
1994-05-01
One intact and one ruptured single-lumen implant were surgically placed in a rabbit. Magnetic resonance (MR) imaging was performed before and after surgical removal, and the ruptured implant was imaged after removal of the implant shell. Multiple curvilinear hypointense lines (linguine sign) were present in the MR images of the ruptured implant and of the implant shell alone immersed in saline solution but not in the image of the free silicone. The collapsed implant shell in a ruptured silicone implant does cause the linguine sign.
Investigation of the interface region between a porous silicon layer and a silicon substrate
International Nuclear Information System (INIS)
Lee, Ki-Won; Park, Dae-Kyu; Kim, Young-You; Shin, Hyun-Joon
2005-01-01
Atomic force microscopy (AFM) measurement and X-ray diffraction (XRD) analysis were performed to investigate the physical and structural characteristics of the interface region between a porous silicon layer and a silicon substrate. We discovered that, when anodization time was increased under a constant current density, the Si crystallites in the interface region became larger and formed different lattice parameters than observed in the porous silicon layer. Secondary ion mass spectrometry (SIMS) analysis also revealed that the Si was more concentrated in the interface region than in the porous silicon layer. These results were interpreted by the deficiency of the HF solution in reaching to the interface through the pores during the porous silicon formation
Vapor Pressure and Evaporation Coefficient of Silicon Monoxide over a Mixture of Silicon and Silica
Ferguson, Frank T.; Nuth, Joseph A., III
2012-01-01
The evaporation coefficient and equilibrium vapor pressure of silicon monoxide over a mixture of silicon and vitreous silica have been studied over the temperature range (1433 to 1608) K. The evaporation coefficient for this temperature range was (0.007 plus or minus 0.002) and is approximately an order of magnitude lower than the evaporation coefficient over amorphous silicon monoxide powder and in general agreement with previous measurements of this quantity. The enthalpy of reaction at 298.15 K for this reaction was calculated via second and third law analyses as (355 plus or minus 25) kJ per mol and (363.6 plus or minus 4.1) kJ per mol respectively. In comparison with previous work with the evaporation of amorphous silicon monoxide powder as well as other experimental measurements of the vapor pressure of silicon monoxide gas over mixtures of silicon and silica, these systems all tend to give similar equilibrium vapor pressures when the evaporation coefficient is correctly taken into account. This provides further evidence that amorphous silicon monoxide is an intimate mixture of small domains of silicon and silica and not strictly a true compound.
Particle interaction and displacement damage in silicon devices operated in radiation environments
International Nuclear Information System (INIS)
Leroy, Claude; Rancoita, Pier-Giorgio
2007-01-01
Silicon is used in radiation detectors and electronic devices. Nowadays, these devices achieving submicron technology are parts of integrated circuits of large to very large scale integration (VLSI). Silicon and silicon-based devices are commonly operated in many fields including particle physics experiments, nuclear medicine and space. Some of these fields present adverse radiation environments that may affect the operation of the devices. The particle energy deposition mechanisms by ionization and non-ionization processes are reviewed as well as the radiation-induced damage and its effect on device parameters evolution, depending on particle type, energy and fluence. The temporary or permanent damage inflicted by a single particle (single event effect) to electronic devices or integrated circuits is treated separately from the total ionizing dose (TID) effect for which the accumulated fluence causes degradation and from the displacement damage induced by the non-ionizing energy-loss (NIEL) deposition. Understanding of radiation effects on silicon devices has an impact on their design and allows the prediction of a specific device behaviour when exposed to a radiation field of interest
Analysis of heating effect on the process of high deposition rate microcrystalline silicon
International Nuclear Information System (INIS)
Xiao-Dan, Zhang; He, Zhang; Chang-Chun, Wei; Jian, Sun; Guo-Fu, Hou; Shao-Zhen, Xiong; Xin-Hua, Geng; Ying, Zhao
2010-01-01
A possible heating effect on the process of high deposition rate microcrystalline silicon has been studied. It includes the discharge time-accumulating heating effect, discharge power, inter-electrode distance, and total gas flow rate induced heating effect. It is found that the heating effects mentioned above are in some ways quite similar to and in other ways very different from each other. However, all of them will directly or indirectly cause the increase of the substrate surface temperature during the process of depositing microcrystalline silicon thin films, which will affect the properties of the materials with increasing time. This phenomenon is very serious for the high deposition rate of microcrystalline silicon thin films because of the high input power and the relatively small inter-electrode distance needed. Through analysis of the heating effects occurring in the process of depositing microcrystalline silicon, it is proposed that the discharge power and the heating temperature should be as low as possible, and the total gas flow rate and the inter-electrode distance should be suitable so that device-grade high quality deposition rate microcrystalline silicon thin films can be fabricated
Floating Heavy Oil Recovery: Current State Analysis
2006-07-27
recovered oil to a chute cause some build-up of oil, but the retained amount was not considered substantial enough to warrant any design changes. The GT...unit was the Lamor Brush Conveyor (shown in Figure 7) which uses a yellow V- brush design to recover oils and uses a propeller to draw water through...deals with the transfer of product that has already been collected and contained (Moffatt et al., 2004). The Coast Guard Research and Development
Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application
Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar
2018-05-01
Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.
Directory of Open Access Journals (Sweden)
Yufeng Chen
2017-03-01
Full Text Available This paper employs an asymmetric error-correction model (AECM, and uses monthly data on wholesale prices of gasoline and diesel products in China and international crude oil prices from February 2006 to October 2013 to examine whether China’s gasoline and diesel prices adjust asymmetrically to international crude oil price changes. Our empirical results suggest that increases and decreases in international oil prices have asymmetric effects on both wholesale prices of gasoline and diesel fuel in China, and that both increases and decreases in international oil prices have a greater effect on diesel prices than on gasoline prices in China. If there is no change in the maximum retail price, the asymmetry results from the transmission of wholesale prices in China with international oil prices. However, if there is a change in maximum retail prices, both international oil prices and maximum retail prices cause the asymmetry.
RBS/channeling analysis of hydrogen-implanted single crystals of FZ silicon and 6H silicon
International Nuclear Information System (INIS)
Irwin, R.B.
1984-01-01
Single crystals of FZ silicon and 6H silicon carbide were implanted with hydrogen ions (50 and 80 keV, respectively) to fluences from 2 x 10 16 H + /cm 2 to 2 x 10 18 H+/cm 2 . The implantations were carried out at three temperatures: approx.95K, 300 K, and approx.800 K. Swelling of the samples was measured by surface profilometry. RBS/channeling was used to obtain the damage profiles and to determine the amount of hydrogen retained in the lattice. The damage profiles are centered around X/sub m/ for the implants into silicon and around R/sub p/ for silicon carbide. For silicon carbide implanted at 95 K and 300 K and for silicon implanted at 95 K, the peak damage region is amorphous for fluences above 8 x 10 16 H + /cm 2 , 4 x 10 17 H + /cm 2 , and 2 x 10 17 H + /cm 2 , respectively. Silicon implanted at 300 and 800 K and silicon carbide implanted at 800 K remain crystalline up to fluences of 1 x 10 18 H + /cm 2 . The channeling damage results agree with previously reported TEM and electron diffraction data. The predictions of a simple disorder-accumulation model with a linear annealing term explains qualitatively the observed damage profiles in silicon carbide. Quantitatively, however, the model predicts faster development of the damage profiles than is observed at low fluences in both silicon and silicon carbide. For samples implanted at 300 and 800 K, the model also predicts substantially less peak disorder than is observed. The effect of the surface, the retained hydrogen, the shape of S/sub D/(X), and the need for a nonlinear annealing term may be responsible for the discrepancy
Essential Oils for Alternative Teak Rust Control
Directory of Open Access Journals (Sweden)
Pedro Raymundo Argüelles Osorio
2018-03-01
Full Text Available ABSTRACT The objectives of this study were to evaluate the effect of lemon grass, citronella grass, Mexican-tea and noni essential oils on urediniospore germination of Olivea neotectonae , the agent responsible for rust in Teak (Tectona grandis L.f.; to evaluate the phytotoxic effect of these essential oils on teak seedlings; and to evaluate the use of essential oils to control rust in teak plants when preventively and curatively applied. We found that the noni and lemon grass essential oils inhibited 100% of urediniospore germination. On the other hand, the essential oils from noni and lemon grass caused phytotoxicity when applied to seedlings at concentrations of 2000 and 1500 μL L-1, respectively. The major constituents found in lemon grass essential oil were Geranial and Neral, while Octanoic Acid was found in noni oil. Lower values in the area below the rust progress curve were observed with the preventive application of lemon grass and noni essential oils.
Quantum mechanical theory of epitaxial transformation of silicon to silicon carbide
International Nuclear Information System (INIS)
Kukushkin, S A; Osipov, A V
2017-01-01
The paper focuses on the study of transformation of silicon crystal into silicon carbide crystal via substitution reaction with carbon monoxide gas. As an example, the Si(1 0 0) surface is considered. The cross section of the potential energy surface of the first stage of transformation along the reaction pathway is calculated by the method of nudged elastic bands. It is found that in addition to intermediate states associated with adsorption of CO and SiO molecules on the surface, there is also an intermediate state in which all the atoms are strongly bonded to each other. This intermediate state significantly reduces the activation barrier of transformation down to 2.6 eV. The single imaginary frequencies corresponding to the two transition states of this transformation are calculated, one of which is reactant-like, whereas the other is product-like. By methods of quantum chemistry of solids, the second stage of this transformation is described, namely, the transformation of precarbide silicon into silicon carbide. Energy reduction per one cell is calculated for this ‘collapse’ process, and bond breaking energy is also found. Hence, it is concluded that the smallest size of the collapsing islet is 30 nm. It is shown that the chemical bonds of the initial silicon crystal are coordinately replaced by the bonds between Si and C in silicon carbide, which leads to a high quality of epitaxy and a low concentration of misfit dislocations. (paper)
International Nuclear Information System (INIS)
Huang, H.Y.; Chu, W.Y.; Su, Y.J.; Qiao, L.J.; Gao, K.W.
2005-01-01
The combined effect of electric field and residual stress on propagation of unloaded indentation cracks in a PZT-5 ceramic has been studied. The results show that residual stress itself is too small to induce delayed propagation of the indentation cracks in silicon oil. If applied constant electric field is larger than 0.2 kV/cm, the combined effect of electric field and residual stress can cause delayed propagation of the indentation crack after passing an incubation time in silicon oil, but the crack will arrest after propagating for 10-30 μm because of decrease of the resultant stress intensity factor induced by the field and residual stress with increasing the crack length. The threshold electric field for delayed propagation of the indentation crack in silicon oil is E DP = 0.2 kV/cm. If the applied electric field is larger than 5.25 kV/cm, combined effect of the electric field and residual stress can cause instant propagation of the indentation crack, and under sustained electric field, the crack which has propagated instantly can propagate continuously, until arrest at last. The critical electric field for instant propagation of the indentation crack is E P = 5.25 kV/cm. If the applied electric field is larger than 12.6 kV/cm, the microcracks induced by the electric field initiate everywhere, grow and connect in a smooth specimen, resulting in delayed failure, even without residual stress. The threshold electric field for delayed failure of a smooth specimen in silicon oil is E DF = 12.6 kV/cm and the critical electric field for instant failure is E F = 19.1 kV/cm
Talhinhas, Pedro; Loureiro, Andreia; Oliveira, Helena
2018-03-08
Olive anthracnose causes fruit rot leading to its drop or mummification, resulting in yield losses and the degradation of oil quality. The disease is caused by diverse species of Colletotrichum, mostly clustering in the C. acutatum species complex. Colletotrichum nymphaeae and C. godetiae are the prevalent species in the Northern Hemisphere, whereas C. acutatum sensu stricto is the most frequent species in the Southern Hemisphere, although it is recently and quickly emerging in the Northern Hemisphere. The disease has been reported from all continents, but it attains higher incidence and severity in the west of the Mediterranean Basin, where it is endemic in traditional orchards of susceptible cultivars. The pathogens are able to survive on vegetative organs. On the fruit surface, infections remain quiescent until fruit maturity, when typical anthracnose symptoms develop. Under severe epidemics, defoliation and death of branches can also occur. Pathogen species differ in virulence, although this depends on the cultivar. The selection of resistant cultivars depends strongly on pathogen diversity and environmental conditions, posing added difficulties to breeding efforts. Chemical disease control is normally achieved with copper-based fungicides, although this may be insufficient under highly favourable disease conditions and causes concern because of the presence of fungicide residues in the oil. In areas in which the incidence is high, farmers tend to anticipate harvest, with consequences in yield and oil characteristics. Olive production systems, harvest and post-harvest processing have experienced profound changes in recent years, namely new training systems using specific cultivars, new harvest and processing techniques and new organoleptic market requests. Changes are also occurring in both the geographical distribution of pathogen populations and the taxonomic framework. In addition, stricter rules concerning pesticide use are likely to have a strong impact
Silicon Nanocrystal Synthesis in Microplasma Reactor
Nozaki, Tomohiro; Sasaki, Kenji; Ogino, Tomohisa; Asahi, Daisuke; Okazaki, Ken
Nanocrystalline silicon particles with grains smaller than 5 nm are widely recognized as a key material in optoelectronic devices, lithium battery electrodes, and bio-medical labels. Another important characteristic is that silicon is an environmentally safe material that is used in numerous silicon technologies. To date, several synthesis methods such as sputtering, laser ablation, and plasma-enhanced chemical vapor deposition (PECVD) based on low-pressure silane chemistry (SiH4) have been developed for precise control of size and density distributions of silicon nanocrystals. In this study, we explore the possibility of microplasma technologies for efficient production of mono-dispersed nanocrystalline silicon particles on a micrometer-scale, continuous-flow plasma reactor operated at atmospheric pressure. Mixtures of argon, hydrogen, and silicon tetrachloride were activated using a very-high-frequency (144 MHz) power source in a capillary glass tube with volume of less than 1 μl. Fundamental plasma parameters of the microplasma were characterized using optical emission spectroscopy, which respectively indicated electron density of 1015 cm-3, argon excitation temperature of 5000 K, and rotational temperature of 1500 K. Such high-density non-thermal reactive plasma can decompose silicon tetrachloride into atomic silicon to produce supersaturated silicon vapor, followed by gas-phase nucleation via three-body collision: particle synthesis in high-density plasma media is beneficial for promoting nucleation processes. In addition, further growth of silicon nuclei can be terminated in a short-residence-time reactor. Micro-Raman scattering spectra showed that as-deposited particles are mostly amorphous silicon with a small fraction of silicon nanocrystals. Transmission electron micrography confirmed individual 3-15 nm silicon nanocrystals. Although particles were not mono-dispersed, they were well separated and not coagulated.
Nanostructured silicon for thermoelectric
Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.
2011-06-01
Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.
Visualization of Solution Gas Drive in Viscous Oil, SUPRI TR-126
Energy Technology Data Exchange (ETDEWEB)
George, D.S.; Kovscek, A.R.
2001-07-23
Several experimental studies of solution gas drive are available in this report. Almost all of the studies have used light oil. Solution gas drive behavior, especially in heavy oil reservoirs, is poorly understood. Experiments were performed in which pore-scale solution gas drive phenomena were viewed in water/carbon dioxide and viscous oil/carbon dioxide systems. A new pressure vessel was designed and constructed to house silicon-wafer micromodels that previously operated at low (<3 atm) pressure. The new apparatus is used for the visual studies. Several interesting phenomena were viewed. The repeated nucleation of gas bubbles was observed at a gas-wet site occupied by dirt. Interestingly, the dissolution of a gas bubble into the liquid phase was previously recorded at the same nucleation site. Gas bubbles in both systems grew to span one ore more pore bodies before mobilization. Liquid viscosity affected the ease with which gas bubbles coalesced. More viscous solutions result in slower rates of coalescence. The transport of solid particles on gas-liquid interfaces was also observed.
Studies on the reactive melt infiltration of silicon and silicon-molybdenum alloys in porous carbon
Singh, M.; Behrendt, D. R.
1992-01-01
Investigations on the reactive melt infiltration of silicon and silicon-1.7 and 3.2 at percent molybdenum alloys into porous carbon preforms have been carried out by process modeling, differential thermal analysis (DTA) and melt infiltration experiments. These results indicate that the initial pore volume fraction of the porous carbon preform is a critical parameter in determining the final composition of the raction-formed silicon carbide and other residual phases. The pore size of the carbon preform is very detrimental to the exotherm temperatures due to liquid silicon-carbon reactions encountered during the reactive melt infiltration process. A possible mechanism for the liquid silicon-porous (glassy) carbon reaction has been proposed. The composition and microstructure of the reaction-formed silicon carbide has been discussed in terms of carbon preform microstructures, infiltration materials, and temperatures.
1366 Project Silicon: Reclaiming US Silicon PV Leadership
Energy Technology Data Exchange (ETDEWEB)
Lorenz, Adam [1366 Technologies, Bedford, MA (United States)
2016-02-16
1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the
Pyrolysis oil from carbonaceous solid wastes in Malaysia
International Nuclear Information System (INIS)
Islam, M.N.; Jamil, M.K.; Ani, F.N.; Zailani, R.
2000-01-01
hydrocarbons containing silicone. The phenols were found to be maximum in case of oil palm shell pyrolysis oil (35 wt. % of total feed) with organic acids, alcohol, ketones and hydrocarbons. The pyrolysis oil from rice husk contained a maximum. percentage of ketones (28 wt. % of total feed) with acids, aldehydes, alcohol, phenols and hydrocarbons. Thus, the compounds were found to be prospectful for fuel and chemicals. The physical properties of the pyrolysis oils were presented and compared with typical wood pyrolysis oil. (Author)
Silicon-micromachined microchannel plates
Beetz, C P; Steinbeck, J; Lemieux, B; Winn, D R
2000-01-01
Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of approx 0.5 to approx 25 mu m, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposite...
Photoluminescence in large fluence radiation irradiated space silicon solar cells
Energy Technology Data Exchange (ETDEWEB)
Hisamatsu, Tadashi; Kawasaki, Osamu; Matsuda, Sumio [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan). Tsukuba Space Center; Tsukamoto, Kazuyoshi
1997-03-01
Photoluminescence spectroscopy measurements were carried out for silicon 50{mu}m BSFR space solar cells irradiated with 1MeV electrons with a fluence exceeding 1 x 10{sup 16} e/cm{sup 2} and 10MeV protons with a fluence exceeding 1 x 10{sup 13} p/cm{sup 2}. The results were compared with the previous result performed in a relative low fluence region, and the radiation-induced defects which cause anomalous degradation of the cell performance in such large fluence regions were discussed. As far as we know, this is the first report which presents the PL measurement results at 4.2K of the large fluence radiation irradiated silicon solar cells. (author)
Porous silicon carbide (SIC) semiconductor device
Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)
1996-01-01
Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.
Cryo-FIB SEM for Characterization of the Structure of Fish Oil Emulsions
DEFF Research Database (Denmark)
Jensen, Louise Helene Søgaard; Horn, Anna Frisenfeldt; Jacobsen, Charlotte
2011-01-01
Addition of fish oil to food products to improve nutritional quality by the addition of omega-3 fatty acids is attractive both to the consumers and the food industry for reasons such as health benefits and added product value. The long chain omega-3 fatty acids contain a large number of double...... bonds which causes the fish oil to be susceptible to oxidation. The shelf lives of fish oil enriched products are thus limited by fast oxidation rates of the fish oil which causes development of off flavours as well as degeneration of the beneficial health effects of the fish oil. At the present moment...... this is a barrier for their access to the market and it is necessary to develop techniques to protect the oil against oxidation. Emulsification of the oil has been put forward as a strategy for protection against oxidation, but whether that is beneficial seems to depend on the food matrix to which the oil is added...
Lv, Xiaoyi; Chen, Liangliang; Zhang, Hongyan; Mo, Jiaqing; Zhong, Furu; Lv, Changwu; Ma, Ji; Jia, Zhenhong
2013-01-15
A fabrication of a novel simple porous silicon polybasic photonic crystal with symmetrical structure has been reported as a nucleic acid biosensor for detecting antifreeze protein gene in insects (Microdera puntipennis dzhungarica), which would be helpful in the development of some new transgenic plants with tolerance of freezing stress. Compared to various porous silicon-based photonic configurations, porous silicon polytype layered structure is quite easy to prepare and shows more stability; moreover, polybasic photonic crystals with symmetrical structure exhibit interesting optical properties with a sharp resonance in the reflectance spectrum, giving a higher Q factor which causes higher sensitivity for sensing performance. In this experiment, DNA oligonucleotides were immobilized into the porous silicon pores using a standard crosslink chemistry method. The porous silicon polybasic symmetrical structure sensor possesses high specificity in performing controlled experiments with non-complementary DNA. The detection limit was found to be 21.3nM for DNA oligonucleotides. The fabricated multilayered porous silicon-based DNA biosensor has potential commercial applications in clinical chemistry for determination of an antifreeze protein gene or other genes. Copyright © 2012 Elsevier B.V. All rights reserved.
Exogenous lipoid pneumonia caused by Nigella sativa oil – A case report
Directory of Open Access Journals (Sweden)
K. Bouti
2013-10-01
The patient was advised to stop taking N. sativa oil. The only treatment that was instituted was N-acetylcysteine. A clinically significant change in symptoms and chest radiograph was observed. The patient has remained stable 18 months after the diagnosis.
Silicon Photonics Cloud (SiCloud)
DEFF Research Database (Denmark)
DeVore, P. T. S.; Jiang, Y.; Lynch, M.
2015-01-01
Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths.......Silicon Photonics Cloud (SiCloud.org) is the first silicon photonics interactive web tool. Here we report new features of this tool including mode propagation parameters and mode distribution galleries for user specified waveguide dimensions and wavelengths....
International Nuclear Information System (INIS)
Schultz, W.E.
1976-01-01
A pulsed neutron generator of the deuterium-tritium reaction type irradiates earth formations in the vicinity of a borehole with 14 MeV neutrons. Gamma rays produced by the inelastic scattering of the fast neutrons are observed in four energy regions of the gamma ray energy spectrum corresponding to the inelastic scattering of neutrons by carbon, oxygen, silicon, and calcium. The carbon/oxygen, calcium/silicon, and carbon plus oxygen gamma rays are found and combined with a separately derived hydrogen index log to determine the quality of coal-bearing formations or oil-shale regions. The hydrogen index curve is found preferably by a dual-spaced detector epithermal neutron porosity logging technique or from a conventional thermal neutron gamma ray log
Noshad, Mohammad; Hojjati, Mohammad; Alizadeh Behbahani, Behrooz
2018-03-01
The aim of this study was to perform chemical compositions and phytochemical analysis of Black Zira essential oil and other goal of this research was to investigate the antimicrobial effects of Black Zira essential oil against Enterobacter aerogenes, Pseudomonas aeruginosa, Escherichia coli, Shigella flexneri, Staphylococcus epidermidis, Streptococcus pyogenes and Candida albicans. Black Zira essential oil was extracted by hydrodistillation method using clevenger apparatus. Black Zira essential oil chemical composition was identified through gas chromatography/mass spectrometry. γ-terpinene with a percentage of 24.8% was the major compound of Black Zira essential oil. The antimicrobial effect Black Zira essential oil was evaluated by several qualitative and quantitative methods (disk diffusion, well diffusion, microdilution broth, agar dilution and minimum bactericidal/fungicidal concentration). Phytochemical analysis Black Zira essential oil were appraised based on qualitative methods. Antioxidant activity (2,2-diphenyl-1-picrylhydrazyl and β-carotene/linoleic acid inhibition) and total phenolic content (Folin-Ciocalteu) were examined. The results of phytochemical analysis of Black Zira essential oil showed the existence of phenolic, flavonoids, saponins, alkaloids and tannins. The total phenolic content and antioxidant activity (reported as IC 50 ) of Black Zira essential oil were equal to 120.50 ± 0.50 mg GAE/g and 11.55 ± 0.25 μg/ml, respectively. The MIC of the Black Zira essential oil ranged from 1 mg/ml to 8 mg/ml, while its MBC and MFC ranged from 1 mg/ml to 16 mg/ml. The results presented that the longest and the shortest inhibition zone diameter at the concentration of 8 mg/ml pertained to C. albicans and E. aerogenes, respectively. Copyright © 2018. Published by Elsevier Ltd.
OPEC and non-OPEC oil production and the global economy
Ratti, Ronald A.; Vespignani, Joaquin L.
2014-01-01
Hamilton identifies 1973 to 1996 as “the age of OPEC” and 1997 to the present as “a new industrial age.” During 1974-1996 growth in non-OPEC oil production Granger causes growth in OPEC oil production. OPEC oil production decreases significantly with positive shocks to non-OPEC oil production in the earlier period, but does not do so in the “new industrial age”. In the “new industrial age” OPEC oil production rises significantly with an increase in oil prices, unlike during “the age of OPEC” ...
The silicon-silicon oxide multilayers utilization as intrinsic layer on pin solar cells
International Nuclear Information System (INIS)
Colder, H.; Marie, P.; Gourbilleau, F.
2008-01-01
Silicon nanostructures are promising candidate for the intrinsic layer on pin solar cells. In this work we report on new material: silicon-rich silicon oxide (SRSO) deposited by reactive magnetron sputtering of a pure silica target and an interesting structure: multilayers consisting of a stack of SRSO and pure silicon oxide layers. Two thicknesses of the SRSO sublayer, t SRSO , are studied 3 nm and 5 nm whereas the thickness of silica sublayer is maintaining at 3 nm. The presence of nanocrystallites of silicon, evidenced by X-Ray diffraction (XRD), leads to photoluminescence (PL) emission at room temperature due to the quantum confinement of the carriers. The PL peak shifts from 1.3 eV to 1.5 eV is correlated to the decreasing of t SRSO from 5 nm down to 3 nm. In the purpose of their potential utilization for i-layer, the optical properties are studied by absorption spectroscopy. The achievement a such structures at promising absorption properties. Moreover by favouring the carriers injection by the tunnel effect between silicon nanograins and silica sublayers, the multilayers seem to be interesting for solar cells
International Nuclear Information System (INIS)
Shah, Mazloom; Tariq, Muhammad; Ali, Saqib; Guo, Qing-Xiang; Fu, Yao
2014-01-01
The methanolysis of jojoba oil has been studied in the presence of tin powder, dibutyltin diacetate (C 4 H 9 ) 2 Sn(OOCCH 3 ) 2 , dioctyltin diacetate (C 8 H 17 ) 2 Sn(OOCCH 3 ) 2 , dibutyltin oxide (C 4 H 9 ) 2 SnO, dioctyltin oxide (C 8 H 17 ) 2 SnO, diphenyltin oxide (C 6 H 5 ) 2 SnO, dibutyltin chloride dihydroxide (C 4 H 9 ) 2 Sn(OH) 2 Cl, butyltinhydroxide hydrate (C 4 H 9 )Sn(=O)OH.xH 2 O, Ni nanoparticles and Pd nanoparticles act as catalysts. Among these, 1 weight % of dibutyltin diacetate shows the maximum conversion. Then, methanolysis of sunflower oil, neem oil, rocket seed oil and linseed oil into methyl esters studied in the presence of 1% dibutyltin diacetate as a catalyst and was compared their percentage conversions. The experimental yield for the conversion of jojoba oil, sunflower oil, neem oil, rocket seed oil and linseed oil into biodiesel was found to be 71%, 51%, 50.78%, 40.90% and 39.66%, respectively. The experimental yield of the conversion of jojoba oil into methyl esters was found to be increased up to 96% by increasing reaction time, without emulsion formation. The synthesis of jojoba seed oil biodiesel (JSOB), soybean oil biodiesel (SOB), neem oil biodiesel (NOB), rocket seed oil biodiesel (RSOB) and linseed oil biodiesel (LSOB) was confirmed by NMR ( 1 H and 13 C) and FT-IR analyses of biodiesel. - Highlights: • Transesterification of jojoba oil into biodiesel by tin and nano catalysts. • 1 weight % dibutyltin diacetate showed highest yield at 60 °C. • Catalytic conversion comparison of five oils using dibutyltin diacetate • The experimental yield of the conversion of jojoba oil increased with time. • FT-IR and NMR ( 1 H and 13 C) characterization
Method of forming buried oxide layers in silicon
Sadana, Devendra Kumar; Holland, Orin Wayne
2000-01-01
A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.
Effects of ion implantation on charges in the silicon--silicon dioxide system
International Nuclear Information System (INIS)
Learn, A.J.; Hess, D.W.
1977-01-01
Structures consisting of thermally grown oxide on silicon were implanted with boron, arsenic, or argon ions. For argon implantation through oxides, an increased fixed oxide charge (Q/sub ss/) was observed with the increase being greater for than for silicon. This effect is attributed to oxygen recoil which produces additional excess ionized silicon in the oxide of a type similar to that arising in thermal oxidation. Fast surface state (N/sub st/) generation was also noted which in most cases obscured the Q/sub ss/ increase. Of various heat treatments tested, only a 900 degreeC anneal in hydrogen annihilated N/sub st/ and allowed Q/sub ss/ measurement. Such N/sub st/ apparently arises as a consequence of implantation damage at the silicon--silicon dioxide interface. With the exception of boron implantations into thick oxides or through aluminum electrodes, reduction of the mobile ionic charge (Q/sub o/) was achieved by implantation. The reduction again is presumably damage related and is not negated by high-temperature annealing but may be counterbalanced by aluminum incorporation in the oxide
Energy Technology Data Exchange (ETDEWEB)
Parekh, M.
1988-07-19
A leak detectable refrigeration composition is described comprising: (A) a refrigeration liquid selection from the group consisting of: (1) a polyhalogenated hydrocarbon refrigerant; (2) a refrigeration oil selected from the group consisting of naphthenic oils, paraffinic oils, alkylated benzenes, silicones, polyglycols, diesters or triesters of dicarboxylic or tricarboxylic acids, and polyalkyl silicate oils, and (3) a mixture of A(1) and A(2), and (B) a fluorescent dye compound or composition comprising the dye selected from the group consisting of: (1) a fluorescent dye selected from the group consisting of perylene, naphthoxanthene, monocyclic aromatic compounds having an organometallic compound, (2) a solution of fluorescent dye in a solvent, and (3) a mixture of B(1) and B(2). The fluorescent dye compound or composition is soluble in the refrigeration liquid. The concentration of the dye being at least 0.001 grams per 100 grams of the refrigeration liquid.
Molecular composition and antibacterial effect of essential oil of ...
African Journals Online (AJOL)
pc
2013-05-15
May 15, 2013 ... research methods (extraction and detection). The essential oil was ... Due to the immiscibility of the essential oil to the water and thus the culture .... causes a leakage of intracellular components including bacteria enzyme ...
Statistical characterization of surface defects created by Ar ion bombardment of crystalline silicon
International Nuclear Information System (INIS)
Ghazisaeidi, M.; Freund, J. B.; Johnson, H. T.
2008-01-01
Ion bombardment of crystalline silicon targets induces pattern formation by the creation of mobile surface species that participate in forming nanometer-scale structures. The formation of these mobile species on a Si(001) surface, caused by sub-keV argon ion bombardment, is investigated through molecular dynamics simulation of Stillinger-Weber [Phys. Rev. B 31, 5262 (1985)] silicon. Specific criteria for identifying and classifying these mobile atoms based on their energy and coordination number are developed. The mobile species are categorized based on these criteria and their average concentrations are calculated
Diamond to β-Sn phase transition of silicon under hydrostatic and nonhydrostatic compressions
International Nuclear Information System (INIS)
Durandurdu, Murat
2008-01-01
We have carried out constant pressure ab initio simulations to study the pressure-induced phase transition of silicon. The diamond to β-Sn phase change under hydrostatic pressure is successfully observed in the simulation. The transformation is based on a fourfold coordinated tetragonal intermediate state having the space group I4 1 /amd. The energy barrier for the transformation is calculated to be about 0.35 eV/atom. Additionally, we investigate the influence of nonhydrostatic compressions on the phase transition of silicon and find that up to 20% stress deviations, silicon converts to a β-Sn structure with a reduced transition pressure. The triaxial compressions cause more reduction in the transition pressure than the uniaxial compressions. The transformation mechanism is practically identical under both hydrostatic and nonhydrostatic conditions
Energy Technology Data Exchange (ETDEWEB)
Antoniadis, H.
2011-03-01
Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.
Silicon-micromachined microchannel plates
International Nuclear Information System (INIS)
Beetz, Charles P.; Boerstler, Robert; Steinbeck, John; Lemieux, Bryan; Winn, David R.
2000-01-01
Microchannel plates (MCP) fabricated from standard silicon wafer substrates using a novel silicon micromachining process, together with standard silicon photolithographic process steps, are described. The resulting SiMCP microchannels have dimensions of ∼0.5 to ∼25 μm, with aspect ratios up to 300, and have the dimensional precision and absence of interstitial defects characteristic of photolithographic processing, compatible with positional matching to silicon electronics readouts. The open channel areal fraction and detection efficiency may exceed 90% on plates up to 300 mm in diameter. The resulting silicon substrates can be converted entirely to amorphous quartz (qMCP). The strip resistance and secondary emission are developed by controlled depositions of thin films, at temperatures up to 1200 deg. C, also compatible with high-temperature brazing, and can be essentially hydrogen, water and radionuclide-free. Novel secondary emitters and cesiated photocathodes can be high-temperature deposited or nucleated in the channels or the first strike surface. Results on resistivity, secondary emission and gain are presented
Directory of Open Access Journals (Sweden)
Thi Dep Ha
2016-04-01
Full Text Available Phononic crystals (PnCs and n-type doped silicon technique have been widely employed in silicon-based MEMS resonators to obtain high quality factor (Q as well as temperature-induced frequency stability. For the PnCs, their band gaps play an important role in the acoustic wave propagation. Also, the temperature and dopant doped into silicon can cause the change in its material properties such as elastic constants, Young’s modulus. Therefore, in order to design the simultaneous high Q and frequency stability silicon-based MEMS resonators by two these techniques, a careful design should study effects of temperature and dopant on the band gap characteristics to examine the acoustic wave propagation in the PnC. Based on these, this paper presents (1 a proposed silicon-based PnC strip structure for support tether applications in low frequency silicon-based MEMS resonators, (2 influences of temperature and dopant on band gap characteristics of the PnC strips. The simulation results show that the largest band gap can achieve up to 33.56 at 57.59 MHz and increase 1280.13 % (also increase 131.89 % for ratio of the widest gaps compared with the counterpart without hole. The band gap properties of the PnC strips is insignificantly effected by temperature and electron doping concentration. Also, the quality factor of two designed length extensional mode MEMS resonators with proposed PnC strip based support tethers is up to 1084.59% and 43846.36% over the same resonators with PnC strip without hole and circled corners, respectively. This theoretical study uses the finite element analysis in COMSOL Multiphysics and MATLAB softwares as simulation tools. This findings provides a background in combination of PnC and dopant techniques for high performance silicon-based MEMS resonators as well as PnC-based MEMS devices.
Energy Technology Data Exchange (ETDEWEB)
Ha, Thi Dep, E-mail: hathidep@yahoo.com [School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731 (China); Faculty of Electronic Technology, Industrial University of Ho Chi Minh City, Hochiminh City (Viet Nam); Bao, JingFu, E-mail: baojingfu@uestc.edu.cn [School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731 (China)
2016-04-15
Phononic crystals (PnCs) and n-type doped silicon technique have been widely employed in silicon-based MEMS resonators to obtain high quality factor (Q) as well as temperature-induced frequency stability. For the PnCs, their band gaps play an important role in the acoustic wave propagation. Also, the temperature and dopant doped into silicon can cause the change in its material properties such as elastic constants, Young’s modulus. Therefore, in order to design the simultaneous high Q and frequency stability silicon-based MEMS resonators by two these techniques, a careful design should study effects of temperature and dopant on the band gap characteristics to examine the acoustic wave propagation in the PnC. Based on these, this paper presents (1) a proposed silicon-based PnC strip structure for support tether applications in low frequency silicon-based MEMS resonators, (2) influences of temperature and dopant on band gap characteristics of the PnC strips. The simulation results show that the largest band gap can achieve up to 33.56 at 57.59 MHz and increase 1280.13 % (also increase 131.89 % for ratio of the widest gaps) compared with the counterpart without hole. The band gap properties of the PnC strips is insignificantly effected by temperature and electron doping concentration. Also, the quality factor of two designed length extensional mode MEMS resonators with proposed PnC strip based support tethers is up to 1084.59% and 43846.36% over the same resonators with PnC strip without hole and circled corners, respectively. This theoretical study uses the finite element analysis in COMSOL Multiphysics and MATLAB softwares as simulation tools. This findings provides a background in combination of PnC and dopant techniques for high performance silicon-based MEMS resonators as well as PnC-based MEMS devices.
Removal of inclusions from silicon
Ciftja, Arjan; Engh, Thorvald Abel; Tangstad, Merete; Kvithyld, Anne; Øvrelid, Eivind Johannes
2009-11-01
The removal of inclusions from molten silicon is necessary to satisfy the purity requirements for solar grade silicon. This paper summarizes two methods that are investigated: (i) settling of the inclusions followed by subsequent directional solidification and (infiltration by ceramic foam filters. Settling of inclusions followed by directional solidification is of industrial importance for production of low-cost solar grade silicon. Filtration is reported as the most efficient method for removal of inclusions from the top-cut silicon scrap.
Silicon Tracking Upgrade at CDF
International Nuclear Information System (INIS)
Kruse, M.C.
1998-04-01
The Collider Detector at Fermilab (CDF) is scheduled to begin recording data from Run II of the Fermilab Tevatron in early 2000. The silicon tracking upgrade constitutes both the upgrade to the CDF silicon vertex detector (SVX II) and the new Intermediate Silicon Layers (ISL) located at radii just beyond the SVX II. Here we review the design and prototyping of all aspects of these detectors including mechanical design, data acquisition, and a trigger based on silicon tracking
Silicon Alloying On Aluminium Based Alloy Surface
International Nuclear Information System (INIS)
Suryanto
2002-01-01
Silicon alloying on surface of aluminium based alloy was carried out using electron beam. This is performed in order to enhance tribological properties of the alloy. Silicon is considered most important alloying element in aluminium alloy, particularly for tribological components. Prior to silicon alloying. aluminium substrate were painted with binder and silicon powder and dried in a furnace. Silicon alloying were carried out in a vacuum chamber. The Silicon alloyed materials were assessed using some techniques. The results show that silicon alloying formed a composite metal-non metal system in which silicon particles are dispersed in the alloyed layer. Silicon content in the alloyed layer is about 40% while in other place is only 10.5 %. The hardness of layer changes significantly. The wear properties of the alloying alloys increase. Silicon surface alloying also reduced the coefficient of friction for sliding against a hardened steel counter face, which could otherwise be higher because of the strong adhesion of aluminium to steel. The hardness of the silicon surface alloyed material dropped when it underwent a heating cycle similar to the ion coating process. Hence, silicon alloying is not a suitable choice for use as an intermediate layer for duplex treatment
Meo, Sultan Ayoub; Al-Drees, Abdul Majeed; Rasheed, Shahzad; Meo, Imran Mu; Al-Saadi, Muslim M; Ghani, Hamza A; Alkandari, Jasem Ramadan
2009-01-01
Oil spillage in the sea water is a disaster for marine life and humans in the vicinity. The study aimed at investigating health complaints among subjects involved in oil cleanup operations during a spillage from a Greek oil tanker "Tasman Spirit". The project was conducted under the supervision of the Department of Physiology, College of Medicine, King Khalid University Hospital, King Saud University, Riyadh, Saudi Arabia. The study concerned the respiratory and general health complaints in 50 apparently healthy, non-smoking male workers exposed to crude oil during oil cleanup operations. The exposed group was matched with a similar number of male, non-smoking controls. The health complaints were evaluated based on a comprehensive interview. The subjects involved in oil cleanup operations had significantly higher rates of health complaints including cough (38%), runny nose (36%), eye irritation/redness (32%), sore throat (28%), headache (28%), nausea (24%) and general illness (18%), compared to their matched controls. Air pollution due to crude oil spillage into sea water may cause respiratory and general health complaints in workers involved in oil cleanup operations.
Experiment and simulation study of laser dicing silicon with water-jet
Energy Technology Data Exchange (ETDEWEB)
Bao, Jiading; Long, Yuhong, E-mail: longyuhong@guet.edu.cn; Tong, Youqun; Yang, Xiaoqing; Zhang, Bin; Zhou, Zupeng
2016-11-30
Highlights: • The explosive melt expulsion could be a dominant process for the laser ablating silicon in liquids with ns-pulsed laser of 1064 nm irradiating. • Self-focusing phenomenon was found and its causes are analyzed. • SPH modeling technique was employed to understand the effect of water and water-jet on debris removal during water-jet laser machining. - Abstract: Water-jet laser processing is an internationally advanced technique, which combines the advantages of laser processing with water jet cutting. In the study, the experiment of water-jet laser dicing are conducted with ns pulsed laser of 1064 nm irradiating, and Smooth Particle Hydrodynamic (SPH) technique by AUTODYN software was modeled to research the fluid dynamics of water and melt when water jet impacting molten material. The silicon surface morphology of the irradiated spots has an appearance as one can see in porous formation. The surface morphology exhibits a large number of cavities which indicates as bubble nucleation sites. The observed surface morphology shows that the explosive melt expulsion could be a dominant process for the laser ablating silicon in liquids with nanosecond pulse laser of 1064 nm irradiating. Self-focusing phenomenon was found and its causes are analyzed. Smooth Particle Hydrodynamic (SPH) modeling technique was employed to understand the effect of water and water-jet on debris removal during water-jet laser machining.
46 CFR 97.15-55 - Requirements for fuel oil.
2010-10-01
... 46 Shipping 4 2010-10-01 2010-10-01 false Requirements for fuel oil. 97.15-55 Section 97.15-55... OPERATIONS Tests, Drills, and Inspections § 97.15-55 Requirements for fuel oil. (a) It shall be the duty of the chief engineer to cause an entry in the log to be made of each supply of fuel oil received on...