WorldWideScience

Sample records for carbon films deposited

  1. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  2. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  3. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  4. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  5. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  6. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  7. Coaxial carbon plasma gun deposition of amorphous carbon films

    Science.gov (United States)

    Sater, D. M.; Gulino, D. A.; Rutledge, S. K.

    1984-01-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented.

  8. Coaxial carbon plasma gun deposition of amorphous carbon films

    International Nuclear Information System (INIS)

    Sater, D.M.; Gulino, D.A.

    1984-03-01

    A unique plasma gun employing coaxial carbon electrodes was used in an attempt to deposit thin films of amorphous diamond-like carbon. A number of different structural, compositional, and electrical characterization techniques were used to characterize these films. These included scanning electron microscopy, scanning transmission electron microscopy, X ray diffraction and absorption, spectrographic analysis, energy dispersive spectroscopy, and selected area electron diffraction. Optical absorption and electrical resistivity measurements were also performed. The films were determined to be primarily amorphous, with poor adhesion to fused silica substrates. Many inclusions of particulates were found to be present as well. Analysis of these particulates revealed the presence of trace impurities, such as Fe and Cu, which were also found in the graphite electrode material. The electrodes were the source of these impurities. No evidence of diamond-like crystallite structure was found in any of the film samples. Details of the apparatus, experimental procedure, and film characteristics are presented

  9. Ultrathin diamond-like carbon films deposited by filtered carbon vacuum arcs

    International Nuclear Information System (INIS)

    Anders, Andre; Fong, Walton; Kulkarni, Ashok; Ryan, Francis W.; Bhatia, C. Singh

    2001-01-01

    Ultrathin ( and lt; 5 nm) hard carbon films are of great interest to the magnetic storage industry as the areal density approaches 100 Gbit/in(sup 2). These films are used as overcoats to protect the magnetic layers on disk media and the active elements of the read-write slider. Tetrahedral amorphous carbon films can be produced by filtered cathodic arc deposition, but the films will only be accepted by the storage industry only if the ''macroparticle'' issue has been solved. Better plasma filters have been developed over recent years. Emphasis is put on the promising twist filter system - a compact, open structure that operates with pulsed arcs and high magnetic field. Based on corrosion tests it is shown that the macroparticle reduction by the twist filter is satisfactory for this demanding application, while plasma throughput is very high. Ultrathin hard carbon films have been synthesized using S-filter and twist filter systems. Film properties such as hardness, elastic modulus, wear, and corrosion resistance have been tested

  10. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Yoshida, Hiroyuki [Industrial Research Inst. of Ishikawa (Japan); Watanabe, Hiroshi [Gakushuin Univ., Tokyo (Japan); Iwaki, Masaya; Guzman, L [RIKEN, Saitama (Japan)

    1992-04-15

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C{sub 6}H{sub 6} gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10{sup 16} ions cm{sup -2}. The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.).

  11. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Yoshida, Hiroyuki; Watanabe, Hiroshi; Iwaki, Masaya; Guzman, L.

    1992-01-01

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C 6 H 6 gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10 16 ions cm -2 . The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.)

  12. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  13. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  14. Aligned carbon nanotube, graphene and graphite oxide thin films via substrate-directed rapid interfacial deposition

    Science.gov (United States)

    D'Arcy, Julio M.; Tran, Henry D.; Stieg, Adam Z.; Gimzewski, James K.; Kaner, Richard B.

    2012-05-01

    A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated.A procedure for depositing thin films of carbon nanostructures is described that overcomes the limitations typically associated with solution based methods. Transparent and conductively continuous carbon coatings can be grown on virtually any type of substrate within seconds. Interfacial surface tension gradients result in directional fluid flow and film spreading at the water/oil interface. Transparent films of carbon nanostructures are produced including aligned ropes of single-walled carbon nanotubes and assemblies of single sheets of chemically converted graphene and graphite oxide. Process scale-up, layer-by-layer deposition, and a simple method for coating non-activated hydrophobic surfaces are demonstrated. Electronic supplementary information (ESI) available: Droplet coalescence, catenoid formation, mechanism of film growth, scanning electron micrographs showing carbon nanotube alignment, flexible transparent films of SWCNTs, AFM images of a chemically converted graphene film, and SEM images of SWCNT free-standing thin films. See DOI: 10.1039/c2nr00010e

  15. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  16. Oxygen reduction activity of N-doped carbon-based films prepared by pulsed laser deposition

    Science.gov (United States)

    Hakoda, Teruyuki; Yamamoto, Shunya; Kawaguchi, Kazuhiro; Yamaki, Tetsuya; Kobayashi, Tomohiro; Yoshikawa, Masahito

    2010-12-01

    Carbon-based films with nitrogen species on their surface were prepared on a glassy carbon (GC) substrate for application as a non-platinum cathode catalyst for polymer electrolyte fuel cells. Cobalt and carbon were deposited in the presence of N 2 gas using a pulsed laser deposition method and then the metal Co was removed by HCl-washing treatment. Oxygen reduction reaction (ORR) activity was electrochemically determined using a rotating disk electrode system in which the film samples on the GC substrate were replaceable. The ORR activity increased with the temperature of the GC substrate during deposition. A carbon-based film prepared at 600 °C in the presence of N 2 at 66.7 Pa showed the highest ORR activity among the tested samples (0.66 V vs. NHE). This film was composed of amorphous carbons doped with pyridine type nitrogen atoms on its surface.

  17. Superhard PVD carbon films deposited with different gradients with and without additions of titanium and silicon

    International Nuclear Information System (INIS)

    Bauer, C.

    2003-10-01

    This work focusses on thin carbon-based films, deposited by magnetron sputtering with additional argon ion bombardment (0 eV to 800 eV) without extra adhesive layer on hard metal inserts. As one possibility of increasing the reduced adherence of hard carbon films the deposition of films with additions of titanium and silicon is studied. The aim of this work is to examine the influence of a modification of the transition between substrate and film by realizing three different types of deposition gradients. The pure carbon films are amorphous, the dominant network of atoms is formed by sp 2 bonded atoms. The amount of sp 3 bonded atoms is up to 30% and is influenced by the bombarding argon ion energy. Carbon films with additions of silicon are amorphous, only in films with a high amount of titanium (approx. 20 at%) nanocomposites of titanium carbide crystals with diameters of less than 5 nm in an amorphous carbon matrix were found. The mechanical properties and the behavior of single layer carbon films strongly depend on the argon ion energy. An increase of this energy leads to higher film hardness and higher residual stress and results in the delamination of superhard carbon films on hard metal substrates. The adhesion of single layer films for ion energies of more than 200 eV is significantly improved by additions of titanium and silicon, respectively. The addition of 23 at% silicon and titanium, respectively leads to a high reduction of the residual stress. In a non-reactive PVD process thin films were deposited with a continuously gradient in chemical composition. The results of the investigations of the films with two different concentrations of titanium and silicon, respectively show that carbon-based films with a good adhesion could be deposited. The combination of the two gradients in structure and properties and in chemical composition leads in the system with carbon and silicon carbide to hard and very adhesive films. Especially for carbon films with a high

  18. Synthesis and characterization of thin films of nitrided amorphous carbon deposited by laser ablation

    International Nuclear Information System (INIS)

    Rebollo P, B.

    2001-01-01

    The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp 2 and sp 3 bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)

  19. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  20. Heat treatment of cathodic arc deposited amorphous hard carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Anders, S.; Ager, J.W. III; Brown, I.G. [and others

    1997-02-01

    Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.

  1. Pyrolyzed thin film carbon

    Science.gov (United States)

    Tai, Yu-Chong (Inventor); Liger, Matthieu (Inventor); Harder, Theodore (Inventor); Konishi, Satoshi (Inventor); Miserendino, Scott (Inventor)

    2010-01-01

    A method of making carbon thin films comprises depositing a catalyst on a substrate, depositing a hydrocarbon in contact with the catalyst and pyrolyzing the hydrocarbon. A method of controlling a carbon thin film density comprises etching a cavity into a substrate, depositing a hydrocarbon into the cavity, and pyrolyzing the hydrocarbon while in the cavity to form a carbon thin film. Controlling a carbon thin film density is achieved by changing the volume of the cavity. Methods of making carbon containing patterned structures are also provided. Carbon thin films and carbon containing patterned structures can be used in NEMS, MEMS, liquid chromatography, and sensor devices.

  2. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  3. Capillary assisted deposition of carbon nanotube film for strain sensing

    Science.gov (United States)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  4. Preparation and characterization of electrochemically deposited carbon nitride films on silicon substrate

    International Nuclear Information System (INIS)

    Yan Xingbin; Xu Tao; Chen Gang; Yang Shengrong; Liu Huiwen; Xue Qunji

    2004-01-01

    Carbon nitride films (CN x films) were deposited on Si(100) substrates by the electrolysis of methanol-urea solution at high voltage, atmospheric pressure, and low temperature. The microstructure and morphology of the resulting CN x films were analysed by means of Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), Fourier-transform infrared spectrometry (FTIR), x-ray diffraction (XRD), and atomic force microscopy. The tribological properties of the CN x films were examined on an UMT-2MT friction and wear test rig. The Raman spectrum showed two characteristic bands: a graphite G band and a disordered D band of carbon, which suggested the presence of an amorphous carbon matrix. XPS and FTIR measurements suggested the existence of both single and double carbon-nitride bonds in the film and the hydrogenation of the carbon nitride phase. The XRD spectrum showed various peaks of different d values, which could confirm the existence of the polycrystalline carbon nitride phase. The hydrogenated CN x films were compact and uniform, with a root mean square roughness of about 18 nm. The films showed excellent friction-reduction and wear-resistance, with the friction coefficient in the stable phase being about 0.08. In addition, the growth mechanism of the CN x films in liquid phase electro-deposition was discussed as well. It was assumed that the molecules of CH 3 OH and CO(NH 2 ) 2 were polarized under high electric field, and the CN x film was formed on the substrate through the reaction of the -CH 3 and -NH 2 groups on the cathode

  5. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  6. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  7. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  8. Carbon nitride films synthesized by NH3-ion-beam-assisted deposition

    International Nuclear Information System (INIS)

    Song, H.W.; Cui, F.Z.; He, X.M.; Li, W.Z.; Li, H.D.

    1994-01-01

    Carbon nitride thin film films have been prepared by NH 3 -ion-beam-assisted deposition with bombardment energies of 200-800 eV at room temperature. These films have been characterized by transmission electron microscopy. Auger electron spectroscopy and x-ray photoelectron spectroscopy for chemical analysis. It was found that the structure of the films varied with the bombardment energy. In the case of 400 eV bombardment, the tiny crystallites immersed on an amorphous matrix were identified to be β-C 3 N 4 . X-ray photoelectron spectroscopy indicated that some carbon atoms and nitrogen atoms form unpolarized covalent bonds in these films. (Author)

  9. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  10. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  11. Deuterium retention properties of co-deposited carbon films produced at wall gaps

    International Nuclear Information System (INIS)

    Nobuta, Yuji; Kanazawa, Jun; Yamauchi, Yuji; Hino, Tomoaki; Yokoyama, Kenji; Suzuki, Satoshi; Ezato, Koichiro; Enoeda, Mikio; Akiba, Masato; Akamaru, Satoshi; Hatano, Yuji

    2013-01-01

    Deuterium retention properties in co-deposited carbon film produced in gap and the relationship between this retention behavior and the crystal structure of carbon film were investigated. In the case of a wide gap, the atomic ratio of deuterium to carbon (D/C) in the film was almost constant at any depth in the gap, while in the case of a narrow gap the D/C ratio decreased with increasing distance from the gap entrance. The micro structure of carbon film tended to be more amorphous for the film produced at locations deeper in the gap. Thermal desorption spectra of D 2 in the film produced near the gap entrance showed one broad main peak at around 1100 K, while that in the film produced near the bottom showed very sharp peaks at around 950 K. This difference in desorption behavior was related with the differences of micro structure. (author)

  12. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  13. Controlled fluoridation of amorphous carbon films deposited at reactive plasma conditions

    Directory of Open Access Journals (Sweden)

    Yoffe Alexander

    2015-09-01

    Full Text Available A study of the correlations between plasma parameters, gas ratios, and deposited amorphous carbon film properties is presented. The injection of a C4F8/Ar/N2 mixture of gases was successfully used in an inductively coupled plasma system for the preparation of amorphous carbon films with different fluoride doping at room-temperature, using silicon as a substrate. This coating was formed at low-pressure and low-energy using an inductively coupled plasma process. A strong dependence between the ratios of gases during deposition and the composition of the substrate compounds was shown. The values of ratios between Ar (or Ar+N2 and C4F8 - 1:1 and between N2 and Ar - 1:2 in the N2/Ar/C4F8 mixture were found as the best for low fluoridated coatings. In addition, an example of improving the etch-passivation in the Bosch procedure was described. Scanning electron microscopy with energy dispersive spectroscopy options, X-ray diffraction, and X-ray reflectivity were used for quantitative analysis of the deposited films.

  14. Apparatus and process for deposition of hard carbon films

    Science.gov (United States)

    Nyaiesh, Ali R.; Garwin, Edward L.

    1989-01-03

    A process and an apparatus for depositing thin, amorphous carbon films having extreme hardness on a substrate is described. An enclosed chamber maintained at less than atmospheric pressure houses the substrate and plasma producing elements. A first electrode is comprised of a cavity enclosed within an RF coil which excites the plasma. A substrate located on a second electrode is excited by radio frequency power applied to the substrate. A magnetic field confines the plasma produced by the first electrode to the area away from the walls of the chamber and focuses the plasma onto the substrate thereby yielding film deposits having higher purity and having more rapid buildup than other methods of the prior art.

  15. High power density supercapacitor electrodes of carbon nanotube films by electrophoretic deposition

    International Nuclear Information System (INIS)

    Du Chunsheng; Pan Ning

    2006-01-01

    Carbon nanotube thin films have been successfully fabricated by the electrophoretic deposition technique. The supercapacitors built from such thin film electrodes have a very small equivalent series resistance, and a high specific power density over 20 kW kg -1 was thus obtained. More importantly, the supercapacitors showed superior frequency response. Our study also demonstrated that these carbon nanotube thin films can serve as coating layers over ordinary current collectors to drastically enhance the electrode performance, indicating a huge potential in supercapacitor and battery manufacturing

  16. Deposit of thin films of nitrided amorphous carbon using the laser ablation technique

    International Nuclear Information System (INIS)

    Rebollo, P.B.; Escobar A, L.; Camps C, E.; Haro P, E.; Camacho L, M.A.; Muhl S, S.

    2000-01-01

    It is reported the synthesis and characterization of thin films of amorphous carbon (a-C) nitrided, deposited by laser ablation in a nitrogen atmosphere at pressures which are from 4.5 x 10 -4 Torr until 7.5 x 10 -2 Torr. The structural properties of the films are studied by Raman spectroscopy obtaining similar spectra at the reported for carbon films type diamond. The study of behavior of the energy gap and the ratio nitrogen/carbon (N/C) in the films, shows that the energy gap is reduced when the nitrogen incorporation is increased. It is showed that the refraction index of the thin films diminish as nitrogen pressure is increased, indicating the formation of graphitic material. (Author)

  17. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  18. Structural properties of nitrogenated amorphous carbon films: Influence of deposition temperature and radiofrequency discharge power

    International Nuclear Information System (INIS)

    Lazar, G.; Bouchet-Fabre, B.; Zellama, K.; Clin, M.; Ballutaud, D.; Godet, C.

    2008-01-01

    The structural properties of nitrogenated amorphous carbon deposited by radiofrequency magnetron sputtering of graphite in pure N 2 plasma are investigated as a function of the substrate temperature and radiofrequency discharge power. The film composition is derived from x-ray photoemission spectroscopy, nuclear reaction analysis and elastic recoil detection measurements and the film microstructure is discussed using infrared, Raman, x-ray photoemission and near edge x-ray absorption fine structure spectroscopic results. At low deposition temperature and low radiofrequency power, the films are soft, porous, and easily contaminated with water vapor and other atmospheric components. The concentration of nitrogen in the films is very large for low deposition temperatures (∼33.6 at. % N at 150 deg. C) but decreases strongly when the synthesis temperature increases (∼15 at. % N at 450 deg. C). With increasing deposition temperature and discharge power values, the main observed effects in amorphous carbon nitride alloys are a loss of nitrogen atoms, a smaller hydrogen and oxygen contamination related to the film densification, an increased order of the aromatic sp 2 phase, and a strong change in the nitrogen distribution within the carbon matrix. Structural changes are well correlated with modifications of the optical and transport properties

  19. Electrochemical deposition of carbon films on titanium in molten LiCl–KCl–K2CO3

    International Nuclear Information System (INIS)

    Song, Qiushi; Xu, Qian; Wang, Yang; Shang, Xujing; Li, Zaiyuan

    2012-01-01

    Electrodeposition of carbon films on the oxide-scale-coated titanium has been performed in a LiCl–KCl–K 2 CO 3 melt, which are characterized by scanning electron microscopy, Raman spectroscopy and X-ray diffraction analysis. The electrochemical process of carbon deposition is investigated by cyclic voltammetry on the graphite, titanium and oxide-scale-coated titanium electrodes. The particle-size-gradient carbon films over the oxide-scale-coated titanium can be achieved by electrodeposition under the controlled potentials for avoiding codeposition of lithium carbide. The deposited carbon films are comprised of micron-sized ‘quasi-spherical’ carbon particles with graphitized and amorphous phases. The cyclic voltammetry behavior on the graphite, titanium and oxide-scale-coated titanium electrodes shows that CO 3 2− ions are reduced most favorably on the graphite for the three electrodes. Lithium ions can discharge under the less negative potential on the electrode containing carbon compared with titanium electrode because of the formation of lithium carbide from the reaction between lithium and carbon. - Highlights: ► Carbon films are prepared on oxide-scale-coated titanium in a LiCl–KCl–K 2 CO 3 melt. ► The films comprise micron-size ‘quasi-spherical’ carbon particles. ► The films present particle-size-gradient. ► The particles contain graphitized and amorphous phases. ► The prepared carbon films are more electrochemically active than graphite.

  20. A comparative chemical network study of HWCVD deposited amorphous silicon and carbon based alloys thin films

    Energy Technology Data Exchange (ETDEWEB)

    Swain, Bibhu P., E-mail: bibhuprasad.swain@gmail.com [Centre for Materials Science and Nanotechnology, Sikkim Manipal Institute of Technology, Majitar, Rangpo Sikkim (India); Swain, Bhabani S.; Hwang, Nong M. [Thin Films and Microstructure Laboratory, Department of Materials Science and Engineering, Seoul National University, Seoul (Korea, Republic of)

    2014-03-05

    Highlights: • a-SiC:H, a-SiN:H, a-C:H and a-SiCN:H films were deposited by hot wire chemical vapor deposition. • Evolution of microstructure of a-SiCN:H films deposited at different NH{sub 3} flow rate were analyzed. • The chemical network of Si and C based alloys were studied by FTIR and Raman spectroscopy. -- Abstract: Silicon and carbon based alloys were deposited by hot wire chemical vapor deposition (HWCVD). The microstructure and chemical bonding of these films were characterized by field emission scanning electron microscopy, Fourier transform infrared spectroscopy and Raman spectroscopy. The electron microscopy revealed various microstructures were observed for a-C:H, a-SiC:H, a-SiN:H, a-CN:H and a-SiCN:H films. The microstructure of SiN:H films showed agglomerate spherical grains while a-C:H films showed more fractal surface with branched microstructure. However, a-SiC:H, a-CN:H and a-SiCN:H indicated uniform but intermediate surface fractal microstructure. A series of a-SiCN:H films were deposited with variation of NH{sub 3} flow rate. The nitrogen incorporation in a-SiCN:H films alter the carbon network from sp{sup 2} to sp{sup 3} bonding The detail chemical bonding of amorphous films was analyzed by curve fitting method.

  1. Structural, nanomechanical and variable range hopping conduction behavior of nanocrystalline carbon thin films deposited by the ambient environment assisted filtered cathodic jet carbon arc technique

    Energy Technology Data Exchange (ETDEWEB)

    Panwar, O.S., E-mail: ospanwar@mail.nplindia.ernet.in [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India); Rawal, Ishpal; Tripathi, R.K. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India); Srivastava, A.K. [Electron and Ion Microscopy, Sophisticated and Analytical Instruments, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India); Kumar, Mahesh [Ultrafast Opto-Electronics and Tetrahertz Photonics Group, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi - 110 012 (India)

    2015-04-15

    Highlights: • Nanocrystalline carbon thin films are grown by filtered cathodic jet carbon arc process. • Effect of gaseous environment on the properties of carbon films has been studied. • The structural and nanomechanical properties of carbon thin films have been studied. • The VRH conduction behavior in nanocrystalline carbon thin films has been studied. - Abstract: This paper reports the deposition and characterization of nanocrystalline carbon thin films by filtered cathodic jet carbon arc technique assisted with three different gaseous environments of helium, nitrogen and hydrogen. All the films are nanocrystalline in nature as observed from the high resolution transmission electron microscopic (HRTEM) measurements, which suggests that the nanocrystallites of size ∼10–50 nm are embedded though out the amorphous matrix. X-ray photoelectron spectroscopic studies suggest that the film deposited under the nitrogen gaseous environment has the highest sp{sup 3}/sp{sup 2} ratio accompanied with the highest hardness of ∼18.34 GPa observed from the nanoindentation technique. The film deposited under the helium gaseous environment has the highest ratio of the area under the Raman D peak to G peak (A{sub D}/A{sub G}) and the highest conductivity (∼2.23 S/cm) at room temperature, whereas, the film deposited under the hydrogen environment has the lowest conductivity value (2.27 × 10{sup −7} S/cm). The temperature dependent dc conduction behavior of all the nanocrystalline carbon thin films has been analyzed in the light of Mott’s variable range hopping (VRH) conduction mechanism and observed that all the films obey three dimension VRH conduction mechanism for the charge transport.

  2. ZnO thin films on single carbon fibres fabricated by Pulsed Laser Deposition (PLD)

    Energy Technology Data Exchange (ETDEWEB)

    Krämer, André; Engel, Sebastian [Otto Schott Institute of Materials Research (OSIM), Friedrich Schiller University Jena, Löbdergraben 32, 07743 Jena (Germany); Sangiorgi, Nicola [Institute of Science and Technology for Ceramics – National Research Council of Italy (CNR-ISTEC), via Granarolo 64, 48018 Faenza, RA (Italy); Department of Chemical Science and Technologies, University of Rome Tor Vergata, via della Ricerca Scientifica, 00133 Rome (Italy); Sanson, Alessandra [Institute of Science and Technology for Ceramics – National Research Council of Italy (CNR-ISTEC), via Granarolo 64, 48018 Faenza, RA (Italy); Bartolomé, Jose F. [Instituto de Ciencia de Materiales de Madrid (ICMM), Consejo Superior de Investigaciones Científicas (CSIC), C/Sor Juana Inés de la Cruz 3, 28049 Madrid (Spain); Gräf, Stephan, E-mail: stephan.graef@uni-jena.de [Otto Schott Institute of Materials Research (OSIM), Friedrich Schiller University Jena, Löbdergraben 32, 07743 Jena (Germany); Müller, Frank A. [Otto Schott Institute of Materials Research (OSIM), Friedrich Schiller University Jena, Löbdergraben 32, 07743 Jena (Germany); Center for Energy and Environmental Chemistry Jena (CEEC Jena), Friedrich Schiller University Jena, Philosophenweg 7a, 07743 Jena (Germany)

    2017-03-31

    Highlights: • Carbon fibres were entirely coated with thin films consisting of aligned ZnO crystals. • A Q-switched CO2 laser was utilised as radiation source. • Suitability of ZnO thin films on carbon fibres as photo anodes for DSSC was studied. - Abstract: Single carbon fibres were 360° coated with zinc oxide (ZnO) thin films by pulsed laser deposition using a Q-switched CO{sub 2} laser with a pulse duration τ ≈ 300 ns, a wavelength λ = 10.59 μm, a repetition frequency f{sub rep} = 800 Hz and a peak power P{sub peak} = 15 kW in combination with a 3-step-deposition technique. In a first set of experiments, the deposition process was optimised by investigating the crystallinity of ZnO films on silicon and polished stainless steel substrates. Here, the influence of the substrate temperature and of the oxygen partial pressure of the background gas were characterised by scanning electron microscopy and X-ray diffraction analyses. ZnO coated carbon fibres and conductive glass sheets were used to prepare photo anodes for dye-sensitised solar cells in order to investigate their suitability for energy conversion devices. To obtain a deeper insight of the electronic behaviour at the interface between ZnO and substrate I–V measurements were performed.

  3. Deposition of diamond-like carbon films by plasma source ion implantation with superposed pulse

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.

    2003-01-01

    Diamond-like carbon (DLC) films were prepared on silicon wafer substrate by plasma source ion implantation with superposed negative pulse. Methane and acetylene gases were used as working gases for plasma. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4 kV and the pulse voltage was changed from 0 to -18 kV. The surface of DLC films was very smooth. The deposition rate of DLC films increased with increasing in superposed DC bias voltage. Carbon ion implantation was confirmed for the DLC film deposited from methane plasma with high pulse voltage. I D /I G ratios of Raman spectroscopy were around 1.5 independent on pulse voltage. The maximum hardness of 20.3 GPa was observed for the film prepared with high DC and high pulse voltage

  4. Barrier properties to surrogates of hydrogenated carbon nano-films deposited on PET by plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Oliveira, Éder C; Echegoyen, Yolanda; Nerin, Cristina; Cruz, Sandra A

    2014-01-01

    Poly(ethylene terephthalate) resin was contaminated with a series of surrogates using a US Food and Drug Administration protocol. The contaminated samples were coated with two different kinds of hydrogenated amorphous carbon thin films (a-C:H): one with diamond-like hydrogenated amorphous carbon and another with polymer-like hydrogenated carbon (PLCH) phases. To evaluate the barrier properties of the a-C:H films, migration assays were performed using food simulants. After the tests, analysis by gas chromatography with different detectors was carried out. The appearance of the films before and after the migration experiments was studied by field emission scanning electron microscopy. The results showed that a-C:H films have good barrier properties for most of the evaluated compounds, mainly when they are deposited as PLCH phase.

  5. Fabrication of nitrogen-containing diamond-like carbon film by filtered arc deposition as conductive hard-coating film

    Science.gov (United States)

    Iijima, Yushi; Harigai, Toru; Isono, Ryo; Imai, Takahiro; Suda, Yoshiyuki; Takikawa, Hirofumi; Kamiya, Masao; Taki, Makoto; Hasegawa, Yushi; Tsuji, Nobuhiro; Kaneko, Satoru; Kunitsugu, Shinsuke; Habuchi, Hitoe; Kiyohara, Shuji; Ito, Mikio; Yick, Sam; Bendavid, Avi; Martin, Phil

    2018-01-01

    Diamond-like carbon (DLC) films, which are amorphous carbon films, have been used as hard-coating films for protecting the surface of mechanical parts. Nitrogen-containing DLC (N-DLC) films are expected as conductive hard-coating materials. N-DLC films are expected in applications such as protective films for contact pins, which are used in the electrical check process of integrated circuit chips. In this study, N-DLC films are prepared using the T-shaped filtered arc deposition (T-FAD) method, and film properties are investigated. Film hardness and film density decreased when the N content increased in the films because the number of graphite structures in the DLC film increased as the N content increased. These trends are similar to the results of a previous study. The electrical resistivity of N-DLC films changed from 0.26 to 8.8 Ω cm with a change in the nanoindentation hardness from 17 to 27 GPa. The N-DLC films fabricated by the T-FAD method showed high mechanical hardness and low electrical resistivity.

  6. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  7. RIR MAPLE procedure for deposition of carbon rich Si/C/H films

    International Nuclear Information System (INIS)

    Dřínek, Vladislav; Strašák, Tomáš; Novotný, Filip; Fajgar, Radek; Bastl, Zdeněk

    2014-01-01

    We applied the resonant infrared matrix assisted pulsed laser evaporation (RIR MAPLE) technique to demonstrate a new approach to a controlled deposition of carbon rich amorphous Si/C/H film. In absence of radicals and accelerated species commonly generated in PECVD and sputtering setups, the RIR MAPLE method does not decompose precursor molecules. Moreover, unlike the standard MAPLE procedure, in which solvent molecules absorb laser energy from excimer or near infrared lasers, we applied the pulsed TEA CO 2 laser to excite the dendrimer precursor molecules in a frozen target. In this manner we achieved just cross-linking of the starting precursor on substrates and the deposition of carbon rich Si/C/H film. The film was analyzed by Fourier Transformed Infrared (FTIR), UV/VIS, Raman and X-ray Photoelectron (XPS) spectroscopy and Atomic Force Microscopy (AFM) technique. According to analyses the film retained the precursor elemental composition free of graphitic (sp 2 ) clusters. In course of reaction only the peripheral allyl groups containing C=C bonds were opened to achieve cross-linking. Whereas annealing to 300 °C was necessary for the elimination of =C–H 1 , 2 bonds in the films prepared at 200 °C, those bonds vanished completely for the films prepared at substrate temperature 255 °C. The film posseses a smooth surface with root mean square (RMS) parameter up to 10 nm within scanned distance 2.5 μm.

  8. RIR MAPLE procedure for deposition of carbon rich Si/C/H films

    Energy Technology Data Exchange (ETDEWEB)

    Dřínek, Vladislav, E-mail: drinek@icpf.cas.cz [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojova 135, 165 02 Prague 6 (Czech Republic); Strašák, Tomáš [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojova 135, 165 02 Prague 6 (Czech Republic); Novotný, Filip [Faculty of Nuclear Sciences and Physical Engineering, Czech Technical University, 115 19 Prague (Czech Republic); Fajgar, Radek [Institute of Chemical Process Fundamentals of the ASCR, v. v. i., Rozvojova 135, 165 02 Prague 6 (Czech Republic); Bastl, Zdeněk [J. Heyrovsky Institute of Physical Chemistry of the ASCR, v. v. i., Dolejškova 2155/3, 182 23 Prague 8 (Czech Republic)

    2014-02-15

    We applied the resonant infrared matrix assisted pulsed laser evaporation (RIR MAPLE) technique to demonstrate a new approach to a controlled deposition of carbon rich amorphous Si/C/H film. In absence of radicals and accelerated species commonly generated in PECVD and sputtering setups, the RIR MAPLE method does not decompose precursor molecules. Moreover, unlike the standard MAPLE procedure, in which solvent molecules absorb laser energy from excimer or near infrared lasers, we applied the pulsed TEA CO{sub 2} laser to excite the dendrimer precursor molecules in a frozen target. In this manner we achieved just cross-linking of the starting precursor on substrates and the deposition of carbon rich Si/C/H film. The film was analyzed by Fourier Transformed Infrared (FTIR), UV/VIS, Raman and X-ray Photoelectron (XPS) spectroscopy and Atomic Force Microscopy (AFM) technique. According to analyses the film retained the precursor elemental composition free of graphitic (sp{sup 2}) clusters. In course of reaction only the peripheral allyl groups containing C=C bonds were opened to achieve cross-linking. Whereas annealing to 300 °C was necessary for the elimination of =C–H{sub 1}, {sub 2} bonds in the films prepared at 200 °C, those bonds vanished completely for the films prepared at substrate temperature 255 °C. The film posseses a smooth surface with root mean square (RMS) parameter up to 10 nm within scanned distance 2.5 μm.

  9. Cataphoretic assembly of cationic dyes and deposition of carbon nanotube and graphene films.

    Science.gov (United States)

    Su, Y; Zhitomirsky, I

    2013-06-01

    Cathodic electrophoretic deposition (EPD) method has been developed for the fabrication of thin films from aqueous solutions of crystal violet (CV) dyes. The films contained rod-like particles with a long axis oriented perpendicular to the substrate surface. The proposed deposition mechanism involved cataphoresis of cationic CV(+) species, base generation in the cathodic reactions, and charge neutralization at the electrode surface. The assembly of rod-like particles was governed by π-π interactions of polyaromatic CV molecules. The deposition kinetics was studied by quartz crystal microbalance. CV dyes allowed efficient dispersion of multiwalled carbon nanotubes (MWCNTs) and graphene in water at relatively low CV concentrations. The feasibility of cathodic EPD of MWCNT and graphene from aqueous suspensions, containing CV, has been demonstrated. The deposition yield was investigated at different CV concentrations and deposition voltages. The relatively high deposition yield of MWCNT and graphene indicated that CV is an efficient dispersing, charging, and film forming agent for EPD. Electron microscopy data showed that at low CV concentrations in MWCNT or graphene suspensions and low deposition voltages, the films contained mainly MWCNT or graphene. The increase in the CV concentration and/or deposition voltage resulted in enhanced co-deposition of CV. The EPD method developed in this investigation paves the way for the fabrication of advanced nanocomposites by cathodic electrodeposition. Copyright © 2013 Elsevier Inc. All rights reserved.

  10. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuezhang [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); Wei Qiuping, E-mail: qiupwei@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yu Zhiming, E-mail: zhiming@csu.edu.cn [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China); State Key Laboratory of Powder Metallurgy, Central South University, Changsha, 410083 (China); Yang Taiming; Zhai Hao [School of Materials Science and Engineering, Central South University, Changsha, 410083 (China)

    2013-01-15

    Highlights: Black-Right-Pointing-Pointer Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. Black-Right-Pointing-Pointer The nucleation density was increased to 10{sup 11} cm{sup -2}. Black-Right-Pointing-Pointer Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. Black-Right-Pointing-Pointer Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp{sup 3}-bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10{sup 11} cm{sup -2}, and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  11. Adherent diamond film deposited on Cu substrate by carbon transport from nanodiamond buried under Pt interlayer

    International Nuclear Information System (INIS)

    Liu Xuezhang; Wei Qiuping; Yu Zhiming; Yang Taiming; Zhai Hao

    2013-01-01

    Highlights: ► Adherent polycrystalline diamond films were grown on copper substrate by carbon transport. ► The nucleation density was increased to 10 11 cm −2 . ► Diamond films were a composite structure of nano-crystalline diamond layer and micro-crystalline diamond layer. ► Diamond nucleation was based by carbon dissolving from UDDs to Pt interlayer and formation of sp 3 -bonded diamond clusters at the Pt surface. - Abstract: Diamond film deposited on Cu suffered from poor adhesion mainly due to the large mismatch of thermal expansion coefficients and the lack of affinity between carbon and Cu. Enhancing diamond nucleation by carbon transport from buried nanodiamond through a Pt ultrathin interlayer, adherent diamond film was then deposited on Cu substrate without distinctly metallic interlayer. This novel nucleation mechanism increased diamond nucleation density to 10 11 cm −2 , and developed diamond film with a composite structure of nano-crystalline diamond (NCD) layer and micro-crystalline diamond layer. Diamond film was characterized by the scanning electron microscope (SEM) and Raman spectroscope, respectively. The composition of diamond film/Cu substrate interface was examined by electron probe microanalysis (EPMA). The adhesion of diamond film was evaluated by indentation test. Those results show that a Pt ultrathin interlayer provides stronger chemically bonded interfaces and improve film adhesion.

  12. Near-surface hydrogen depletion of diamond-like carbon films produced by direct ion deposition

    Science.gov (United States)

    Markwitz, Andreas; Gupta, Prasanth; Mohr, Berit; Hübner, René; Leveneur, Jerome; Zondervan, Albert; Becker, Hans-Werner

    2016-03-01

    Amorphous atomically flat diamond-like carbon (DLC) coatings were produced by direct ion deposition using a system based on a Penning ion source, butane precursor gas and post acceleration. Hydrogen depth profiles of the DLC coatings were measured with the 15N R-NRA method using the resonant nuclear reaction 1H(15N, αγ)12C (Eres = 6.385 MeV). The films produced at 3.0-10.5 kV acceleration voltage show two main effects. First, compared to average elemental composition of the film, the near-surface region is hydrogen depleted. The increase of the hydrogen concentration by 3% from the near-surface region towards the bulk is attributed to a growth model which favours the formation of sp2 hybridised carbon rich films in the film formation zone. Secondly, the depth at which the maximum hydrogen concentration is measured increases with acceleration voltage and is proportional to the penetration depth of protons produced by the ion source from the precursor gas. The observed effects are explained by a deposition process that takes into account the contributions of ion species, hydrogen effusion and preferential displacement of atoms during direct ion deposition.

  13. Amorphous carbon nitrogenated films prepared by plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Rangel, Elidiane C.; Durrant, Steven F.; Rangel, Rita C.C.; Kayama, Milton E.; Landers, Richard; Cruz, Nilson C. da

    2006-01-01

    In this work, an investigation was conducted on amorphous hydrogenated-nitrogenated carbon films prepared by plasma immersion ion implantation and deposition. Glow discharge was excited by radiofrequency power (13.56 MHz, 40 W) whereas the substrate-holder was biased with 25 kV negative pulses. The films were deposited from benzene, nitrogen and argon mixtures. The proportion of nitrogen in the chamber feed (R N ) was varied against that of argon, while keeping the total pressure constant (1.3 Pa). From infrared reflectance-absorbance spectroscopy it was observed that the molecular structure of the benzene is not preserved in the film. Nitrogen was incorporated from the plasma while oxygen arose as a contaminant. X-ray photoelectron spectroscopy revealed that N/C and O/C atomic ratios change slightly with R N . Water wettability decreased as the proportion of N in the gas phase increased while surface roughness underwent just small changes. Nanoindentation measurements showed that film deposition by means of ion bombardment was beneficial to the mechanical properties of the film-substrate interface. The intensity of the modifications correlates well with the degree of ion bombardment

  14. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  15. Deposition and microstructure of Ti-containing diamond-like carbon nanocomposite films

    International Nuclear Information System (INIS)

    Yang, Won Jae; Sekino, Tohru; Shim, Kwang Bo; Niihara, Koichi; Auh, Keun Ho

    2005-01-01

    Ti-containing diamond-like carbon (DLC) films were deposited by plasma decomposition of CH 4 /Ar gas mixtures with an introduction of tetrakis(dimethylamino)titanium (TDMAT, Ti[(CH 3 ) 2 N] 4 ), which was used as a precursor of titanium. The films deposited were found to be nanocomposite coatings consisting of TiN nanocrystalline clusters and amorphous hydrocarbon (a-C:H), indicating that the nanocrystalline clusters were embedded in the DLC matrix. The crystallinity of TiN clusters, as well as the Ti atomic concentrations in the films, increased with an increase of substrate temperature. The substrate temperature applied to form a crystalline phase in the DLC matrix induced a graphitization of amorphous hydrocarbon matrix. The increase of volume fraction of TiN nanocrystalline clusters in the DLC matrix enhanced the mechanical properties of nanostructured coatings, although the graphite-like structural transition of DLC matrix happened due to the applied heating

  16. Spray deposition of steam treated and functionalized single-walled and multi-walled carbon nanotube films for supercapacitors

    International Nuclear Information System (INIS)

    Zhao Xin; Chu, Bryan T T; Johnston, Colin; Sykes, John M; Grant, Patrick S; Ballesteros, Belen; Wang Weiliang

    2009-01-01

    Steam purified, carboxylic and ester functionalized single-walled carbon nanotube (SWNT) and multi-walled carbon nanotube (MWNT) films with homogeneous distribution and flexible control of thickness and area were fabricated on polymeric and metallic substrates using a modified spray deposition technique. By employing a pre-sprayed polyelectrolyte, the adhesion of the carbon nanotube (CNT) films to the substrates was significantly enhanced by electrostatic interaction. Carboxylic and ester functionalization improved electrochemical performance when immersed in 0.1 M H 2 SO 4 and the specific capacitance reached 155 and 77 F g -1 for carboxylic functionalized SWNT and MWNT films respectively. Compared with existing techniques such as hot pressing, vacuum filtration and dip coating, the ambient pressure spray deposition technique is suggested as particularly well suited for preparing CNT films at large scale for applications including providing electrodes for electrochemical supercapacitors and paper batteries.

  17. Structural properties and surface wettability of Cu-containing diamond-like carbon films prepared by a hybrid linear ion beam deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Peng; Sun, Lili; Li, Xiaowei [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Xu, Sheng [Gao Hong Coating Technology Co., Ltd, Huzhou 313000 (China); Ke, Peiling [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Wang, Aiying, E-mail: aywang@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China)

    2015-06-01

    Cu-containing diamond-like carbon (Cu-DLC) films were deposited on Si/glass substrate by a hybrid ion beam deposition system. The Cu concentration (0.1–39.7 at.%) in the film was controlled by varying the sputtering current. The microstructure and composition of Cu-DLC films were investigated systematically. The surface topography, roughness and surface wettability of the films were also studied. Results indicated that with increasing the Cu concentration, the water contact angle of the films changed from 66.8° for pure carbon film to more than 104.4° for Cu-DLC films with Cu concentration larger than 24.4 at.%. In the hydrophilic region, the polar surface energy decreased from 30.54 mJ/m{sup 2} for pure carbon film to 2.48 mJ/m{sup 2} for the film with Cu 7.0 at.%. - Highlights: • Cu-containing diamond-like carbon (DLC) films were deposited by a hybrid ion beam system. • Cu-containing DLC films exhibited a wide range of water contact angle. • The water contact angles vary with the surface energies and surface roughness.

  18. Near-surface hydrogen depletion of diamond-like carbon films produced by direct ion deposition

    Energy Technology Data Exchange (ETDEWEB)

    Markwitz, Andreas, E-mail: A.Markwitz@gns.cri.nz [GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Gupta, Prasanth [GNS Science, Lower Hutt (New Zealand); The MacDiarmid Institute for Advanced Materials and Nanotechnology (New Zealand); Mohr, Berit [GNS Science, Lower Hutt (New Zealand); Hübner, René [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf (Germany); Leveneur, Jerome; Zondervan, Albert [GNS Science, Lower Hutt (New Zealand); Becker, Hans-Werner [RUBION, Ruhr-University Bochum (Germany)

    2016-03-15

    Amorphous atomically flat diamond-like carbon (DLC) coatings were produced by direct ion deposition using a system based on a Penning ion source, butane precursor gas and post acceleration. Hydrogen depth profiles of the DLC coatings were measured with the 15N R-NRA method using the resonant nuclear reaction {sup 1}H({sup 15}N, αγ){sup 12}C (E{sub res} = 6.385 MeV). The films produced at 3.0–10.5 kV acceleration voltage show two main effects. First, compared to average elemental composition of the film, the near-surface region is hydrogen depleted. The increase of the hydrogen concentration by 3% from the near-surface region towards the bulk is attributed to a growth model which favours the formation of sp{sup 2} hybridised carbon rich films in the film formation zone. Secondly, the depth at which the maximum hydrogen concentration is measured increases with acceleration voltage and is proportional to the penetration depth of protons produced by the ion source from the precursor gas. The observed effects are explained by a deposition process that takes into account the contributions of ion species, hydrogen effusion and preferential displacement of atoms during direct ion deposition.

  19. Multi-Directional Growth of Aligned Carbon Nanotubes Over Catalyst Film Prepared by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Zhou Kai

    2010-01-01

    Full Text Available Abstract The structure of vertically aligned carbon nanotubes (CNTs severely depends on the properties of pre-prepared catalyst films. Aiming for the preparation of precisely controlled catalyst film, atomic layer deposition (ALD was employed to deposit uniform Fe2O3 film for the growth of CNT arrays on planar substrate surfaces as well as the curved ones. Iron acetylacetonate and ozone were introduced into the reactor alternately as precursors to realize the formation of catalyst films. By varying the deposition cycles, uniform and smooth Fe2O3 catalyst films with different thicknesses were obtained on Si/SiO2 substrate, which supported the growth of highly oriented few-walled CNT arrays. Utilizing the advantage of ALD process in coating non-planar surfaces, uniform catalyst films can also be successfully deposited onto quartz fibers. Aligned few-walled CNTs can be grafted on the quartz fibers, and they self-organized into a leaf-shaped structure due to the curved surface morphology. The growth of aligned CNTs on non-planar surfaces holds promise in constructing hierarchical CNT architectures in future.

  20. Silicon solar cell performance deposited by diamond like carbon thin film ;Atomic oxygen effects;

    Science.gov (United States)

    Aghaei, Abbas Ail; Eshaghi, Akbar; Karami, Esmaeil

    2017-09-01

    In this research, a diamond-like carbon thin film was deposited on p-type polycrystalline silicon solar cell via plasma-enhanced chemical vapor deposition method by using methane and hydrogen gases. The effect of atomic oxygen on the functioning of silicon coated DLC thin film and silicon was investigated. Raman spectroscopy, field emission scanning electron microscopy, atomic force microscopy and attenuated total reflection-Fourier transform infrared spectroscopy were used to characterize the structure and morphology of the DLC thin film. Photocurrent-voltage characteristics of the silicon solar cell were carried out using a solar simulator. The results showed that atomic oxygen exposure induced the including oxidation, structural changes, cross-linking reactions and bond breaking of the DLC film; thus reducing the optical properties. The photocurrent-voltage characteristics showed that although the properties of the fabricated thin film were decreased after being exposed to destructive rays, when compared with solar cell without any coating, it could protect it in atomic oxygen condition enhancing solar cell efficiency up to 12%. Thus, it can be said that diamond-like carbon thin layer protect the solar cell against atomic oxygen exposure.

  1. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  2. Incidence Angle Effect of Energetic Carbon Ions on Deposition Rate, Topography, and Structure of Ultrathin Amorphous Carbon Films Deposited by Filtered Cathodic Vacuum Arc

    KAUST Repository

    Wang, N.

    2012-07-01

    The effect of the incidence angle of energetic carbon ions on the thickness, topography, and structure of ultrathin amorphous carbon (a-C) films synthesized by filtered cathodic vacuum arc (FCVA) was examined in the context of numerical and experimental results. The thickness of a-C films deposited at different incidence angles was investigated in the light of Monte Carlo simulations, and the calculated depth profiles were compared with those obtained from high-resolution transmission electron microscopy (TEM). The topography and structure of the a-C films were studied by atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS), respectively. The film thickness decreased with the increase of the incidence angle, while the surface roughness increased and the content of tetrahedral carbon hybridization (sp 3) decreased significantly with the increase of the incidence angle above 45° , measured from the surface normal. TEM, AFM, and XPS results indicate that the smoothest and thinnest a-C films with the highest content of sp 3 carbon bonding were produced for an incidence angle of 45°. The findings of this study have direct implications in ultrahigh-density magnetic recording, where ultrathin and smooth a-C films with high sp 3 contents are of critical importance. © 2012 IEEE.

  3. Deposition of carbon nitride films by vacuum ion diode with explosive emission

    Energy Technology Data Exchange (ETDEWEB)

    Korenev, S.A.; Perry, A.J. [New Jersey Inst. of Tech., Newark (United States); Elkind, A.; Kalmukov, A.

    1997-10-31

    Carbon nitride films were synthesized using a novel technique based on the pulsed high voltage ion/electron diode with explosive emission (pulsed voltage 200-700 kV pulsed current 100-500 Acm{sup -2} (ions) 150-2000 Acm{sup -2} (electrons)). The method and its novel features are discussed as well as its application to the formation of the crystalline {beta}-phase in C{sub 3}N{sub 4} films. Mixed elemental nitrogen and carbon films are formed by sequential deposition then subjected to ion and/or electron beam mixing to synthesize the C{sub 3}N{sub 4} structure. The experimental conditions used for this pulsed process are described and the efficiency of the method for nitrogen incorporation is demonstrated. The results presented indicate that {beta}-C{sub 3}N{sub 4} crystallites are formed in an amorphous matrix. (orig.) 20 refs.

  4. Fully-flexible supercapacitors using spray-deposited carbon-nanotube films as electrodes

    Science.gov (United States)

    Lee, Churl Seung; Bae, Joonho

    2013-12-01

    Fully-flexible carbon-nanotube-based supercapacitors were successfully fabricated using a spray method. For electrodes, multiwalled carbon-nanotube films sprayed on polyethylene terephthalate (PET) substrates were employed. Thin Al films on PET were used as current collectors. The electrolyte was 1 M KNO3. Cyclic voltammetry and galvanostatic charge-discharge measurements on the flexible supercapacitors revealed that the area-specific capacitance was 0.11 mF/cm2. Electrochemical impedance spectroscopy of the supercapacitors resulted in a low internal resistance (3.7 Ω). The energy density and the power density of the flexible supercapacitor were measured to be 3.06 × 10-8 Wh/cm2 and 2.65 × 10-7 W/cm2, respectively. The Bode | z| and phase-angle plots showed that the supercapacitors functioned close to ideal capacitors at the frequencies near 2 kHz. These results indicate that the spray deposition method of carbon nanotubes could be promising for fabricating flexible energy devices or electronics.

  5. Discharge cleaning of carbon deposits

    International Nuclear Information System (INIS)

    Mozetic, M.; Vesel, A.; Drenik, A.

    2006-01-01

    Experimental results of discharge cleaning of carbon deposits are presented. Deposits were prepared by creating plasma in pure methane. The methane was cracked in RF discharge at the output power of 250 W. The resultant radicals were bonded to the wall of discharge vessel forming a thin film of hydrogenated black carbon with the thickness of about 200nm. The film was then cleaned in situ by oxygen plasma with the density of about 1x10 16 m -3 , electron temperature of 5 eV, neutral gas kinetic temperature of about 100 0 C and neutral atom density of 6x10 21 m -3 . The treatment time was 30 minutes. The efficiency of plasma cleaning was monitored by optical emission spectroscopy. As long as the wall was contaminated with carbon deposit, substantial emission of the CO molecules was detected. As the cleaning was in progress, the CO emission was decreasing and vanished after 30 minutes when the discharge vessel became free of any carbon. The results are explained by interaction of plasma radicals with carbon deposits. (author)

  6. Protolytic carbon film technology

    Energy Technology Data Exchange (ETDEWEB)

    Renschler, C.L.; White, C.A.

    1996-04-01

    This paper presents a technique for the deposition of polyacrylonitrile (PAN) on virtually any surface allowing carbon film formation with only the caveat that the substrate must withstand carbonization temperatures of at least 600 degrees centigrade. The influence of processing conditions upon the structure and properties of the carbonized film is discussed. Electrical conductivity, microstructure, and morphology control are also described.

  7. Carbon black nanoparticles film electrode prepared by using substrate-induced deposition approach

    Energy Technology Data Exchange (ETDEWEB)

    Svegl, Irena Grabec; Bele, Marjan [National Institute of Chemistry, P.O. Box 660, SI-1001 Ljubljana (Slovenia); Ogorevc, Bozidar [National Institute of Chemistry, P.O. Box 660, SI-1001 Ljubljana (Slovenia)], E-mail: bogorevc@ki.si

    2008-11-03

    A new type of carbon film electrode, composed of a thin layer of tightly packed carbon black (CB) nanoparticles deposited onto a gelatin-covered indium tin oxide/glass support using the surface-induced deposition (SID) approach, is presented. Some parameters of the novel SID method were optimized and the surface image and functionalization of the investigated carbon black film electrode (CBFE) was inspected by employing scanning electron microscopy and infrared spectroscopy. A cyclic voltammetry (CV) study was conducted in which the electron-transfer kinetics and CBFE interfacial characteristics were evaluated employing several selected reference redox systems, such as [Ru(NH{sub 3}){sub 6}]{sup 3+/2+}, [Fe(CN){sub 6}]{sup 3-/4-} and Fe{sup 3+/2+} in aqueous, and ferrocene/ferrocenium in acetonitrile media. CV recordings were also performed in order to compare the electrochemical behavior of the CBFE with that of some well-known and established bare carbon-based electrodes. In order to confirm the validity of the CB film preparation method, the electroanalytical performance of the proposed CBFE was examined by carrying out linear sweep voltammetry of ascorbic acid (AA), anodic stripping square-wave voltammetry of Cu(II) in acidic medium, and amperometric measurements of hydrogen peroxide under flow injection conditions. The sensing characteristics of the novel carbon film electrode, demonstrated in this preliminary study, comprise: (i) a wide working potential window ranging from +1.0 to -1.3 V (depending on the solution pH), (ii) a wide applicable pH range (at least from 2 to 12), (iii) low voltammetric background (<5 {mu}A cm{sup -2}), (iv) a satisfactory linear voltammetric and amperometric response (r{sup 2} > 0.99) to various analytes, (v) good reproducibility (for example, r.s.d. of 2% in amperometric detection of H{sub 2}O{sub 2} and r.s.d. of 8.5% for electrode-to-electrode CV runs), and (vi) stable and fast current response (at least 100 CV runs with

  8. Vertically aligned carbon nanotube growth by pulsed laser deposition and thermal chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Sohn, Jung Inn; Nam, Chunghee; Lee, Seonghoon

    2002-01-01

    We have grown vertically aligned carbon nanotubes on the various substrates such as a planar p-type Si(1 0 0) wafer, porous Si wafer, SiO 2 , Si 3 N 4 , Al 2 O 3 , and Cr by thermal chemical vapor deposition (CVD) at 800 deg.C, using C 2 H 2 gas as a carbon source and Fe catalyst films deposited by a pulsed laser on the substrates. The Fe films were deposited for 5 min by pulsed laser deposition (PLD). The advantage of Fe deposition by PLD over other deposition methods lies in the superior adhesion of Fe to a Si substrate due to high kinetic energies of the generated Fe species. Scanning electron microscopy (SEM) images show that vertically well-aligned carbon nanotubes are grown on Fe nanoparticles formed from the thermal annealing of the Fe film deposited by PLD on the various substrates. Atomic force microscopy (AFM) images show that the Fe film annealed at 800 deg.C is broken to Fe nanoparticles of 10-50 nm in size. We show that the appropriate density of Fe nanoparticles formed from the thermal annealing of the film deposited by PLD is crucial in growing vertically aligned carbon nanotubes. Using a PLD and a lift-off method, we developed the selective growth of carbon nanotubes on a patterned Fe-coated Si substrate

  9. Crystalline and amorphous carbon nitride films produced by high-energy shock plasma deposition

    International Nuclear Information System (INIS)

    Bursilll, L.A.; Peng, Julin; Gurarie, V.N.; Orlov, A.V.; Prawer, S.

    1995-01-01

    High-energy shock plasma deposition techniques are used to produce carbon-nitride films containing both crystalline and amorphous components. The structures are examined by high-resolution transmission electron microscopy, parallel-electron-energy loss spectroscopy and electron diffraction. The crystalline phase appears to be face-centered cubic with unit cell parameter approx. a=0.63nm and it may be stabilized by calcium and oxygen at about 1-2 at % levels. The carbon atoms appear to have both trigonal and tetrahedral bonding for the crystalline phase. There is PEELS evidence that a significant fraction of the nitrogen atoms have sp 2 trigonal bonds in the crystalline phase. The amorphous carbon-nitride film component varies from essentially graphite, containing virtually no nitrogen, to amorphous carbon-nitride containing up to 10 at % N, where the fraction of sp 3 bonds is significant. 15 refs., 5 figs

  10. The effect of deposition energy of energetic atoms on the growth and structure of ultrathin amorphous carbon films studied by molecular dynamics simulations

    KAUST Repository

    Wang, N

    2014-05-16

    The growth and structure of ultrathin amorphous carbon films was investigated by molecular dynamics simulations. The second-generation reactive-empirical-bond-order potential was used to model atomic interactions. Films with different structures were simulated by varying the deposition energy of carbon atoms in the range of 1-120 eV. Intrinsic film characteristics (e.g. density and internal stress) were determined after the system reached equilibrium. Short- and intermediate-range carbon atom ordering is examined in the context of atomic hybridization and ring connectivity simulation results. It is shown that relatively high deposition energy (i.e., 80 eV) yields a multilayer film structure consisting of an intermixing layer, bulk film and surface layer, consistent with the classical subplantation model. The highest film density (3.3 g cm-3), sp3 fraction (∼43%), and intermediate-range carbon atom ordering correspond to a deposition energy of ∼80 eV, which is in good agreement with experimental findings. © 2014 IOP Publishing Ltd.

  11. Giant Negative Piezoresistive Effect in Diamond-like Carbon and Diamond-like Carbon-Based Nickel Nanocomposite Films Deposited by Reactive Magnetron Sputtering of Ni Target

    DEFF Research Database (Denmark)

    Meškinis, Šaru Nas; Gudaitis, Rimantas; Šlapikas, Kęstutis

    2018-01-01

    deposited by either reactive HIPIMS or dc magnetron sputtering of Ni target was explained by possible clustering of the sp2-bonded carbon and/or formation of areas with the decreased hydrogen content. It was suggested that the tensile stress-induced rearrangements of these conglomerations have resulted......Piezoresistive properties of hydrogenated diamond-like carbon (DLC) and DLC-based nickel nanocomposite (DLC:Ni) films were studied in the range of low concentration of nickel nanoparticles. The films were deposited by reactive high power pulsed magnetron sputtering (HIPIMS) of Ni target, and some...... samples were deposited by direct current (dc) reactive magnetron sputtering for comparison purposes. Raman scattering spectroscopy, energy-dispersive X-ray spectrometry (EDS), and X-ray photoelectron spectroscopy (XPS) were used to study the structure and chemical composition of the films. A four...

  12. The multilayered structure of ultrathin amorphous carbon films synthesized by filtered cathodic vacuum arc deposition

    KAUST Repository

    Wang, Na; Komvopoulos, Kyriakos

    2013-01-01

    The structure of ultrathin amorphous carbon (a-C) films synthesized by filtered cathodic vacuum arc (FCVA) deposition was investigated by high-resolution transmission electron microscopy, electron energy loss spectroscopy, and x-ray photoelectron

  13. Reactivity of rhodium during co-deposition of rhodium and carbon

    International Nuclear Information System (INIS)

    Marot, Laurent; Steiner, Roland; De Temmerman, Gregory; Oelhafen, Peter

    2009-01-01

    The detailed characterizations of rhodium/carbon films prepared by co-deposition using a dual magnetron sputtering have been carried out on silicon substrates at room temperature. Effects of the carbon incorporated in the film on the chemical bonding state, optical reflectivity and crystallinity were investigated using XPS, reflectivity measurements, XRD and SEM. The incorporation of carbon changes the films' crystallinity and thus producing amorphous films. The reflectivity of the films decreases linearly as the rhodium concentration decreases. It is important to note that no chemical bonding was observed between rhodium and carbon whatever the deposition conditions, even at high deposition temperature. Concerning the reactivity of rhodium films with oxygen, after long term storage in air the rhodium surface is covered with a thin rhodium oxide (few nanometers). However, for these films no variation of the optical reflectivity was observed after long air storage.

  14. The effect of substrate bias on titanium carbide/amorphous carbon nanocomposite films deposited by filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Zhang, Xu; Liang, Hong; Wu, Zhenglong; Wu, Xiangying; Zhang, Huixing

    2013-01-01

    The titanium carbide/amorphous carbon nanocomposite films have been deposited on silicon substrate by filtered cathodic vacuum arc (FCVA) technology, the effects of substrate bias on composition, structures and mechanical properties of the films are studied by scanning electron spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy and nano-indentation. The results show that the Ti content, deposition rate and hardness at first increase and then decrease with increasing the substrate bias. Maximum hardness of the titanium carbide/amorphous carbon nanocomposite film is 51 Gpa prepared at −400 V. The hardness enhancement may be attributed to the compressive stress and the fraction of crystalline TiC phase due to ion bombardment

  15. Adherence problem for carbon films of up to 0.5 mg/cm2 on vacuum-deposited thick ferromagnetic Gd targets

    International Nuclear Information System (INIS)

    Maier-Komor, P.; Kruecken, R.; Speidel, K.-H.; Kenn, O.

    2004-01-01

    For high precision measurements of magnetic moments and reduced transition probabilities by the combined technique of projectile Coulomb excitation in inverse kinematics and transient magnetic fields sandwich targets of carbon and gadolinium were required. First preparations revealed a lack of adhesion between the Gd film and the vacuum-deposited C layer. Either the adhesion was generally poor or good results could not be reproduced. Now on a 4 mg/cm 2 Gd target 0.5 mg/cm 2 of nat C should be deposited. The Gd was deposited on 1-1.6 mg/cm 2 Ta backings and Cu films of 3.5-7 mg/cm 2 were deposited on the reverse side of the Ta backings. The adhesive properties of evaporated carbon on ferromagnetic gadolinium were investigated. For this either substrate cooling or the deposition of a 5 μg/cm 2 Ti film as adhesion agent were applied

  16. Bonding topologies in diamondlike amorphous-carbon films

    Energy Technology Data Exchange (ETDEWEB)

    SIEGAL,MICHAEL P.; PROVENCIO,PAULA P.; TALLANT,DAVID R.; SIMPSON,REGINA L.; KLEINSORGE,B.; MILNE,W.I.

    2000-01-27

    The carbon ion energy used during filtered cathodic vacuum arc deposition determines the bonding topologies of amorphous-carbon (a-C) films. Regions of relatively low density occur near the substrate/film and film/surface interfaces and their thicknesses increase with increasing deposition energy. The ion subplantation growth results in mass density gradients in the bulk portion of a-C in the growth direction; density decreases with distance from the substrate for films grown using ion energies < 60 eV and increases for films grown using ion energies > 160 eV. Films grown between these energies are the most diamondlike with relatively uniform bulk density and the highest optical transparencies. Bonding topologies evolve with increasing growth energy consistent with the propagation of subplanted carbon ions inducing a partial transformation of 4-fold to 3-fold coordinated carbon atoms.

  17. Bonding topologies in diamondlike amorphous-carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Siegal, M. P. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Provencio, P. N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Tallant, D. R. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Simpson, R. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Kleinsorge, B. [Department of Engineering, Cambridge University, Cambridge CB2 1PZ, (United Kingdom); Milne, W. I. [Department of Engineering, Cambridge University, Cambridge CB2 1PZ, (United Kingdom)

    2000-04-10

    The carbon ion energy used during filtered cathodic vacuum arc deposition determines the bonding topologies of amorphous-carbon (a-C) films. Regions of relatively low density occur near the substrate/film and film/surface interfaces; their thicknesses increase with deposition energy. The ion subplantation growth results in mass density gradients in the bulk portion of a-C in the growth direction; density decreases with distance from the substrate for films grown using ion energies <60 eV and increases for films grown using ion energies >160 eV. Films grown between these energies are the most diamondlike with relatively uniform bulk density and the highest optical transparencies. Bonding topologies evolve with increasing growth energy consistent with the propagation of subplanted carbon ions inducing a partial transformation of {sigma}- to {pi}-bonded carbon atoms. (c) 2000 American Institute of Physics.

  18. Boron erosion and carbon deposition due to simultaneous bombardment with deuterium and carbon ions in plasmas

    International Nuclear Information System (INIS)

    Ohya, K.; Kawata, J.; Wienhold, P.; Karduck, P.; Rubel, M.; Seggern, J. von

    1999-01-01

    Erosion of boron out of a thin film exposed to deuterium edge plasmas and the simultaneous carbon deposition have been investigated in the tokamak TEXTOR-94 and simulated by means of a dynamic Monte Carlo code. The calculated results are compared with some observations (colorimetry, spectroscopy and AES) during and after the exposures. The implantation of carbon impurities strongly changes the effective boron sputtering yield of the film, which results into a lowering of the film erosion and a formation of thick carbon deposits. A strong decrease in the observed BII line emission around a surface location far from the plasma edge can be explained by a carbon deposition on the film. The calculated carbon depth profiles in the film, depending on the distance of the exposed surface from the plasma edge, are in reasonable agreement with measurements by AES after the exposures. Although simultaneous surface erosion and carbon deposition can be simulated, the calculated erosion rate is larger, by a factor of 2, than the observations by colorimetry at the early stage of the exposure

  19. Bonding topologies in diamondlike amorphous-carbon films

    International Nuclear Information System (INIS)

    Siegal, M. P.; Provencio, P. N.; Tallant, D. R.; Simpson, R. L.; Kleinsorge, B.; Milne, W. I.

    2000-01-01

    The carbon ion energy used during filtered cathodic vacuum arc deposition determines the bonding topologies of amorphous-carbon (a-C) films. Regions of relatively low density occur near the substrate/film and film/surface interfaces; their thicknesses increase with deposition energy. The ion subplantation growth results in mass density gradients in the bulk portion of a-C in the growth direction; density decreases with distance from the substrate for films grown using ion energies 160 eV. Films grown between these energies are the most diamondlike with relatively uniform bulk density and the highest optical transparencies. Bonding topologies evolve with increasing growth energy consistent with the propagation of subplanted carbon ions inducing a partial transformation of σ- to π-bonded carbon atoms. (c) 2000 American Institute of Physics

  20. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Rui [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Han, Lihao [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Huang, Zhuangqun; Ferrer, Ivonne M. [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Smets, Arno H.M.; Zeman, Miro [Photovoltaic Materials and Devices (PVMD) Laboratory, Delft University of Technology, P.O. Box 5031, GA Delft 2600 (Netherlands); Brunschwig, Bruce S., E-mail: bsb@caltech.edu [Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Lewis, Nathan S., E-mail: nslewis@caltech.edu [Joint Center for Artificial Photosynthesis, California Institute of Technology, Pasadena, CA 91125 (United States); Beckman Institute, California Institute of Technology, Pasadena, CA 91125 (United States); Division of Chemistry and Chemical Engineering, California Institute of Technology, 210 Noyes Laboratory 127-72, Pasadena, CA 91125 (United States); Kavli Nanoscience Institute, California Institute of Technology, Pasadena, CA 91125 (United States)

    2015-07-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe{sub 3} and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films.

  1. A low-temperature synthesis of electrochemical active Pt nanoparticles and thin films by atomic layer deposition on Si(111) and glassy carbon surfaces

    International Nuclear Information System (INIS)

    Liu, Rui; Han, Lihao; Huang, Zhuangqun; Ferrer, Ivonne M.; Smets, Arno H.M.; Zeman, Miro; Brunschwig, Bruce S.; Lewis, Nathan S.

    2015-01-01

    Atomic layer deposition (ALD) was used to deposit nanoparticles and thin films of Pt onto etched p-type Si(111) wafers and glassy carbon discs. Using precursors of MeCpPtMe 3 and ozone and a temperature window of 200–300 °C, the growth rate was 80–110 pm/cycle. X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM), and scanning electron microscopy (SEM) were used to analyze the composition, structure, morphology, and thickness of the ALD-grown Pt nanoparticle films. The catalytic activity of the ALD-grown Pt for the hydrogen evolution reaction was shown to be equivalent to that of e-beam evaporated Pt on glassy carbon electrode. - Highlights: • Pure Pt films were grown by atomic layer deposition (ALD) using MeCpPtMe3 and ozone. • ALD-grown Pt thin films had high growth rates of 110 pm/cycle. • ALD-grown Pt films were electrocatalytic for hydrogen evolution from water. • Electrocatalytic activity of the ALD Pt films was equivalent to e-beam deposited Pt. • No carbon species were detected in the ALD-grown Pt films

  2. Deposition, characterization, and tribological applications of near-frictionless carbon films on glass and ceramic substrates

    International Nuclear Information System (INIS)

    Eryilmaz, O L; Johnson, J A; Ajayi, O O; Erdemir, A

    2006-01-01

    As an element, carbon is rather unique and offers a range of rare opportunities for the design and fabrication of zero-, one-, two-, and three-dimensional nanostructured novel materials and coatings such as fullerenes, nanotubes, thin films, and free-standing nano-to-macroscale structures. Among these, carbon-based two-dimensional thin films (such as diamond and diamond-like carbon (DLC)) have attracted an overwhelming interest in recent years, mainly because of their exceptional physical, chemical, mechanical, electrical, and tribological properties. In particular, certain DLC films were found to provide extremely low friction and wear coefficients to sliding metallic and ceramic surfaces. Since the early 1990s, carbon has been used at Argonne National Laboratory to synthesize a class of novel DLC films that now provide friction and wear coefficients as low as 0.001 and 10 -11 -10 -10 mm 3 N -1 m -1 , respectively, when tested in inert or vacuum test environments. Over the years, we have optimized these films and applied them successfully to all kinds of metallic and ceramic substrates and evaluated their friction and wear properties under a wide range of sliding conditions. In this paper, we will provide details of our recent work on the deposition, characterization, and tribological applications of near-frictionless carbon films on glass and ceramic substrates. We will also provide chemical and structural information about these films and describe the fundamental tribological mechanisms that control their unusual friction and wear behaviour

  3. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  4. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  5. Synthesis of Antimony Doped Amorphous Carbon Films

    Science.gov (United States)

    Okuyama, H.; Takashima, M.; Akasaka, H.; Ohtake, N.

    2013-06-01

    We report the effects of antimony (Sb) doping on the electrical and optical properties of amorphous carbon (a-C:H) films grown on silicon and copper substrates by magnetron sputtering deposition. For film deposition, the mixture targets fabricated from carbon and antimony powders was used. The atomic concentration of carbon, hydrogen, and antimony, in the film deposited from the 1.0 mol% Sb containing target were 81, 17, 2 at.%, respectively. These elements were homogeneously distributed in the film. On the structural effect, the average continuous sp2 carbon bonding networks decreased with Sb concentration increasing, and defects in the films were increased with the Sb incorporation because atomic radius of Sb atoms is twice larger size than that of carbon. The optical gap and the electrical resistivity were carried out before and after the Sb doping. The results show that optical gap dropped from 3.15 to 3.04 eV corresponding to non-doping to Sb-doping conditions, respectively. The electrical resistivity reduced from 10.5 to 1.0 MΩm by the Sb doping. These results suggest the doping level was newly formed in the forbidden band.

  6. Synthesis of Antimony Doped Amorphous Carbon Films

    International Nuclear Information System (INIS)

    Okuyama, H; Takashima, M; Akasaka, H; Ohtake, N

    2013-01-01

    We report the effects of antimony (Sb) doping on the electrical and optical properties of amorphous carbon (a-C:H) films grown on silicon and copper substrates by magnetron sputtering deposition. For film deposition, the mixture targets fabricated from carbon and antimony powders was used. The atomic concentration of carbon, hydrogen, and antimony, in the film deposited from the 1.0 mol% Sb containing target were 81, 17, 2 at.%, respectively. These elements were homogeneously distributed in the film. On the structural effect, the average continuous sp 2 carbon bonding networks decreased with Sb concentration increasing, and defects in the films were increased with the Sb incorporation because atomic radius of Sb atoms is twice larger size than that of carbon. The optical gap and the electrical resistivity were carried out before and after the Sb doping. The results show that optical gap dropped from 3.15 to 3.04 eV corresponding to non-doping to Sb-doping conditions, respectively. The electrical resistivity reduced from 10.5 to 1.0 MΩm by the Sb doping. These results suggest the doping level was newly formed in the forbidden band.

  7. Effect of deposition parameter on hardness of amorphous carbon film prepared by plasma immersion ion implantation using C2H2

    International Nuclear Information System (INIS)

    Mitsuo, A.; Uchida, S.; Morikawa, K.; Kawaguchi, M.; Shiotani, K.; Suzuki, H.

    2007-01-01

    Carbon films were deposited on a cemented carbide substrate and silicon wafer at various bias voltages, acetylene (C 2 H 2 ) pressures and process times by plasma immersion ion implantation (PIII). In order to investigate the substrate temperature, the tool steel substrate was also simultaneously treated. The final substrate temperature was estimated from the hardness of the tool steel substrate. The surface and cross-sectional morphology of the deposited films were observed using a scanning electron microscope (SEM). Depth profiles of the carbon were obtained by Auger electron spectroscopy (AES). Raman spectroscopy was employed for the structural evaluation of the films. The hardness of the deposited films was measured using a nano-indenter with the maximum load of 0.5 mN. A variety of film hardnesses between 10 to 24 GPa was obtained. The hardness of the carbon films decreased with the increasing bias voltage, C 2 H 2 pressure and process time, although the intensity ratio of the disordered peak to graphitic peak in the Raman spectrum increased. It was considered that the decrease in the film hardness was caused by a stress reduction accompanied by a heating effect during the process as each PIII process parameter significantly influenced the substrate temperature

  8. The multilayered structure of ultrathin amorphous carbon films synthesized by filtered cathodic vacuum arc deposition

    KAUST Repository

    Wang, Na

    2013-08-01

    The structure of ultrathin amorphous carbon (a-C) films synthesized by filtered cathodic vacuum arc (FCVA) deposition was investigated by high-resolution transmission electron microscopy, electron energy loss spectroscopy, and x-ray photoelectron spectroscopy. Results of the plasmon excitation energy shift and through-thickness elemental concentration show a multilayered a-C film structure comprising an interface layer consisting of C, Si, and, possibly, SiC, a buffer layer with continuously increasing sp 3 fraction, a relatively thicker layer (bulk film) of constant sp 3 content, and an ultrathin surface layer rich in sp 2 hybridization. A detailed study of the C K-edge spectrum indicates that the buffer layer between the interface layer and the bulk film is due to the partial backscattering of C+ ions interacting with the heavy atoms of the silicon substrate. The results of this study provide insight into the minimum thickness of a-C films deposited by FCVA under optimum substrate bias conditions. Copyright © 2013 Materials Research Society.

  9. Tribological properties of nitrogen-containing amorphous carbon film produced by dc plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang Wei; Wazumi, Koichiro; Tanaka, Akihiro; Koga, Yoshinori

    2003-01-01

    The nitrogen-contained amorphous carbon (a-C:N) films were deposited in a dc plasma chemical vapor deposition system with different substrate bias voltages. The structural, mechanical, and tribological properties of the a-C:N films were investigated. The influence of the bias voltage on the tribological behaviors of the a-C:N films was evaluated under various environments (dry air, O 2 , N 2 , and vacuum) using a ball-on-disk friction tester. It showed that the sp 3 C and hydrogen concentration of the a-C:N films decreases with increasing the bias voltage. However, the nitrogen concentration increases with increasing the bias voltage. As a result, the hardness and internal stress decrease and the critical load for fracturing increases as the substrate bias increases. For the tribological properties of the a-C:N films, the friction coefficient of the films slightly decreases in the environments of N 2 , O 2 , or dry air, but increases slightly in the vacuum environment by increasing the bias voltage. It indicates that the incorporated nitrogen in the a-C:N films would decrease the friction coefficient of the films in N 2 or O 2 environments, but slightly increases the friction coefficient of the films in a vacuum. The excellent wear resistance of the a-C:N films, in the level of 10 -9 -10 -8 mm 3 /Nm, can be observed in N 2 , vacuum, and dry air environments. In addition, the effect of the bias voltage on the wear rate of the a-C:N films becomes less obvious by nitrogen incorporation. So, we suggest the incorporated nitrogen, which bonded to carbon and restrained the increase of the fraction of sp 2 C-C, would restrain the wear of the a-C:N films in different environments, especially in dry air

  10. In situ growth rate measurements during plasma-enhanced chemical vapour deposition of vertically aligned multiwall carbon nanotube films

    International Nuclear Information System (INIS)

    Joensson, M; Nerushev, O A; Campbell, E E B

    2007-01-01

    In situ laser reflectivity measurements are used to monitor the growth of multiwalled carbon nanotube (MWCNT) films grown by DC plasma-enhanced chemical vapour deposition (PECVD) from an iron catalyst film deposited on a silicon wafer. In contrast to thermal CVD growth, there is no initial increase in the growth rate; instead, the initial growth rate is high (as much as 10 μm min -1 ) and then drops off rapidly to reach a steady level (2 μm min -1 ) for times beyond 1 min. We show that a limiting factor for growing thick films of multiwalled nanotubes (MWNTs) using PECVD can be the formation of an amorphous carbon layer at the top of the growing nanotubes. In situ reflectivity measurements provide a convenient technique for detecting the onset of the growth of this layer

  11. Hydrogenated amorphous carbon next deposit after heat treatment

    International Nuclear Information System (INIS)

    Salancon, E.; Durbeck, T.; Schwarz-Selinger, T.; Jacob, W.

    2006-01-01

    One of the main safety problems in the ITER tokamak project is the tritium adsorption in the reactor walls and in particular the deposits which appear after the plasma discharge. These deposits are amorphous hydrogenated carbon films, type polymer (soft a-C:H). The heating of these deposits with a pulse laser is a proposed solution for the tritium desorption. Meanwhile, Gibson and al show that in experimental conditions, products are deposed on the walls before entering the mass spectrometer. The authors present thermo-desorption spectra of different amorphous carbon films. (A.L.B.)

  12. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  13. Tribological behavior and film formation mechanisms of carbon nanopearls

    Science.gov (United States)

    Hunter, Chad Nicholas

    Carbon nanopearls (CNPs) are amorphous carbon spheres that contain concentrically-oriented nanometer-sized graphitic flakes. Because of their spherical shape, size (˜150 nm), and structure consisting of concentrically oriented nano-sized sp2 flakes, CNPs are of interest for tribological applications, in particular for use in solid lubricant coatings. These studies were focused on investigating mechanisms of CNP lubrication, development of methods to deposit CNP onto substrates, synthesizing CNP-gold hybrid films using Matrix Assisted Pulsed Laser Evaporation (MAPLE) and magnetron sputtering, and studying plasmas and other species present during film deposition using an Electrostatic Quadrupole Plasma (EQP) analyzer. CNPs deposited onto silicon using drop casting with methanol showed good lubricating properties in sliding contacts under dry conditions, where a transfer film was created in which morphology changed from nano-sized spheres to micron-sized agglomerates consisting of many highly deformed CNPs in which the nano-sized graphene flakes are sheared from the wrapped layer structure of the CNPs. The morphology of carbon nanopearl films deposited using a MAPLE system equipped with a 248 nm KrF excimer laser source was found to be influenced by multiple factors, including composition of the matrix solvent, laser energy and repetition rate, background pressure, and substrate temperature. The best parameters for depositing CNP films that are disperse, droplet-free and have the maximum amount of material deposited are as follows: toluene matrix, 700 mJ, 1 Hz, 100°C substrate temperature, and unregulated vacuum pressure. During depositions using MAPLE and sputtering in argon, electron ionization of toluene vapor generated from the MAPLE target and charge exchange reactions between toluene vapor and the argon plasma generated by the magnetron caused carbon to be deposited onto the gold sputter target. Thin films deposited under these conditions contained high

  14. Suppression of hydrogenated carbon film deposition by scavenger techniques and their application to the tritium inventory control of fusion devices

    International Nuclear Information System (INIS)

    Tabares, F.L.; Tafalla, D.; Tanarro, I.; Herrero, V.J.; Islyaikin, A.; Maffiotte, C.

    2002-01-01

    The well-known radical and ion scavenger techniques of application in amorphous hydrogenated carbon film deposition studies are investigated in relation to the mechanism of tritium and deuterium co-deposition in carbon-dominated fusion devices. A particularly successful scheme results from the injection of nitrogen into methane/hydrogen plasmas for conditions close to those prevailing in the divertor region of present fusion devices. A complete suppression of the a-C : H film deposition has been achieved for N 2 /CH 4 ratios close to one in methane (5%)/hydrogen DC plasma. The implications of these findings in the tritium retention control in future fusion reactors are addressed. (author). Letter-to-the-editor

  15. INFLUENCE OF THE SILICON INTERLAYER ON DIAMOND-LIKE CARBON FILMS DEPOSITED ON GLASS SUBSTRATES

    Directory of Open Access Journals (Sweden)

    Deiler Antonio Lima Oliveira

    2012-06-01

    Full Text Available Diamond-like carbon (DLC films as a hard protective coating have achieved great success in a diversity of technological applications. However, adhesion of DLC films to substrates can restrict their applications. The influence of a silicon interlayer in order to improve DLC adhesion on glass substrates was investigated. Amorphous silicon interlayer and DLC films were deposited using plasma enhanced chemical vapor deposition from silane and methane, respectively. The bonding structure, transmittance, refraction index, and adherence of the films were also evaluated regarding the thickness of the silicon interlayer. Raman scattering spectroscopy did not show any substantial difference in DLC structure due to the interlayer thickness of the silicon. Optical measurements showed a sharp decrease of transmittance in the ultra-violet region caused by the fundamental absorption of the light. In addition, the absorption edge of transmittance shifted toward longer wavelength side in the ultra-violet region as the thickness of the silicon interlayer increased. The tribological results showed an increase of DLC adherence as the silicon interlayer increased, which was characterized by less cracks around the grooves.

  16. Monte Carlo simulation of electron depth distribution and backscattering for carbon films deposited on aluminium as a function of incidence angle and primary energy

    Science.gov (United States)

    Dapor, Maurizio

    2005-01-01

    Carbon films are deposited on various substrates (polymers, polyester fabrics, polyester yarns, metal alloys) both for experimental and technological motivations (medical devices, biocompatible coatings, food package and so on). Computational studies of the penetration of electron beams in supported thin film of carbon are very useful in order to compare the simulated results with analytical techniques data (obtained by scanning electron microscopy and/or Auger electron spectroscopy) and investigate the film characteristics. In the present paper, the few keV electron depth distribution and backscattering coefficient for the special case of film of carbon deposited on aluminium are investigated, by a Monte Carlo simulation, as a function of the incidence angle and primary electron energy. The simulated results can be used as a way to evaluate the carbon film thickness by a set of measurements of the backscattering coefficient.

  17. Single-layer nano-carbon film, diamond film, and diamond/nano-carbon composite film field emission performance comparison

    International Nuclear Information System (INIS)

    Wang, Xiaoping; Wang, Jinye; Wang, Lijun

    2016-01-01

    A series of single-layer nano-carbon (SNC) films, diamond films, and diamond/nano-carbon (D/NC) composite films have been prepared on the highly doped silicon substrate by using microwave plasma chemical vapor deposition techniques. The films were characterised by scanning electron microscopy, Raman spectroscopy, and field emission I-V measurements. The experimental results indicated that the field emission maximum current density of D/NC composite films is 11.8–17.8 times that of diamond films. And the field emission current density of D/NC composite films is 2.9–5 times that of SNC films at an electric field of 3.0 V/μm. At the same time, the D/NC composite film exhibits the advantage of improved reproducibility and long term stability (both of the nano-carbon film within the D/NC composite cathode and the SNC cathode were prepared under the same experimental conditions). And for the D/NC composite sample, a high current density of 10 mA/cm"2 at an electric field of 3.0 V/μm was obtained. Diamond layer can effectively improve the field emission characteristics of nano-carbon film. The reason may be due to the diamond film acts as the electron acceleration layer.

  18. Nanoporous-carbon films for microsensor preconcentrators

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Kottenstette, R. J.; Tallant, D. R.; Yelton, W. G.

    2002-05-01

    Nanoporous-carbon (NPC) films are grown using physical processes such as low-power pulsed-laser deposition with attenuation of the ablated carbon species kinetic energy attained by using an inert background gas. With room-temperature growth and negligible residual stress, NPC can coat nearly any substrate to any desired thickness. Control of the deposition energetics yields precise morphology, density, and hence, porosity, with no discernable variation in chemical bonding. We produce NPC films 8 μm thick with density <0.2 g/cm3. The well-controlled porosity, i.e., available surface area, is demonstrated by using films with different thicknesses as a preconcentrator for a nerve-gas simulant.

  19. The Role of Ambient Gas and Pressure on the Structuring of Hard Diamond-Like Carbon Films Synthesized by Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Andrei C. Popescu

    2015-06-01

    Full Text Available Hard carbon thin films were synthesized on Si (100 and quartz substrates by the Pulsed Laser Deposition (PLD technique in vacuum or methane ambient to study their suitability for applications requiring high mechanical resistance. The deposited films’ surface morphology was investigated by scanning electron microscopy, crystalline status by X-ray diffraction, packing and density by X-ray reflectivity, chemical bonding by Raman and X-ray photoelectron spectroscopy, adherence by “pull-out” measurements and mechanical properties by nanoindentation tests. Films synthesized in vacuum were a-C DLC type, while films synthesized in methane were categorized as a-C:H. The majority of PLD films consisted of two layers: one low density layer towards the surface and a higher density layer in contact with the substrate. The deposition gas pressure played a crucial role on films thickness, component layers thickness ratio, structure and mechanical properties. The films were smooth, amorphous and composed of a mixture of sp3-sp2 carbon, with sp3 content ranging between 50% and 90%. The thickness and density of the two constituent layers of a film directly determined its mechanical properties.

  20. Benefits of carbon addition on the hydrogen absorption properties of Mg-based thin films grown by Pulsed Laser Deposition

    International Nuclear Information System (INIS)

    Darok, X.; Rougier, A.; Bhat, V.; Aymard, L.; Dupont, L.; Laffont, L.; Tarascon, J.-M.

    2006-01-01

    Mg-Ni thin films were grown using Pulsed Laser Deposition. In situ optical changes from shiny metallic to transparent states were observed for films deposited in vacuum and under an Ar/H 2 gas mixture (93/7%), respectively. Optical changes were also achieved by ex situ hydrogenation under hydrogen gas pressure of 15 bars at 200 deg. C. However, after ex situ hydrogenation, the optical transmittance of the Mg-based hydrogenated thin films did not exceed 25%. Such limitation was attributed to oxygen contamination, as deduced by High Resolution Transmission Electron Microscopy observations, showing the co-existence of both Mg-based and MgO phases for as-deposited films. A significant decrease in oxygen contamination was successfully achieved with the addition of carbon, leading to the preparation of (Mg-based)-C x (x < 20%) thin films showing a faster and easier hydrogenation

  1. Synthesis and characterization of boron incorporated diamond-like carbon thin films

    International Nuclear Information System (INIS)

    Zhang, L.L.; Yang, Q.; Tang, Y.; Yang, L.; Zhang, C.; Hu, Y.; Cui, X.

    2015-01-01

    Boron incorporated diamond-like carbon (B-DLC) (up to 8 wt.% boron) thin films were synthesized on silicon wafers using biased target ion beam deposition technique, where diamond-like carbon (DLC) was deposited by ion beam deposition and boron (B) was simultaneously incorporated by biased target sputtering of a boron carbide (B 4 C) target under different conditions. Pure DLC films and B–C films were also synthesized by ion beam deposition and biased target sputtering of B 4 C under similar conditions, respectively, as reference samples. The microstructure and mechanical properties of the synthesized films have been characterized by various technologies. It has been found that B exists in different states in B-DLC, including carbon-rich and B-rich boron carbides, boron suboxide and boron oxide, and the oxidation of B probably occurs during the film deposition. The incorporation of B into DLC leads to the increase of sp 3 bonded carbon in the films, the increase of both film hardness and elastic modulus, and the decrease of both surface roughness and friction coefficient. Furthermore, the content of sp 3 bonded carbon, film hardness and elastic modulus increase, and the film surface roughness and friction coefficient decrease with the increase of B-rich carbide in the B-DLC films. - Highlights: • Biased target ion beam deposition technique is promising to produce high quality DLC based thin films; • Boron exists in different states in B-DLC thin films; • The incorporation of B to DLC with different levels leads to improved film properties; • The fraction of sp 3 bonded C in B-DLC thin films increase with the increase of B-rich carbide content in the films

  2. Thin films of hydrogenated amorphous carbon (a-C:H) obtained through chemical vapor deposition assisted by plasma

    International Nuclear Information System (INIS)

    Mejia H, J.A.; Camps C, E.E.; Escobar A, L.; Romero H, S.; Chirino O, S.; Muhl S, S.

    2004-01-01

    Films of hydrogenated amorphous carbon (a-C:H) were deposited using one source of microwave plasma with magnetic field (type ECR), using mixtures of H 2 /CH 4 in relationship of 80/20 and 95/05 as precursory gases, with work pressures of 4X10 -4 to 6x10 -4 Torr and an incident power of the discharge of microwaves with a constant value of 400 W. It was analyzed the influence among the properties of the films, as the deposit rate, the composition and the bonding types, and the deposit conditions, such as the flow rates of the precursory gases and the polarization voltage of the sample holders. (Author)

  3. Self-enhanced plasma discharge effect in the deposition of diamond-like carbon films on the inner surface of slender tube

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Yi [Department of 702, Beihang University, Beijing (China); Li, Liuhe, E-mail: liliuhe@buaa.edu.cn [Department of 702, Beihang University, Beijing (China); Luo, Sida [Department of 702, Beihang University, Beijing (China); International Research Institute for Multidisciplinary Science, Beihang University, Beijing (China); Lu, Qiuyuan [Dong Feng Commercial Vehicle Technical Center, Dong Feng Commercial Vehicle Co., LTD, Wuhan (China); Gu, Jiabin; Lei, Ning [Department of 702, Beihang University, Beijing (China); Huo, Chunqin [Key Laboratory of Optoelectronic Devices and Systems of Ministry of Education, Shenzhen University, Guangdong (China)

    2017-01-30

    Highlights: • Effect of inner surface materials of tubes on plasma discharge is examined. • Electron mean free path is used to analyze the films deposition. • Secondary electrons emitted from inner surface of tube enhance plasma discharge. - Abstract: Enhanced glow discharge plasma immersion ion implantation and deposition (EGD-PIII&D) have been proved to be highly effective for depositing diamond-like carbon (DLC) films on the inner surface of the slender quartz tube with a deposition rate of 1.3 μm/min. Such a high-efficiency DLC films deposition was explained previously as the short electrons mean free path to cause large collision frequency between electrons and neutral particles. However, in this paper, we found that the inner surface material of the tube itself play a vital role on the films deposition. To disclose the mechanism of this phenomenon, the effect of different inner surface materials on plasma discharge was experimentally and theoretically investigated. Then a self-enhancing plasma discharge is discovered. It is found that secondary electrons emitted from the inner surface material, whatever it is the tube inner surface or deposited DLC films, can dramatically enhance the plasma discharge to improve the DLC films deposition rate.

  4. Semiconducting Properties of Nanostructured Amorphous Carbon Thin Films Incorporated with Iodine by Thermal Chemical Vapor Deposition

    Science.gov (United States)

    Kamaruzaman, Dayana; Ahmad, Nurfadzilah; Annuar, Ishak; Rusop, Mohamad

    2013-11-01

    Nanostructured iodine-post doped amorphous carbon (a-C:I) thin films were prepared from camphor oil using a thermal chemical vapor deposition (TCVD) technique at different doping temperatures. The structural properties of the films were studied by field-emission scanning electron microscopy (FESEM), energy-dispersive spectroscopy (EDS), Raman, and Fourier transform infrared (FTIR) studies. FESEM and EDS studies showed successful iodine doping. FTIR and Raman studies showed that the a-C:I thin films consisted of a mixture of sp2- and sp3-bonded carbon atoms. The optical and electrical properties of a-C:I thin films were determined by UV-vis-NIR spectroscopy and current-voltage (I-V) measurement respectively. The optical band gap of a-C thin films decreased upon iodine doping. The highest electrical conductivity was found at 400 °C doping. Heterojunctions are confirmed by rectifying the I-V characteristics of an a-C:I/n-Si junction.

  5. Effect of working pressure on corrosion behavior of nitrogen doped diamond-like carbon thin films deposited by DC magnetron sputtering.

    Science.gov (United States)

    Khun, N W; Liu, E

    2011-06-01

    Nitrogen doped diamond-like carbon thin films were deposited on highly conductive p-silicon(100) substrates using a DC magnetron sputtering deposition system by varying working pressure in the deposition chamber. The bonding structure, adhesion strength, surface roughness and corrosion behavior of the films were investigated by using X-ray photoelectron spectroscopy, micro-Raman spectroscopy, micro-scratch test, atomic force microscopy and potentiodynamic polarization test. A 0.6 M NaCl electrolytic solution was used for the corrosion tests. The optimum corrosion resistance of the films was found at a working pressure of 7 mTorr at which a good balance between the kinetics of the sputtered ions and the surface mobility of the adatoms promoted a microstructure of the films with fewer porosities.

  6. Co-sputter deposited nickel-copper bimetallic nanoalloy embedded carbon films for electrocatalytic biomarker detection

    Science.gov (United States)

    Shiba, Shunsuke; Kato, Dai; Kamata, Tomoyuki; Niwa, Osamu

    2016-06-01

    -mannitol, which should be detected with a low detection limit in urine samples for the diagnosis of severe intestinal diseases. With a Ni/Cu ratio of around 64/36, the electrocatalytic current per metal area was 3.4 times larger than that of an alloy film electrode with a similar composition (~70/30). This improved electrocatalytic activity realized higher stability (n = 60, relative standard deviation (RSD): 4.6%) than the alloy film (RSD: 32.2%) as demonstrated by continuous measurements of d-mannitol. Electronic supplementary information (ESI) available: The concept of UBM co-sputtering for fabricating nanoalloy embedded carbon films. HRTEM images of the NiNP and Ni32Cu68 nanoalloy embedded carbon films. The experimental conditions for sputter deposition, HRTEM, HAADF-STEM, STEM-EDS measurements and continuous flow injection analysis. XPS analysis of the nanoalloy embedded carbon film. Repeated CVs of both the nanoalloy embedded carbon film and the alloy film. Amperometric detection of d-mannitol in the presence of chloride ions. See DOI: 10.1039/c6nr02287a

  7. Ion-Assisted Pulsed Laser Deposition of amorphous tetrahedral-coordinated carbon films

    Science.gov (United States)

    Friedmann, T. A.; Tallant, D. R.; Sullivan, J. P.; Siegal, M. P.; Simpson, R. L.

    1994-04-01

    A parametric study has been performed of amorphous tetrahedral carbon (a-tC) films produced by ion-assisted pulsed laser deposition (IAPLD). The ion voltage, current density, and feed gas composition (nitrogen in argon) have been varied. The resultant films were characterized by thickness, residual stress, Raman spectroscopy, and electrical resistivity. The Raman spectra have been fit to two gaussian peaks, the so called graphitic (G) peak and the disorder (D) peak. It has been found that the magnitude of the D peak and the residual compressive stress are inversely correlated. At low beam voltages and currents, the magnitude of the D peak is low, increasing as the ion beam voltage and current are raised. The ion beam voltage has the most dramatic effect on the magnitude of the D peak. At low voltages (200-500 V) the magnitude of the D peak is greater for ion beams with high percentages of nitrogen possibly indicative of C-N bonding in the films. At higher voltages (500-1500 V) the D peak intensity is less sensitive to the nitrogen content of the beam.

  8. Effect of Radio-Frequency and Low-Frequency Bias Voltage on the Formation of Amorphous Carbon Films Deposited by Plasma Enhanced Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Manis-Levy, Hadar; Mintz, Moshe H.; Livneh, Tsachi; Zukerman Ido; Raveh, Avi

    2014-01-01

    The effect of radio-frequency (RF) or low-frequency (LF) bias voltage on the formation of amorphous hydrogenated carbon (a-C:H) films was studied on silicon substrates with a low methane (CH 4 ) concentration (2–10 vol.%) in CH 4 +Ar mixtures. The bias substrate was applied either by RF (13.56 MHz) or by LF (150 kHz) power supply. The highest hardness values (∼18–22 GPa) with lower hydrogen content in the films (∼20 at.%) deposited at 10 vol.% CH 4 , was achieved by using the RF bias. However, the films deposited using the LF bias, under similar RF plasma generation power and CH 4 concentration (50 W and 10 vol.%, respectively), displayed lower hardness (∼6–12 GPa) with high hydrogen content (∼40 at.%). The structures analyzed by Fourier Transform Infrared (FTIR) and Raman scattering measurements provide an indication of trans-polyacetylene structure formation. However, its excessive formation in the films deposited by the LF bias method is consistent with its higher bonded hydrogen concentration and low level of hardness, as compared to the film prepared by the RF bias method. It was found that the effect of RF bias on the film structure and properties is stronger than the effect of the low-frequency (LF) bias under identical radio-frequency (RF) powered electrode and identical PECVD (plasma enhanced chemical vapor deposition) system configuration. (plasma technology)

  9. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  10. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  11. Synthesis and characterization of thin films of nitrided amorphous carbon deposited by laser ablation; Sintesis y caracterizacion de peliculas delgadas de carbono amorfo nitrurado, depositadas por ablacion laser

    Energy Technology Data Exchange (ETDEWEB)

    Rebollo P, B

    2001-07-01

    The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp{sup 2} and sp{sup 3} bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)

  12. HF treatment effect for carbon deposition on silicon (111) by DC sputtering technique

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Darma, Y., E-mail: aji.ravazes70@gmail.com [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2014-03-24

    Surface modifications of Si (111) substrate by HF solution for thin film carbon deposition have been systematically studied. Thin film carbon on Si (111) has been deposited using DC Unbalanced Magnetron Sputtering with carbon pellet doped by 5% Fe as the target. EDAX characterization confirmed that the carbon fraction on Si substrate much higher by dipping a clean Si substrate by HF solution before sputtering process in comparison with carbon fraction on Si substrate just after conventional RCA. Moreover, SEM and AFM images show the uniform thin film carbon on Si with HF treatment, in contrast to the Si without HF solution treatment. These experimental results suggest that HF treatment of Si surface provide Si-H bonds on top Si surface that useful to enhance the carbon deposition during sputtering process. Furthermore, we investigate the thermal stability of thin film carbon on Si by thermal annealing process up to 900 °C. Atomic arrangements during annealing process were characterized by Raman spectroscopy. Raman spectra indicate that thin film carbon on Si is remaining unchanged until 600 °C and carbon atoms start to diffuse toward Si substrate after annealing at 900 °C.

  13. TiCN thin films grown by reactive crossed beam pulsed laser deposition

    Science.gov (United States)

    Escobar-Alarcón, L.; Camps, E.; Romero, S.; Muhl, S.; Camps, I.; Haro-Poniatowski, E.

    2010-12-01

    In this work, we used a crossed plasma configuration where the ablation of two different targets in a reactive atmosphere was performed to prepare nanocrystalline thin films of ternary compounds. In order to assess this alternative deposition configuration, titanium carbonitride (TiCN) thin films were deposited. Two crossed plasmas were produced by simultaneously ablating titanium and graphite targets in an Ar/N2 atmosphere. Films were deposited at room temperature onto Si (100) and AISI 4140 steel substrates whilst keeping the ablation conditions of the Ti target constant. By varying the laser fluence on the carbon target it was possible to study the effect of the carbon plasma on the characteristics of the deposited TiCN films. The structure and composition of the films were analyzed by X-ray Diffraction, Raman Spectroscopy and non-Rutherford Backscattering Spectroscopy. The hardness and elastic modulus of the films was also measured by nanoindentation. In general, the experimental results showed that the TiCN thin films were highly oriented in the (111) crystallographic direction with crystallite sizes as small as 6.0 nm. It was found that the hardness increased as the laser fluence was increased, reaching a maximum value of about 33 GPa and an elastic modulus of 244 GPa. With the proposed configuration, the carbon content could be easily varied from 42 to 5 at.% by changing the laser fluence on the carbon target.

  14. Electrochemical and Antimicrobial Properties of Diamondlike Carbon-Metal Composite Films

    Energy Technology Data Exchange (ETDEWEB)

    MORRISON, M. L.; BUCHANAN, R. A.; LIAW, P. K.; BERRY, C. J.; BRIGMON, R.; RIESTER, L.; JIN, C.; NARAYAN, R. J.

    2005-05-11

    Implants containing antimicrobial metals may reduce morbidity, mortality, and healthcare costs associated with medical device-related infections. We have deposited diamondlike carbon-silver (DLC-Ag), diamondlike carbon-platinum (DLC-Pt), and diamondlike carbon-silver-platinum (DLC-AgPt) thin films using a multicomponent target pulsed laser deposition process. Transmission electron microscopy of the DLC-silver and DLC-platinum composite films revealed that the silver and platinum self-assemble into nanoparticle arrays within the diamondlike carbon matrix. The diamondlike carbon-silver film possesses hardness and Young's modulus values of 37 GPa and 331 GPa, respectively. The diamondlike carbon-metal composite films exhibited passive behavior at open-circuit potentials. Low corrosion rates were observed during testing in a phosphate-buffered saline (PBS) electrolyte. In addition, the diamondlike carbon-metal composite films were found to be immune to localized corrosion below 1000 mV (SCE). DLC-silver-platinum films demonstrated exceptional antimicrobial properties against Staphylococcus bacteria. It is believed that a galvanic couple forms between platinum and silver, which accelerates silver ion release and provides more robust antimicrobial activity. Diamondlike carbon-silver-platinum films may provide unique biological functionalities and improved lifetimes for cardiovascular, orthopaedic, biosensor, and implantable microelectromechanical systems.

  15. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  16. Morphology of CdSe films prepared by chemical bath deposition: The role of substrate

    International Nuclear Information System (INIS)

    Simurda, M.; Nemec, P.; Formanek, P.; Nemec, I.; Nemcova, Y.; Maly, P.

    2006-01-01

    We combine optical spectroscopy and transmission electron microscopy to study the growth and the structural morphology of CdSe films prepared by chemical bath deposition (CBD) on two considerably different substrates. The films grown on glass are compact and strongly adherent to the substrate. On the contrary, the films deposited on carbon-coated glass (with approx. 20 nm thick amorphous carbon layer) are only loosely adherent to the substrate. Using transmission electron microscopy we revealed that even though the films grown on both substrates are assembled from closely spaced nanocrystals with diameter of about 5 nm, the films morphology on the sub-micrometer scale is considerably different in the two cases. While the films deposited on glass are rather compact, the films prepared on carbon layer have high porosity and are formed by interconnected spheres which size is dependent on the duration of deposition (e.g. 155 nm for 6 h and 350 nm for 24 h). This shows that the choice of the substrate for CBD has a stronger influence on the sub-micrometer film morphology than on the properties of individual nanocrystals forming the film

  17. Fluorine and boron co-doped diamond-like carbon films deposited by pulsed glow discharge plasma immersion ion processing

    CERN Document Server

    He, X M; Peters, A M; Taylor, B; Nastasi, M

    2002-01-01

    Fluorine (F) and boron (B) co-doped diamond-like carbon (FB-DLC) films were prepared on different substrates by the plasma immersion ion processing (PIIP) technique. A pulse glow discharge plasma was used for the PIIP deposition and was produced at a pressure of 1.33 Pa from acetylene (C sub 2 H sub 2), diborane (B sub 2 H sub 6), and hexafluoroethane (C sub 2 F sub 6) gas. Films of FB-DLC were deposited with different chemical compositions by varying the flow ratios of the C sub 2 H sub 2 , B sub 2 H sub 6 , and C sub 2 F sub 6 source gases. The incorporation of B sub 2 H sub 6 and C sub 2 F sub 6 into PIIP deposited DLC resulted in the formation of F-C and B-C hybridized bonding structures. The levels of the F and B concentrations effected the chemical bonding and the physical properties as was evident from the changes observed in density, hardness, stress, friction coefficient, and contact angle of water on films. Compared to B-doped or F-doped DLC films, the F and B co-doping of DLC during PIIP deposition...

  18. Influence of deposition temperature and amorphous carbon on microstructure and oxidation resistance of magnetron sputtered nanocomposite Crsbnd C films

    Science.gov (United States)

    Nygren, Kristian; Andersson, Matilda; Högström, Jonas; Fredriksson, Wendy; Edström, Kristina; Nyholm, Leif; Jansson, Ulf

    2014-06-01

    It is known that mechanical and tribological properties of transition metal carbide films can be tailored by adding an amorphous carbon (a-C) phase, thus making them nanocomposites. This paper addresses deposition, microstructure, and for the first time oxidation resistance of magnetron sputtered nanocomposite Crsbnd C/a-C films with emphasis on studies of both phases. By varying the deposition temperature between 20 and 700 °C and alternating the film composition, it was possible to deposit amorphous, nanocomposite, and crystalline Crsbnd C films containing about 70% C and 30% Cr, or 40% C and 60% Cr. The films deposited at temperatures below 300 °C were X-ray amorphous and 500 °C was required to grow crystalline phases. Chronoamperometric polarization at +0.6 V vs. Ag/AgCl (sat. KCl) in hot 1 mM H2SO4 resulted in oxidation of Crsbnd C, yielding Cr2O3 and C, as well as oxidation of C. The oxidation resistance is shown to depend on the deposition temperature and the presence of the a-C phase. Physical characterization of film surfaces show that very thin C/Cr2O3/Crsbnd C layers develop on the present material, which can be used to improve the oxidation resistance of, e.g. stainless steel electrodes.

  19. Electrochemical impedance spectroscopy on nanostructured carbon electrodes grown by supersonic cluster beam deposition

    International Nuclear Information System (INIS)

    Bettini, Luca Giacomo; Bardizza, Giorgio; Podestà, Alessandro; Milani, Paolo; Piseri, Paolo

    2013-01-01

    Nanostructured porous films of carbon with density of about 0.5 g/cm 3 and 200 nm thickness were deposited at room temperature by supersonic cluster beam deposition (SCBD) from carbon clusters formed in the gas phase. Carbon film surface topography, determined by atomic force microscopy, reveals a surface roughness of 16 nm and a granular morphology arising from the low kinetic energy ballistic deposition regime. The material is characterized by a highly disordered carbon structure with predominant sp2 hybridization as evidenced by Raman spectroscopy. The interface properties of nanostructured carbon electrodes were investigated by cyclic voltammetry and electrochemical impedance spectroscopy employing KOH 1 M solution as aqueous electrolyte. An increase of the double layer capacitance is observed when the electrodes are heat treated in air or when a nanostructured nickel layer deposited by SCBD on top of a sputter deposited film of the same metal is employed as a current collector instead of a plain metallic film. This enhancement is consistent with an improved charge injection in the active material and is ascribed to the modification of the electrical contact at the interface between the carbon and the metal current collector. Specific capacitance values up to 120 F/g have been measured for the electrodes with nanostructured metal/carbon interface.

  20. Electrochemical impedance spectroscopy on nanostructured carbon electrodes grown by supersonic cluster beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bettini, Luca Giacomo; Bardizza, Giorgio; Podesta, Alessandro; Milani, Paolo; Piseri, Paolo, E-mail: piseri@mi.infn.it [Universita degli Studi di Milano, Dipartimento di Fisica and CIMaINa (Italy)

    2013-02-15

    Nanostructured porous films of carbon with density of about 0.5 g/cm{sup 3} and 200 nm thickness were deposited at room temperature by supersonic cluster beam deposition (SCBD) from carbon clusters formed in the gas phase. Carbon film surface topography, determined by atomic force microscopy, reveals a surface roughness of 16 nm and a granular morphology arising from the low kinetic energy ballistic deposition regime. The material is characterized by a highly disordered carbon structure with predominant sp2 hybridization as evidenced by Raman spectroscopy. The interface properties of nanostructured carbon electrodes were investigated by cyclic voltammetry and electrochemical impedance spectroscopy employing KOH 1 M solution as aqueous electrolyte. An increase of the double layer capacitance is observed when the electrodes are heat treated in air or when a nanostructured nickel layer deposited by SCBD on top of a sputter deposited film of the same metal is employed as a current collector instead of a plain metallic film. This enhancement is consistent with an improved charge injection in the active material and is ascribed to the modification of the electrical contact at the interface between the carbon and the metal current collector. Specific capacitance values up to 120 F/g have been measured for the electrodes with nanostructured metal/carbon interface.

  1. Electrochemical impedance spectroscopy on nanostructured carbon electrodes grown by supersonic cluster beam deposition

    Science.gov (United States)

    Bettini, Luca Giacomo; Bardizza, Giorgio; Podestà, Alessandro; Milani, Paolo; Piseri, Paolo

    2013-02-01

    Nanostructured porous films of carbon with density of about 0.5 g/cm3 and 200 nm thickness were deposited at room temperature by supersonic cluster beam deposition (SCBD) from carbon clusters formed in the gas phase. Carbon film surface topography, determined by atomic force microscopy, reveals a surface roughness of 16 nm and a granular morphology arising from the low kinetic energy ballistic deposition regime. The material is characterized by a highly disordered carbon structure with predominant sp2 hybridization as evidenced by Raman spectroscopy. The interface properties of nanostructured carbon electrodes were investigated by cyclic voltammetry and electrochemical impedance spectroscopy employing KOH 1 M solution as aqueous electrolyte. An increase of the double layer capacitance is observed when the electrodes are heat treated in air or when a nanostructured nickel layer deposited by SCBD on top of a sputter deposited film of the same metal is employed as a current collector instead of a plain metallic film. This enhancement is consistent with an improved charge injection in the active material and is ascribed to the modification of the electrical contact at the interface between the carbon and the metal current collector. Specific capacitance values up to 120 F/g have been measured for the electrodes with nanostructured metal/carbon interface.

  2. Effects of hydrogenation on thermal conductivity of ultrananocrystalline diamond/amorphous carbon composite films prepared via coaxial arc plasma deposition

    Science.gov (United States)

    Takeichi, Satoshi; Nishiyama, Takashi; Tabara, Mitsuru; Kawawaki, Shuichi; Kohno, Masamichi; Takahashi, Koji; Yoshitake, Tsuyoshi

    2018-06-01

    Ultrananocrystalline diamond (UNCD)/hydrogenated amorphous carbon (a-C:H) composite (UNCD/a-C:H) and UNCD/non-hydrogenated amorphous carbon (a-C) composite (UNCD/a-C) films were prepared via coaxial arc plasma deposition, and their thermal conductivity and interfacial conductance in grain boundaries were measured using a time-domain thermoreflectance method. The interfacial conductance was estimated to be 1,010 and 4,892 MW/(m2·K) for UNCD/a-C:H and UNCD/a-C films, respectively. The reasons for the hydrogenated film having lower interfacial conductance than the non-hydrogenated film are 1) the reduced number of carriers that contribute to heat transport and 2) the hydrogen atoms, which are preferentially located at the grain boundaries and enhance phonon scattering.

  3. Mechanical and electrical properties of diamond-like carbon films deposited by plasma source ion implantation

    International Nuclear Information System (INIS)

    Baba, K.; Hatada, R.; Flege, S.; Ensinger, W.

    2009-01-01

    Diamond-like carbon (DLC) films were prepared by a plasma source ion implantation method with superposed negative pulse and negative DC voltage. Acetylene gas was used as working gas for plasma formation. A negative DC voltage and a negative pulse voltage were superposed and applied to the substrate holder. The DC voltage was changed in the range from 0 to -4.8 kV and the pulse voltage was changed from -18 to -13.2 kV. The films were annealed in the range of 200-450 deg. C for 1 h. The surface morphology of the films and the film thickness were observed by atomic force microscopy and scanning electron microscopy. The film structure was characterized by Raman spectroscopy. The hardness of DLC films was evaluated by an indentation method. Measurement of the electrical resistivity was performed using a four-point probe station. Furthermore, a ball-on-disc test with 2 N load was employed to obtain information about the friction properties and sliding wear resistance of the films. The surface of the DLC films was very smooth and featureless. The deposition rate was changed with the DC voltage and pulse conditions. Integrated intensity ratios I D /I G of Raman spectroscopy and electrical resistivity of the DLC films changed with DC voltage. The electrical resistivity decreased with increasing I D /I G ratio. The I D /I G ratio was increased and the electrical resistivity was decreased with annealing temperature owing to graphitization. Very low friction coefficients around 0.05 were obtained for as-deposited films.

  4. Self-organized formation of metal-carbon nanostructures by hyperthermal ion deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hannstein, I.K.

    2006-04-26

    The quasi-simultaneous deposition of mass-selected hyperthermal carbon and metal ions results in a variety of interesting film morphologies, depending on the metal used and the deposition conditions. The observed features are of the order of a few nanometres and are therefore interesting for future potential applications in the various fields of nanotechnology. The present study focuses on the structural analysis of amorphous carbon films containing either copper, silver, gold, or iron using amongst others Rutherford Backscattering Spectroscopy, High Resolution Transmission Electron Microscopy, and Energy Dispersive X-Ray Spectroscopy. The film morphologies found are as follows: copper-containing films consist of copper nanoclusters with sizes ranging from about 3 to 9 nm uniformly distributed throughout the amorphous carbon matrix. The cluster size hereby rises with the copper content of the films. The silver containing films decompose into a pure amorphous carbon film with silver agglomerates at the surface. Both, the gold- and the iron-containing films show a multilayer structure of metal-rich layers with higher cluster density separated by metal-depleted amorphous carbon layers. The layer distances are of the order of up to 15 nm in the case of gold-carbon films and 7 nm in the case of iron-carbon films. The formation of theses different structures cannot be treated in the context of conventional self-organization mechanisms basing upon thermal diffusion and equilibrium thermodynamics. Instead, an ion-induced atomic transport, sputtering effects, and the stability of small metal clusters were taken into account in order to model the structure formation processes. A similar multilayer morphology was recently also reported in the literature for metal-carbon films grown by magnetron sputtering techniques. In order to investigate, whether the mechanisms are the same as in the case of the ion beam deposited films described above, first experiments were conducted

  5. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  6. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  7. Improvement of orthodontic friction by coating archwire with carbon nitride film

    International Nuclear Information System (INIS)

    Wei Songbo; Shao Tianmin; Ding Peng

    2011-01-01

    In order to reduce frictional resistance between archwire and bracket during orthodontic tooth movement, carbon nitride (CNx) thin films were deposited on the surface of archwires with ion beam assisted deposition (IBAD). The energy-dispersive X-ray spectrometer (EDS) analysis showed that the CNx film was successfully deposited on the surface of the orthodontic wires. X-ray photoelectron spectroscopy (XPS) analysis suggested that the deposited CNx film was sp 2 carbon dominated structures, and diversiform bonds (N-C, N≡C, et al.) coexisted in the film. The friction tests indicated that the CNx film significantly reduced the wire-bracket friction both in ambient air and in artificial saliva. The sp 2 C rich structure of the CNx film as well as its protection function for the archwire was responsible for the low friction of the wire-bracket sliding system.

  8. Improvement of orthodontic friction by coating archwire with carbon nitride film

    Energy Technology Data Exchange (ETDEWEB)

    Wei Songbo [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Shao Tianmin, E-mail: shaotm@mail.tsinghua.edu.cn [State Key Laboratory of Tribology, Tsinghua University, Beijing 100084 (China); Ding Peng [Department of Orthodontics, Peking University School and Hospital of Stomatology, Beijing 100081 (China)

    2011-10-01

    In order to reduce frictional resistance between archwire and bracket during orthodontic tooth movement, carbon nitride (CNx) thin films were deposited on the surface of archwires with ion beam assisted deposition (IBAD). The energy-dispersive X-ray spectrometer (EDS) analysis showed that the CNx film was successfully deposited on the surface of the orthodontic wires. X-ray photoelectron spectroscopy (XPS) analysis suggested that the deposited CNx film was sp{sup 2} carbon dominated structures, and diversiform bonds (N-C, N{identical_to}C, et al.) coexisted in the film. The friction tests indicated that the CNx film significantly reduced the wire-bracket friction both in ambient air and in artificial saliva. The sp{sup 2}C rich structure of the CNx film as well as its protection function for the archwire was responsible for the low friction of the wire-bracket sliding system.

  9. Carbon and nitrogen co-doping self-assembled MoS{sub 2} multilayer films

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xiaoqin [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); School of Materials Science and Engineering, Lanzhou University of Technology, Lanzhou 730050 (China); Xu, Jiao; Chai, Liqiang [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); He, Tengfei [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); School of Materials Science and Engineering, Lanzhou University of Technology, Lanzhou 730050 (China); Yu, Fucheng [School of Materials Science and Engineering, Lanzhou University of Technology, Lanzhou 730050 (China); Wang, Peng, E-mail: pengwang@licp.cas.cn [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2017-06-01

    Highlights: • Mo–S–C–N composite films were synthesized by using reactive magnetron sputtering. • A self-assembled multilayer structure with periodicity in the nanometer scale was formed in the composite film. • The hardness of Mo–S–C–N film deposited at optimized parameter reaches up to 9.76 GPa. • The wear rate of deposited Mo–S–C–N film both in vacuum and ambient atmosphere decreases dramatically. - Abstract: Mo–S–C–N composite films were prepared using reactive magnetron sputtering of graphite and MoS{sub 2} targets in argon and nitrogen atmospheres. The effects of carbon/nitrogen co-doping and carbon concentration on the composition, microstructure, mechanical and tribological properties of deposited films have been investigated by various characterization techniques. The results show that the deposited films comprise MoS{sub 2} nanocrystalline and amorphous carbon, and the incorporating nitrogen forms Mo-N and C–N chemical bonds. Increasing carbon concentration leads to the increase of sp{sup 2} carbon fraction in the films. Furthermore, the high-resolution transmission electron microscopy reveals that a self-assembled multilayer structure with periodicity in the nanometer scale is formed in the Mo–S–C–N film. Benefiting from the composite and self-assembled multilayer structures, the hardness of Mo–S–C–N film deposited at optimized parameter reaches up to 9.76 GPa, and corresponding friction experiment indicates that this composite films display low friction coefficient and high wear resistance both in vacuum and ambient air conditions.

  10. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  11. Nanostructured carbon films with oriented graphitic planes

    International Nuclear Information System (INIS)

    Teo, E. H. T.; Kalish, R.; Kulik, J.; Kauffmann, Y.; Lifshitz, Y.

    2011-01-01

    Nanostructured carbon films with oriented graphitic planes can be deposited by applying energetic carbon bombardment. The present work shows the possibility of structuring graphitic planes perpendicular to the substrate in following two distinct ways: (i) applying sufficiently large carbon energies for deposition at room temperature (E>10 keV), (ii) utilizing much lower energies for deposition at elevated substrate temperatures (T>200 deg. C). High resolution transmission electron microscopy is used to probe the graphitic planes. The alignment achieved at elevated temperatures does not depend on the deposition angle. The data provides insight into the mechanisms leading to the growth of oriented graphitic planes under different conditions.

  12. Room-temperature deposition of diamond-like carbon field emitter on flexible substrates

    International Nuclear Information System (INIS)

    Chen, H.; Iliev, M.N.; Liu, J.R.; Ma, K.B.; Chu, W.-K.; Badi, N.; Bensaoula, A.; Svedberg, E.B.

    2006-01-01

    Room-temperature fabrication of diamond-like carbon electron field emitters on flexible polyimide substrate is reported. These thin film field emitters are made using an Ar gas cluster ion beam assisted C 6 vapor deposition method. The bond structure of the as-deposited diamond-like carbon film was studied using Raman spectroscopy. The field emission characteristics of the deposited films were also measured. Electron current densities over 15 mA/cm 2 have been recorded under an electrical field of about 65 V/μm. These diamond-like carbon field emitters are easy and inexpensive to fabricate. The results are promising for flexible field-emission fabrication without the need of complex patterning and tip shaping as compared to the Spindt-type field emitters

  13. Tritium retention properties of tungsten, graphite and co-deposited carbon film

    International Nuclear Information System (INIS)

    Nobuta, Y.; Hatano, Y.; Matsuyama, M.; Abe, S.; Akamaru, S.; Yamauchi, Y.; Hino, T.; Suzuki, S.; Akiba, M.

    2014-01-01

    DT + ion irradiation was performed on polycrystalline tungsten, graphite and carbon film and both the amount of retained tritium and the reduction of retained tritium after preservation in vacuum were investigated using an IP technique and BIXS. In addition, the relationship between the retention properties of tritium and the microstructure of graphite and carbon film were studied with Raman spectroscopy. The amount of retained tritium in tungsten was smaller than in both graphite and carbon film. After 1 keV of DT + irradiation, graphite showed no reduction of the amount of retained tritium after six months preservation while that of carbon film decreased by approximately 20% after 40 days preservation. It was suggested that this difference might be associated with differences in the microstructure between graphite and carbon film. In tungsten, the amount of retained tritium decreased to approximately half after 18 days preservation. As the incident energy of implanted tritium to tungsten increased, the decrease in tritium retention during preservation became slower. Tungsten's properties of releasing tritium while preserved in vacuum would be a useful tool for the reduction/removal of retained tritium

  14. Room temperature pulsed laser deposition of Si{sub x} C thin films in different compositions

    Energy Technology Data Exchange (ETDEWEB)

    Hanyecz, I.; Budai, J. [University of Szeged, Department of Optics and Quantum Electronics, P.O. Box 406, Szeged (Hungary); Oszko, A. [University of Szeged, Department of Solid State and Radiochemistry, P.O. Box 168, Szeged (Hungary); Szilagyi, E. [KFKI Research Institute for Particle and Nuclear Physics, P.O. Box 49, Budapest (Hungary); Toth, Z. [Research Group on Laser Physics of the Hungarian Academy of Sciences, P.O. Box 406, Szeged (Hungary)

    2010-09-15

    Amorphous silicon-carbon alloy films in different compositions were prepared by pulsed laser deposition from two-component targets containing pure silicon and carbon parts. The silicon-carbon ratio in the films was varied by adjusting the number of laser shots on the constituent silicon and carbon targets. The composition, optical properties, thickness, and bonding structure of the films were determined by backscattering spectrometry, spectroscopic ellipsometry, and X-ray photoelectron spectroscopy, respectively. Backscattering spectrometry data were used to determine the deposition rate of silicon and carbon. This enabled the calculation of the number of the shots onto each target to reach a predefined composition. As the film composition changed from carbon to silicon, it was shown that the microscopic and macroscopic properties of the films also changed from a diamond-like carbon phase to an amorphous silicon phase via graphite- and silicon-carbide-like composite. (orig.)

  15. Deposition and properties of Al-containing diamond-like carbon films by a hybrid ion beam sources

    International Nuclear Information System (INIS)

    Dai Wei; Wang Aiying

    2011-01-01

    Research highlights: → Weak carbide former, Al element, was incorporated into DLC films using a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. → The structure disorder of the films tended to decrease with Al atoms doping, which resulted in the distinct reduction of the film internal stress and hardness, but the internal stress dropped faster than the hardness. → The DLC films with low internal stress and high hardness can be acquired by Al incorporation. - Abstract: Metal incorporation is one of the most effective methods for relaxing internal stress in diamond-like carbon (DLC) films. It was reported that the chemical state of the incorporated metal atoms has a significant influence on the film internal stress. The doped atoms embedding in the DLC matrix without bonding with C atoms can reduce the structure disorder of the DLC films through bond angle distortion and thus relax the internal stress of the films. In present paper, Al atoms, which are inert to carbon, were incorporated into the DLC films deposited by a hybrid ion beams system comprising an anode-layer ion source and a magnetron sputtering unit. The film composition, microstructure and atomic bond structure were characterized using X-ray photoelectron spectroscopy, transmission electron microscopy and Raman spectroscopy. The internal stress, mechanical properties and tribogoical behavior were studied as a function of Al concentration using a stress-tester, nanoindentation and ball-on-disc tribo-tester, respectively. The results indicated that the incorporated Al atoms were dissolved in the DLC matrix without bonding with C atoms and the films exhibited the feature of amorphous carbon. The structure disorder of the films tended to decrease with Al atoms incorporation. This resulted in the distinct reduction of the internal stress in the films. All Al-DLC films exhibited a lower friction coefficient compared with pure DLC film. The formation of the

  16. Uniform deposition of ternary chalcogenide nanoparticles onto mesoporous TiO{sub 2} film using liquid carbon dioxide-based coating

    Energy Technology Data Exchange (ETDEWEB)

    Nursanto, Eduardus Budi [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Park, Se Jin [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Jeon, Hyo Sang; Hwang, Yun Jeong [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Kim, Jaehoon, E-mail: jaehoonkim@skku.edu [School of Mechanical Engineering, Sungkyunkwan University, 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); SKKU Advanced Institute of Nano Technology (SAINT), 2066, Seobu-Ro, Jangan-Gu, Suwon, GyeongGi-Do 440–746 (Korea, Republic of); Min, Byoung Koun, E-mail: bkmin@kist.re.kr [Clean Energy Research Center, Korea Institute of Science and Technology, Hwarangno 14-gil 5, Seongbuk-gu, Seoul 136–791 (Korea, Republic of); Department of Clean Energy and Chemical Engineering, Korea University of Science and Technology,217, Gajeong-ro, Yuseong-gu, Daejeon 305–333 (Korea, Republic of); Green School, Korea University, 145,Anam-ro, Seongbuk-gu, Seoul 136–713 (Korea, Republic of)

    2014-08-28

    We report the simultaneous deposition of two different metal precursors dissolved in liquid carbon dioxide (l-CO{sub 2}), aiming to the synthesis of ternary chalcopyrite (e.g. CuInS{sub 2}) nanoparticles on a mesoporous TiO{sub 2} film. The l-CO{sub 2}-based deposition of Cu and In precursors and subsequent reaction with a dilute H{sub 2}S gas resulted in Cu{sub x}In{sub y}S{sub z} nanoparticles uniformly deposited across the entire thickness of a mesoporous TiO{sub 2} film. Further heat treatment (air annealing and sulfurization) led to the formation of more stoichiometric CuInS{sub 2} nanoparticles. The formation of CuInS{sub 2} on TiO{sub 2} was confirmed by scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction, and Raman spectroscopy. The crystal growth of CuInS{sub 2} was also found to be controllable by adjusting the number of coating cycles of the l-CO{sub 2}-based deposition. - Highlights: • Simultaneous deposition of two different metal precursors dissolved in l-CO{sub 2}. • Uniform deposition of CuInS{sub 2} nanoparticles across mesoporous TiO{sub 2} film. • Highly crystalline CuInS{sub 2} formed on mesoporous TiO{sub 2} film. • Nearly stoichiometric ratio of Cu:In:S was obtained.

  17. Study on re-sputtering during CN{sub x} film deposition through spectroscopic diagnostics of plasma

    Energy Technology Data Exchange (ETDEWEB)

    Liang, Peipei; Yang, Xu; Li, Hui; Cai, Hua [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Sun, Jian; Xu, Ning [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Wu, Jiada, E-mail: jdwu@fudan.edu.cn [Department of Optical Science and Engineering, Fudan University, Shanghai 200433 (China); Shanghai Engineering Research Center of Ultra-Precision Optical Manufacturing, Fudan University, Shanghai 200433 (China); Engineering Research Center of Advanced Lighting Technology, Ministry of Education, Fudan University, Shanghai 200433 (China)

    2015-10-15

    A nitrogen-carbon plasma was generated during the deposition of carbon nitride (CN{sub x}) thin films by pulsed laser ablation of a graphite target in a discharge nitrogen plasma, and the optical emission of the generated nitrogen-carbon plasma was measured for the diagnostics of the plasma and the characterization of the process of CN{sub x} film deposition. The nitrogen-carbon plasma was recognized to contain various species including nitrogen molecules and molecular ions excited in the ambient N{sub 2} gas, carbon atoms and atomic ions ablated from the graphite target and CN radicals. The temporal evolution and spatial distribution of the CN emission and their dependence on the substrate bias voltage show two groups of CN radicals flying in opposite directions. One represents the CN radicals formed as the products of the reactions occurring in the nitrogen-carbon plasma, revealing the reactive deposition of CN{sub x} film due to the reactive expansion of the ablation carbon plasma in the discharge nitrogen plasma and the effective formation of gaseous CN radicals as precursors for CN{sub x} film growth. The other one represents the CN radicals re-sputtered from the growing CN{sub x} film by energetic plasma species, evidencing the re-sputtering of the growing film accompanying film growth. And, the re-sputtering presents ion-induced sputtering features.

  18. Ternary graphene/amorphous carbon/nickel nanocomposite film for outstanding superhydrophobicity

    Science.gov (United States)

    Zhu, Xiaobo; Zhou, Shengguo; Yan, Qingqing

    2018-04-01

    A novel superhydrophobic ternary graphene/amorphous carbon/nickel (G-Ni/a-C:H) carbon-based film was fabricated by a green approach of high-voltage electrochemical deposition without using aqueous solution, which was systematically investigated including the structure and relating applications on self-cleaning and corrosion resistance. Graphene and nickel nano-particle inserts were effective to tailor the feature of nanocrystallite/amorphous microstructure as well as micro-nanoscale hierarchical rose-petal-like surface for G-Ni/a-C:H carbon-based film. Surprisingly, this deposit could present outstanding superhydrophobicity with the contact angle of 158.98 deg and sliding angle of 2.75 deg without any further surface modification meanwhile it could possess fairly well adhesion. Furthermore, the superhydrophobic G-Ni/a-C:H carbon-based film could exhibit excellent corrosion resistance and self-cleaning performances compared to no graphene incorporated deposit. The procedure of fabricating deposit might be simple, scalable, and environmental friendly, indicating a promising prospect for industrial applications in the field of anti-fouling, anti-corrosion and drag resistance.

  19. Turbostratic-like carbon nitride coatings deposited by industrial-scale direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Louring, S.; Madsen, N.D.; Berthelsen, A.N.; Christensen, B.H.; Almtoft, K.P.; Nielsen, L.P.; Bøttiger, J.

    2013-01-01

    Carbon nitride thin films were deposited by direct current magnetron sputtering in an industrial-scale equipment at different deposition temperatures and substrate bias voltages. The films had N/(N + C) atomic fractions between 0.2 and 0.3 as determined by X-ray photoelectron spectroscopy (XPS). Raman spectroscopy provided insight into the ordering and extension of the graphite-like clusters, whereas nanoindentation revealed information on the mechanical properties of the films. The internal compressive film stress was evaluated from the substrate bending method. At low deposition temperatures the films were amorphous, whereas the film deposited at approximately 380 °C had a turbostratic-like structure as confirmed by high-resolution transmission electron microscopy images. The turbostratic-like film had a highly elastic response when subjected to nanoindentation. When a CrN interlayer was deposited between the film and the substrate, XPS and Raman spectroscopy indicated that the turbostratic-like structure was maintained. However, it was inconclusive whether the film still exhibited an extraordinary elastic recovery. An increased substrate bias voltage, without additional heating and without deposition of an interlayer, resulted in a structural ordering, although not to the extent of a turbostratic-like structure. - Highlights: • Carbon nitride films were deposited by industrial-scale magnetron sputtering. • The deposition temperature and the substrate bias voltage were varied. • A turbostratic-like structure was obtained at an elevated deposition temperature. • The turbostratic-like film exhibited a very high elastic recovery. • The influence of a CrN interlayer on the film properties was investigated

  20. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    Energy Technology Data Exchange (ETDEWEB)

    Ozeki, K., E-mail: ozeki@mx.ibaraki.ac.jp [Department of Mechanical Engineering, Ibaraki University, 4-12-1, Nakanarusawa, Hitachi, Ibaraki 316-8511 (Japan); Frontier Research Center for Applied Atomic Sciences, 162-1 Shirakata, Toukai, Ibaraki 319-1106 (Japan); Hirakuri, K.K. [Applied Systems Engineering, Graduate School of Science and Engineering, Tokyo Denki University, Ishizaka, Hatoyama, Hiki, Saitama 350-0394 (Japan); Masuzawa, T. [Department of Mechanical Engineering, Ibaraki University, 4-12-1, Nakanarusawa, Hitachi, Ibaraki 316-8511 (Japan)

    2011-04-15

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO{sub 2}) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO{sub 2} films and DLC/TiO{sub 2}/DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO{sub 2}-coated and the DLC/TiO{sub 2}/DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO{sub 2} coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO{sub 2}/DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO{sub 2}/DLC film had a photocatalytic effect even though the TiO{sub 2} film was covered with the DLC film.

  1. Deodorisation effect of diamond-like carbon/titanium dioxide multilayer thin films deposited onto polypropylene

    International Nuclear Information System (INIS)

    Ozeki, K.; Hirakuri, K.K.; Masuzawa, T.

    2011-01-01

    Many types of plastic containers have been used for the storage of food. In the present study, diamond-like carbon (DLC)/titanium oxide (TiO 2 ) multilayer thin films were deposited on polypropylene (PP) to prevent flavour retention and to remove flavour in plastic containers. For the flavour removal test, two types of multilayer films were prepared, DLC/TiO 2 films and DLC/TiO 2 /DLC films. The residual gas concentration of acetaldehyde, ethylene, and turmeric compounds in bottle including the DLC/TiO 2 -coated and the DLC/TiO 2 /DLC-coated PP plates were measured after UV radiation, and the amount of adsorbed compounds to the plates was determined. The percentages of residual gas for acetaldehyde, ethylene, and turmeric with the DLC/TiO 2 coated plates were 0.8%, 65.2% and 75.0% after 40 h of UV radiation, respectively. For the DLC/TiO 2 /DLC film, the percentages of residual gas for acetaldehyde, ethylene and turmeric decreased to 34.9%, 76.0% and 85.3% after 40 h of UV radiation, respectively. The DLC/TiO 2 /DLC film had a photocatalytic effect even though the TiO 2 film was covered with the DLC film.

  2. Nanostructural characterization of amorphous diamondlike carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Siegal, M. P. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Tallant, D. R. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Martinez-Miranda, L. J. [University of Maryland, Department of Materials and Nuclear Engineering, College Park, Maryland 20742 (United States); Barbour, J. C. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Simpson, R. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Overmyer, D. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States)

    2000-04-15

    Nanostructural characterization of amorphous diamondlike carbon (a-C) films grown on silicon using pulsed-laser deposition (PLD) is correlated to both growth energetics and film thickness. Raman spectroscopy and x-ray reflectivity probe both the topological nature of three- and four-fold coordinated carbon atom bonding and the topographical clustering of their distributions within a given film. In general, increasing the energetics of PLD growth results in films becoming more ''diamondlike,'' i.e., increasing mass density and decreasing optical absorbance. However, these same properties decrease appreciably with thickness. The topology of carbon atom bonding is different for material near the substrate interface compared to material within the bulk portion of an a-C film. A simple model balancing the energy of residual stress and the free energies of resulting carbon topologies is proposed to provide an explanation of the evolution of topographical bonding clusters in a growing a-C film. (c) 2000 The American Physical Society.

  3. Nanostructural characterization of amorphous diamondlike carbon films

    Energy Technology Data Exchange (ETDEWEB)

    SIEGAL,MICHAEL P.; TALLANT,DAVID R.; MARTINEZ-MIRANDA,L.J.; BARBOUR,J. CHARLES; SIMPSON,REGINA L.; OVERMYER,DONALD L.

    2000-01-27

    Nanostructural characterization of amorphous diamondlike carbon (a-C) films grown on silicon using pulsed-laser deposition (PLD) is correlated to both growth energetic and film thickness. Raman spectroscopy and x-ray reflectivity probe both the topological nature of 3- and 4-fold coordinated carbon atom bonding and the topographical clustering of their distributions within a given film. In general, increasing the energetic of PLD growth results in films becoming more ``diamondlike'', i.e. increasing mass density and decreasing optical absorbance. However, these same properties decrease appreciably with thickness. The topology of carbon atom bonding is different for material near the substrate interface compared to material within the bulk portion of an a-C film. A simple model balancing the energy of residual stress and the free energies of resulting carbon topologies is proposed to provide an explanation of the evolution of topographical bonding clusters in a growing a-C film.

  4. Buckling instability in amorphous carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, X D [CAS Key Laboratory of Basic Plasma Physics, Department of Modern Physics, University of Science and Technology of China, Hefei, Anhui 230026 (China); Narumi, K [Advanced Science Research Center, Japan Atomic Energy Agency, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan); Naramoto, H [Advanced Science Research Center, Japan Atomic Energy Agency, 1233 Watanuki, Takasaki, Gunma 370-1292 (Japan)

    2007-06-13

    In this paper, we report the buckling instability in amorphous carbon films on mirror-polished sapphire (0001) wafers deposited by ion beam assisted deposition at various growth temperatures. For the films deposited at 150 deg. C, many interesting stress relief patterns are found, which include networks, blisters, sinusoidal patterns with {pi}-shape, and highly ordered sinusoidal waves on a large scale. Starting at irregular buckling in the centre, the latter propagate towards the outer buckling region. The maximum length of these ordered patterns reaches 396 {mu}m with a height of {approx}500 nm and a wavelength of {approx}8.2 {mu}m. However, the length decreases dramatically to 70 {mu}m as the deposition temperature is increased to 550 deg. C. The delamination of the film appears instead of sinusoidal waves with a further increase of the deposition temperature. This experimental observation is correlated with the theoretic work of Crosby (1999 Phys. Rev. E 59 R2542)

  5. Buckling instability in amorphous carbon films

    International Nuclear Information System (INIS)

    Zhu, X D; Narumi, K; Naramoto, H

    2007-01-01

    In this paper, we report the buckling instability in amorphous carbon films on mirror-polished sapphire (0001) wafers deposited by ion beam assisted deposition at various growth temperatures. For the films deposited at 150 deg. C, many interesting stress relief patterns are found, which include networks, blisters, sinusoidal patterns with π-shape, and highly ordered sinusoidal waves on a large scale. Starting at irregular buckling in the centre, the latter propagate towards the outer buckling region. The maximum length of these ordered patterns reaches 396 μm with a height of ∼500 nm and a wavelength of ∼8.2 μm. However, the length decreases dramatically to 70 μm as the deposition temperature is increased to 550 deg. C. The delamination of the film appears instead of sinusoidal waves with a further increase of the deposition temperature. This experimental observation is correlated with the theoretic work of Crosby (1999 Phys. Rev. E 59 R2542)

  6. A comparative study on electrochemical co-deposition and capacitance of composite films of conducting polymers and carbon nanotubes

    International Nuclear Information System (INIS)

    Peng Chuang; Jin Jun; Chen, George Z.

    2007-01-01

    Composite films of carbon nanotubes (CNTs) with polyaniline (PANI), polypyrrole (PPY) or poly[3,4-ethylenedioxythiophene] (PEDOT) were prepared via electrochemical co-deposition from solutions containing acid treated CNTs and the corresponding monomer. In the cases of PPY and PEDOT, CNTs served as the charge carriers during electro-deposition, and also acted as both the backbone of a three-dimensional micro- and nano-porous structure and the effective charge-balancing dopant within the polymer. All the composites showed improved mechanical integrity, higher electronic and ionic conductivity (even when the polymer was reduced), and exhibited larger electrode specific capacitance than the polymer alone. Under similar conditions, the capacitance was enhanced significantly in as-prepared PPY-CNT and PEDOT-CNT films. However, the fresh PANI-CNT film was electrochemically similar to PANI, but PPY-CNT and PEDOT-CNT differed noticeably from the respective polymers alone. In continuous potential cycling tests, unlike the pure polymer and other composite films, PANI-CNT performed much better in retaining the capacitance of the as-prepared film, and the possible cause is analysed

  7. Growth of graphene films from non-gaseous carbon sources

    Science.gov (United States)

    Tour, James; Sun, Zhengzong; Yan, Zheng; Ruan, Gedeng; Peng, Zhiwei

    2015-08-04

    In various embodiments, the present disclosure provides methods of forming graphene films by: (1) depositing a non-gaseous carbon source onto a catalyst surface; (2) exposing the non-gaseous carbon source to at least one gas with a flow rate; and (3) initiating the conversion of the non-gaseous carbon source to the graphene film, where the thickness of the graphene film is controllable by the gas flow rate. Additional embodiments of the present disclosure pertain to graphene films made in accordance with the methods of the present disclosure.

  8. Superlubricity and wearless sliding in diamondlike carbon films

    International Nuclear Information System (INIS)

    Erdemir, A.

    2001-01-01

    Diamondlike carbon (DLC) films have attracted great interest in recent years mainly because of their unusual optical, electrical, mechanical, and tribological properties. Such properties are currently being exploited for a wide range of engineering applications including magnetic hard disks, gears, sliding and roller bearings, scratch resistant glasses, biomedical implants, etc. Systematic studies on carbon-based materials in our laboratory have led to the development of a new class of amorphous DLC films that provide extremely low friction and wear coefficients of 0.001 to 0.005 and 10(sup -11) to 10(sup -10) mm(sup 3) /N.m, respectively, when tested in inert-gas or high-vacuum environments. These films were produced in highly hydrogenated gas discharge plasmas by a plasma enhanced chemical vapor deposition process at room temperature. The carbon source gases used in the deposition of these films included methane, acetylene, and ethylene. Tribological studies in our laboratory have established a very close correlation between the composition of the plasmas and the friction and wear coefficients of the resultant DLC films. Specifically, the friction and wear coefficients of DLC films grown in plasmas with higher hydrogen-to-carbon ratios were much lower than films derived from source gases with lower hydrogen-to-carbon ratios. Fundamental tribological and surface analytical studies have led us to conclude that hydrogen (within the film, as well as on the sliding surfaces) is extremely important for the superlubricity and wearless sliding behavior of these films. Based on these studies, a mechanistic model is proposed to explain the superlow friction and wear properties of the new DLC films

  9. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  10. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  11. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers; Filmes finos de carbono depositados por meio da tecnica de magnetron sputtering usando cobalto, cobre e niquel como buffer-layers

    Energy Technology Data Exchange (ETDEWEB)

    Costa e Silva, Danilo Lopes

    2015-11-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  12. Microwave plasma chemical synthesis of nanocrystalline carbon film structures and study their properties

    Science.gov (United States)

    Bushuev, N.; Yafarov, R.; Timoshenkov, V.; Orlov, S.; Starykh, D.

    2015-08-01

    The self-organization effect of diamond nanocrystals in polymer-graphite and carbon films is detected. The carbon materials deposition was carried from ethanol vapors out at low pressure using a highly non-equilibrium microwave plasma. Deposition processes of carbon film structures (diamond, graphite, graphene) is defined. Deposition processes of nanocrystalline structures containing diamond and graphite phases in different volume ratios is identified. The solid film was obtained under different conditions of microwave plasma chemical synthesis. We investigated the electrical properties of the nanocrystalline carbon films and identified it's from various factors. Influence of diamond-graphite film deposition mode in non-equilibrium microwave plasma at low pressure on emission characteristics was established. This effect is justified using the cluster model of the structure of amorphous carbon. It was shown that the reduction of bound hydrogen in carbon structures leads to a decrease in the threshold electric field of emission from 20-30 V/m to 5 V/m. Reducing the operating voltage field emission can improve mechanical stability of the synthesized film diamond-graphite emitters. Current density emission at least 20 A/cm2 was obtained. Nanocrystalline carbon film materials can be used to create a variety of functional elements in micro- and nanoelectronics and photonics such as cold electron source for emission in vacuum devices, photonic devices, cathodoluminescent flat display, highly efficient white light sources. The obtained graphene carbon net structure (with a net size about 6 μm) may be used for the manufacture of large-area transparent electrode for solar cells and cathodoluminescent light sources

  13. TEM investigation of DC sputtered carbon-nitride-nickel thin films

    International Nuclear Information System (INIS)

    Safran, G.; Geszti, O.; Radnoczi, G.

    2002-01-01

    Deposition of carbon nitride (C-N) and carbon-nitride-nickel (C-N-Ni) films onto glass, NaCl and Si(001) substrates was carried out in a dc magnetron sputtering system. Carbon was deposited from high-purity (99.99%) pyrolytic graphite target, 50 mm in diameter, positioned at 10 cm from a resistance-heated substrate holder. C-N-Ni films were grown by a small Ni plate mounted on the graphite target. The base pressure of the deposition chamber was ∼7x10 -7 Torr. Films were grown at a substrate temperature of 20-700 grad C, in pure N 2 at partial pressures of 1.9 -2.2 mTorr and the substrates were held at ground potential. The typical film thickness of 15-30 nm was deposited on all the substrates at a magnetron current of 0.2 and 0.3 A, which resulted in a deposition rate of 1.5-2 nm/s. Structural characterizations were performed by high-resolution transmission electron microscopy (HRTEM) using a JEOL 3010 operated at 300 kV and a 200 kV Philips CM 20 electron microscope equipped with a Ge detector Noran EDS system. The N content of the C-N samples prepared at room temperature was 22-24% by EDS measurement and showed a decrease to 6-7% at elevated temperatures up to 700 grad C. The N concentration in the C-N-Ni films was higher: ∼38% at RT and ∼9% at 700 grad C. The Ni concentration of C-N-Ni samples was 5-6% and 0.3-0.4% in samples deposited at RT and 700 grad C respectively. The low Ni content in the latter is attributed to a decrease of the sticking coefficient of the carbon co-deposited Ni at elevated temperatures. (Authors)

  14. Formation of Ge dot or film in Ge/Si heterostructure by using sub-monolayer carbon deposition on top and in-situ post annealing

    Energy Technology Data Exchange (ETDEWEB)

    Itoh, Yuhki, E-mail: itoh.yuhki@ecei.tohoku.ac.jp; Hatakeyama, Shinji; Kawashima, Tomoyuki; Washio, Katsuyoshi

    2016-03-01

    Effects of carbon (C) atoms on solid-phase epitaxial growth of Ge on Si(100) have been studied. C and Ge layers were deposited on Si(100) substrates at low temperature (150–300 °C) by using solid-source molecular beam epitaxy (MBE) system and subsequently annealed at 650 °C in the MBE chamber. The surface morphology after annealing changed depending on deposited amounts of C and deposition temperature of Ge. Ge dots were formed for small amounts of C while smooth Ge films were formed by large amounts of C varying with the Ge deposition temperature. The surface morphology after annealing was also affected by the as-deposited Ge crystallinity. The change in surface morphology depending on the amounts of deposited C was considered to be affected by the formation of Ge–C bonds which relieved the misfit strain between Ge and Si. The crystallinity of Ge deteriorated with increasing C coverage due to the incorporation of insoluble C atoms in the shape of both dots and films. - Highlights: • Effects of carbon on solid-phase epitaxy of C/Ge/Si(100) were studied. • Surface morphology changed depending on C amounts and Ge deposition temperature. • Solid-phase growth of Ge changed from large dots to smooth films with C coverage. • Transition of surface morphology was affected by the formation of Ge–C bonds.

  15. Influence of duty ratio of pulsed bias on structure and properties of silicon-doped diamond-like carbon films by plasma deposition

    International Nuclear Information System (INIS)

    Nakazawa, Hideki; Kamata, Ryosuke; Miura, Soushi; Okuno, Saori

    2013-01-01

    We have investigated the influence of the duty ratio of pulsed substrate bias on the structure and properties of Si-doped diamond-like carbon (Si-DLC) films deposited by radio frequency plasma-enhanced chemical vapor deposition using CH 4 , Ar, and monomethylsilane (CH 3 SiH 3 ) as the Si source. The Si/(Si + C) ratios in the Si-DLC films deposited using pulsed bias were higher than that of the dc-biased Si-DLC film, and the Si fraction increased with decreasing pulse duty ratio. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy analyses revealed that Si-C, Si-H n , and C-H n bonds in the Si-DLC films increased with decreasing duty ratio. The internal stress decreased as the duty ratio decreased, which is probably due to the increase in Si-C, Si-H n , and C-H n bonds in the films. The Si-DLC films deposited using pulsed bias had higher adhesion strength than the dc-biased Si-DLC film because of the further reduction of internal stress. At higher duty ratios, although the Si fractions of the pulse-biased Si-DLC films were higher than that of the dc-biased Si-DLC film, the wear rates of the former were less than that of the latter. - Highlights: • The internal stress of Si-doped films was lowered at lower duty ratios. • The adhesion of pulse-biased films was improved compared with that of dc films. • The tribological properties of Si-doped films were improved by the use of pulse bias

  16. Diagnostics of capacitively-coupled hydrocarbon plasmas for deposition of diamond-like carbon films using quadrupole mass spectrometry and Langmuir probe

    Science.gov (United States)

    Oda, Akinori; Fukai, Shun; Kousaka, Hiroyuki; Ohta, Takayuki

    2015-09-01

    Diamond-like carbon (DLC) films are the hydrogenated amorphous carbon films, which contains a mixture of sp2- and sp3-bonded carbon. The DLC films have been widely used for various applications, such as automotive, semiconductors, medical devices, since have excellent material properties in lower friction, higher chemical stability, higher hardness, higher wear resistance. Until now, numerous investigations on the DLC films using plasma assisted chemical vapor deposition have been done. For precise control of coating technique of DLC films, it is enormously important to clarify the fundamental properties in hydrocarbon plasmas, as a source of hydrocarbon ions and radicals. In this paper, the fundamental properties in a low pressure radio-frequency hydrocarbon (Ar/CH4 (1 %) gas mixture) plasmas have been diagnosed using a quadrupole mass spectrometer (HIDEN ANARYTICAL Ltd., EQP-300) and Langmuir probe system (HIDEN ANARYTICAL Ltd., ESPion). This work was partly supported by KAKENHI (No.26420247), and a ``Grant for Advanced Industrial Technology Development (No.11B06004d)'' in 2011 from the New Energy and Industrial Technology Development Organization (NEDO) of Japan.

  17. Screen-printed carbon electrode modified on its surface with amorphous carbon nitride thin film: Electrochemical and morphological study

    Energy Technology Data Exchange (ETDEWEB)

    Ghamouss, F. [Universite de Nantes, UMR 6006-CNRS, FR-2465-CNRS, Laboratoire d' Analyse isotopique et Electrochimique de Metabolismes (LAIEM) (France); Tessier, P.-Y. [Universite de Nantes, UMR CNRS 6502, Institut des Materiaux Jean Rouxel - IMN Faculte des Sciences and des Techniques de Nantes, 2 rue de la Houssiniere, 44322 Nantes Cedex 3 (France); Djouadi, A. [Universite de Nantes, UMR CNRS 6502, Institut des Materiaux Jean Rouxel - IMN Faculte des Sciences and des Techniques de Nantes, 2 rue de la Houssiniere, 44322 Nantes Cedex 3 (France); Besland, M.-P. [Universite de Nantes, UMR CNRS 6502, Institut des Materiaux Jean Rouxel - IMN Faculte des Sciences and des Techniques de Nantes, 2 rue de la Houssiniere, 44322 Nantes Cedex 3 (France); Boujtita, M. [Universite de Nantes, UMR 6006-CNRS, FR-2465-CNRS, Laboratoire d' Analyse isotopique et Electrochimique de Metabolismes (LAIEM) (France)]. E-mail: mohammed.boujtita@univ-nantes.fr

    2007-04-20

    The surface of a screen-printed carbon electrode (SPCE) was modified by using amorphous carbon nitride (a-CN {sub x}) thin film deposited by reactive magnetron sputtering. Scanning electron microscopy and photoelectron spectroscopy measurements were used to characterise respectively the morphology and the chemical structure of the a-CN {sub x} modified electrodes. The incorporation of nitrogen in the amorphous carbon network was demonstrated by X ray photoelectron spectroscopy. The a-CN {sub x} layers were deposited on both carbon screen-printed electrode (SPCE) and silicon (Si) substrates. A comparative study showed that the nature of substrate, i.e. SPCE and Si, has a significant effect on both the surface morphology of deposited a-CN {sub x} film and their electrochemical properties. The improvement of the electrochemical reactivity of SPCE after a-CN {sub x} film deposition was highlighted both by comparing the shapes of voltammograms and calculating the apparent heterogeneous electron transfer rate constant.

  18. Structural and nanomechanical properties of nanocrystalline carbon thin films for photodetection

    Energy Technology Data Exchange (ETDEWEB)

    Rawal, Ishpal [Department of Physics, Kirorimal College, University of Delhi, Delhi 110007 (India); Panwar, Omvir Singh, E-mail: ospanwar@mail.nplindia.ernet.in; Tripathi, Ravi Kant; Chockalingam, Sreekumar [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India); Srivastava, Avanish Kumar [Electron and Ion Microscopy, Sophisticated and Analytical Instruments, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India); Kumar, Mahesh [Ultrafast Optoelectronics and Tetrahertz Photonics Group, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India)

    2015-05-15

    This paper reports the effect of helium gas pressure upon the structural, nanomechanical, and photoconductive properties of nanocrystalline carbon thin (NCT) films deposited by the filtered cathodic jet carbon arc technique. High-resolution transmission electron microscopy images confirm the nanocrystalline nature of the deposited films with different crystallite sizes (3–7 nm). The chemical structure of the deposited films is further analyzed by x-ray photoelectron spectroscopy and Raman spectroscopy, which suggest that the deposited films change from graphitelike to diamondlike, increasing in sp{sup 3} content, with a minor change in the dilution of the inert gas (helium). The graphitic character is regained upon higher dilution of the helium gas, whereupon the films exhibit an increase in sp{sup 2} content. The nanomechanical measurements show that the film deposited at a helium partial pressure of 2.2 × 10{sup −4} has the highest value of hardness (37.39 GPa) and elastic modulus (320.50 GPa). At a light intensity of 100 mW/cm{sup 2}, the NCT films deposited at 2.2 × 10{sup −4} and 0.1 mbar partial pressures of helium gas exhibit good photoresponses of 2.2% and 3.6%, respectively.

  19. Atomic layer deposition of cobalt carbide films and their magnetic properties using propanol as a reducing agent

    Energy Technology Data Exchange (ETDEWEB)

    Sarr, Mouhamadou, E-mail: sarrtapha44@yahoo.fr [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Bahlawane, Naoufal; Arl, Didier [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg); Dossot, Manuel [Laboratory of Physical Chemistry and Microbiology for the Environment, UMR 7564 CNRS-Université de Lorraine, 405 rue de Vandoeuvre, 54601 Villers-lès-Nancy (France); McRae, Edward [Institut Jean Lamour, UMR 7198CNRS-Université de Lorraine, FST, BP 70239, 54506 Vandoeuvre-lès-Nancy (France); Lenoble, Damien, E-mail: damien.lenoble@list.lu [Luxembourg Instituteof Science and Technology, 41, rue du Brill, L-4422 Belvaux (Luxembourg)

    2016-08-30

    Highlights: • Conformal carbon-Co-carbide thin films. • Chemically growth carbone-Co-carbide composite. • Tuneable magnetic properties. - Abstract: The investigation of highly conformal thin films using Atomic Layer Deposition (ALD) is driven by a variety of applications in modern technologies. In particular, the emergence of 3D memory device architectures requires conformal materials with tuneable magnetic properties. Here, nanocomposites of carbon, cobalt and cobalt carbide are deposited by ALD using cobalt acetylacetonate with propanol as a reducing agent. Films were grown by varying the ALD deposition parameters including deposition temperature and propanol exposure time. The morphology, the chemical composition and the crystalline structure of the cobalt carbide film were investigated. Vibrating Sample Magnetometer (VSM) measurements revealed magnetic hysteresis loops with a coercivity reaching 500 Oe and a maximal saturation magnetization of 0.9 T with a grain size less than 15 nm. Magnetic properties are shown to be tuneable by adjusting the deposition parameters that significantly affect the microstructure and the composition of the deposited films.

  20. Effect of boron incorporation on the structure and electrical properties of diamond-like carbon films deposited by femtosecond and nanosecond pulsed laser ablation

    Energy Technology Data Exchange (ETDEWEB)

    Sikora, A. [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France); Bourgeois, O. [Institut Neel, UPR 2940 CNRS, 25 Avenue des Martyrs, 38042 Grenoble Cedex 9 (France); Sanchez-Lopez, J.C. [Instituto de Ciencia de Materiales de Sevilla, Avda. Americo Vespucio, 49 41092 Sevilla (Spain); Rouzaud, J.-N. [Laboratoire de Geologie, UMR 8538 CNRS, Ecole Normale Superieure, 45 Rue d' Ulm, 75230 Paris Cedex 05 (France); Rojas, T.C. [Instituto de Ciencia de Materiales de Sevilla, Avda. Americo Vespucio, 49 41092 Sevilla (Spain); Loir, A.-S. [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France); Garden, J.-L. [Institut Neel, UPR 2940 CNRS, 25 Avenue des Martyrs, 38042 Grenoble Cedex 9 (France); Garrelie, F. [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France); Donnet, C., E-mail: christophe.donnet@univ-st-etienne.f [Laboratoire Hubert Curien, UMR 5516 CNRS, Universite Jean Monnet, 18 Rue Pr. Benoit Lauras, 42000 Saint-Etienne (France)

    2009-12-31

    The influence of the incorporation of boron in diamond-like carbon (DLC) films on the microstructure of the coatings has been investigated. The boron-containing DLC films (a-C:B) have been deposited by pulsed laser deposition (PLD) at room temperature in high vacuum conditions, by ablating graphite and boron targets either with a femtosecond pulsed laser (800 nm, 150 fs, fs-DLC) or with a nanosecond pulsed laser (248 nm, 20 ns, ns-DLC). Alternative ablation of the graphite and boron targets has been carried out to deposit the a-C:B films. The film structure and composition have been highlighted by coupling Field Emission Scanning Electron Microscopy, Electron Energy Loss Spectroscopy and High Resolution Transmission Electron Microscopy. Using the B K-edge, EELS characterization reveals the boron effect on the carbon bonding. Moreover, the plasmon energy reveals a tendency of graphitization associated to the boron doping. Pure boron particles have been characterized by HRTEM and reveal that those particles are amorphous or crystallized. The nanostructures of the boron-doped ns-DLC and the boron-doped fs-DLC are thus compared. In particular, the incorporation of boron in the DLC matrix is highlighted, depending on the laser used for deposition. Electrical measurements show that some of these films have potentialities to be used in low temperature thermometry, considering their conductivity and temperature coefficient of resistance (TCR) estimated within the temperature range 160-300 K.

  1. Increased field-emission site density from regrown carbon nanotube films

    International Nuclear Information System (INIS)

    Wang, Y.Y.; Gupta, S.; Liang, M.; Nemanich, R.J.

    2005-01-01

    Electron field-emission properties of as-grown, etched, and regrown carbon nanotube thin films were investigated. The aligned carbon nanotube films were deposited by the microwave plasma-assisted chemical vapor deposition technique. The surface of the as-grown film contained a carbon nanotube mat of amorphous carbon and entangled nanotubes with some tubes protruding from the surface. Hydrogen plasma etching resulted in the removal of the surface layer, and regrowth on the etched surface displayed the formation of a new carbon nanotube mat. The emission site density and the current-voltage dependence of the field emission from all of the samples were analyzed. The results showed that the as-grown sample had a few strong emission spots and a relatively high emission current density (∼20 μA/cm 2 at 1 V/μm), while the regrown sample exhibited a significantly increased emission site density

  2. Selective Deposition and Alignment of Single-Walled Carbon Nanotubes Assisted by Dielectrophoresis: From Thin Films to Individual Nanotubes

    Science.gov (United States)

    Li, Pengfei; Xue, Wei

    2010-06-01

    Dielectrophoresis has been used in the controlled deposition of single-walled carbon nanotubes (SWNTs) with the focus on the alignment of nanotube thin films and their applications in the last decade. In this paper, we extend the research from the selective deposition of SWNT thin films to the alignment of small nanotube bundles and individual nanotubes. Electrodes with “teeth”-like patterns are fabricated to study the influence of the electrode width on the deposition and alignment of SWNTs. The entire fabrication process is compatible with optical lithography-based techniques. Therefore, the fabrication cost is low, and the resulting devices are inexpensive. A series of SWNT solutions is prepared with concentrations ranging from 0.0125 to 0.2 mg/ml. The alignment of SWNT thin films, small bundles, and individual nanotubes is achieved under the optimized experimental conditions. The electrical properties of these samples are characterized; the linear current-voltage plots prove that the aligned SWNTs are mainly metallic nanotubes. The microscopy inspection of the samples demonstrates that the alignment of small nanotube bundles and individual nanotubes can only be achieved using narrow electrodes and low-concentration solutions. Our investigation shows that it is possible to deposit a controlled amount of SWNTs in desirable locations using dielectrophoresis.

  3. Selective Deposition and Alignment of Single-Walled Carbon Nanotubes Assisted by Dielectrophoresis: From Thin Films to Individual Nanotubes

    Directory of Open Access Journals (Sweden)

    Li Pengfei

    2010-01-01

    Full Text Available Abstract Dielectrophoresis has been used in the controlled deposition of single-walled carbon nanotubes (SWNTs with the focus on the alignment of nanotube thin films and their applications in the last decade. In this paper, we extend the research from the selective deposition of SWNT thin films to the alignment of small nanotube bundles and individual nanotubes. Electrodes with “teeth”-like patterns are fabricated to study the influence of the electrode width on the deposition and alignment of SWNTs. The entire fabrication process is compatible with optical lithography-based techniques. Therefore, the fabrication cost is low, and the resulting devices are inexpensive. A series of SWNT solutions is prepared with concentrations ranging from 0.0125 to 0.2 mg/ml. The alignment of SWNT thin films, small bundles, and individual nanotubes is achieved under the optimized experimental conditions. The electrical properties of these samples are characterized; the linear current–voltage plots prove that the aligned SWNTs are mainly metallic nanotubes. The microscopy inspection of the samples demonstrates that the alignment of small nanotube bundles and individual nanotubes can only be achieved using narrow electrodes and low-concentration solutions. Our investigation shows that it is possible to deposit a controlled amount of SWNTs in desirable locations using dielectrophoresis.

  4. Rapid growth of amorphous carbon films on the inner surface of micron-thick and hollow-core fibers

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Longfei [Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electric Science, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China); School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Liu, Dongping, E-mail: Dongping.liu@dlnu.edu.cn [Fujian Key Laboratory for Plasma and Magnetic Resonance, Department of Electric Science, School of Physics and Mechanical and Electrical Engineering, Xiamen University, Xiamen, Fujian 361005 (China); School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Zhou, Xinwei [Department of Mechanical Engineering, Zhejiang University, Zhejiang 310007 (China); Song, Ying [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Physics and Optoelectronic Technology, Dalian University of Technology, Dalian 116023 (China); Ni, Weiyuan [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China); School of Science, Changchun University of Science and Technology, Changchun, Jilin 130022 (China); Niu, Jinhai; Fan, Hongyu [School of Physics and Materials Engineering, Dalian Nationalities University, Dalian 116600 (China)

    2013-10-01

    Ultrathick (> 25 μm) carbon films were obtained on the inner surface of hollow and micron-thick quartz fibers by confining CH{sub 4}/He or C{sub 2}H{sub 2}/He microplasmas in their hollow cores. The resulting carbon films were studied by using scanning electron microscopy and energy-dispersive X-ray spectroscopy. The microplasma-enhanced chemical vapor deposition (CVD) technique resulted in the uniform growth of amorphous carbon films on the inner surface of very long (> 1 m) hollow-core fibers. Film deposition is performed by using microplasmas at atmospheric pressure and at 50 Pa. The carbon films obtained with the latter show the smooth inner surfaces and the well continuity across the film/optical fiber. Low-pressure CH{sub 4}/He and C{sub 2}H{sub 2}/He microplasmas can lead to a rapid growth (∼ 2.00 μm/min) of carbon films with their thickness of > 25 μm. The optical emission measurements show that various hydrocarbon species were formed in these depositing microplasmas due to the collisions between CH{sub 4}/C{sub 2}H{sub 2} molecules and energetic species. The microplasma-enhanced CVD technique running without the complicated fabrication processes shows its potentials for rapidly depositing the overlong carbon tubes with their inner diameters of tens of microns. - Highlights: • The microplasma device is applied for coating deposition inside hollow-core fibers. • The microplasma device results in > 25 μm-thick carbon films. • The microplasma device is simple for deposition of ultralong carbon tubes.

  5. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    Science.gov (United States)

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  6. Low temperature CVD growth of ultrathin carbon films

    Directory of Open Access Journals (Sweden)

    Chao Yang

    2016-05-01

    Full Text Available We demonstrate the low temperature, large area growth of ultrathin carbon films by chemical vapor deposition under atmospheric pressure on various substrates. In particularly, uniform and continuous carbon films with the thickness of 2-5 nm were successfully grown at a temperature as low as 500 oC on copper foils, as well as glass substrates coated with a 100 nm thick copper layer. The characterizations revealed that the low-temperature-grown carbon films consist on few short, curved graphene layers and thin amorphous carbon films. Particularly, the low-temperature grown samples exhibited over 90% transmittance at a wavelength range of 400-750 nm and comparable sheet resistance in contrast with the 1000oC-grown one. This low-temperature growth method may offer a facile way to directly prepare visible ultrathin carbon films on various substrate surfaces that are compatible with temperatures (500-600oC used in several device processing technologies.

  7. Filament poisoning at typical carbon nanotube deposition conditions by hot-filament CVD

    CSIR Research Space (South Africa)

    Oliphant, CJ

    2009-05-01

    Full Text Available extensively used for the deposition of various materials, including diamond [1], polymers [2], silicon thin films [3], boron-carbon-nitride layers [4] and carbon nanotubes (CNTs) [5]. The process relies on the catalytic decomposition of precursor gases... (Ho) twice as efficient as a W filament during the deposition of microcrystalline silicon thin films [6]. Reactions between the precursor gases and the heated filament result in changes of the structural properties of the filaments; a process...

  8. Characteristics of thin film fullerene coatings formed under different deposition conditions by power ion beams

    International Nuclear Information System (INIS)

    Petrov, A.V.; Ryabchikov, A.I.; Struts, V.K.; Usov, Yu.P.; Renk, T.J.

    2007-01-01

    Carbon allotropic form - C 60 and C 70 can be used in microelectronics, superconductors, solar batteries, logic and memory devices to increase processing tool wear resistance, as magnetic nanocomposite materials for record and storage information, in biology, medicine and pharmacology. In many cases it is necessary to have a thin-film containing C 60 and C 70 fullerene carbon coatings. A possibility in principle of thin carbon films formation with nanocrystalline structure and high content ∼30-95% of C 60 and C 70 fullerene mixture using the method of graphite targets sputtering by a power ion beam has been shown. Formation of thin-film containing C 60 and C 70 fullerene carbon coatings were carried out by means of deposition of ablation plasma on silicon substrates. Ablation plasma was generated as result of interaction of high-power pulsed ion beams (HPPIB) with graphite targets of different densities. It has been demonstrated that formation of fullerenes, their amount and characteristics of thin-film coatings depend on the deposition conditions. The key parameter for such process is the deposition rate, which determines thin film formation conditions and, subsequently, its structure and mechanical properties. Nano-hardness, Young module, adhesion to mono-crystalline silicon substrate, friction coefficient, roughness surface of synthesized coatings at the different deposition conditions were measured. These characteristics are under influence of such main process parameters as energy density of HPPIB, which, in turn, determinates the density and temperature of ablation plasma and deposition speed, which is thickness of film deposited for one pulse of ion current. Nano-hardness and Young module meanings are higher at the increasing of power density of ion beam. Adhesion value is less at the high deposition speed. As rule, friction coefficient depends on vice versa from roughness. (authors)

  9. Impact of laser power density on tribological properties of Pulsed Laser Deposited DLC films

    Science.gov (United States)

    Gayathri, S.; Kumar, N.; Krishnan, R.; AmirthaPandian, S.; Ravindran, T. R.; Dash, S.; Tyagi, A. K.; Sridharan, M.

    2013-12-01

    Fabrication of wear resistant and low friction carbon films on the engineered substrates is considered as a challenging task for expanding the applications of diamond-like carbon (DLC) films. In this paper, pulsed laser deposition (PLD) technique is used to deposit DLC films on two different types of technologically important class of substrates such as silicon and AISI 304 stainless steel. Laser power density is one of the important parameter used to tailor the fraction of sp2 bonded amorphous carbon (a-C) and tetrahedral amorphous carbon (ta-C) made by sp3 domain in the DLC film. The I(D)/I(G) ratio decreases with the increasing laser power density which is associated with decrease in fraction of a-C/ta-C ratio. The fraction of these chemical components is quantitatively analyzed by EELS which is well supported to the data obtained from the Raman spectroscopy. Tribological properties of the DLC are associated with chemical structure of the film. However, the super low value of friction coefficient 0.003 is obtained when the film is predominantly constituted by a-C and sp2 fraction which is embedded within the clusters of ta-C. Such a particular film with super low friction coefficient is measured while it was deposited on steel at low laser power density of 2 GW/cm2. The super low friction mechanism is explained by low sliding resistance of a-C/sp2 and ta-C clusters. Combination of excellent physical and mechanical properties of wear resistance and super low friction coefficient of DLC films is desirable for engineering applications. Moreover, the high friction coefficient of DLC films deposited at 9GW/cm2 is related to widening of the intergrain distance caused by transformation from sp2 to sp3 hybridized structure.

  10. Properties of a-C:H:O plasma polymer films deposited from acetone vapors

    Energy Technology Data Exchange (ETDEWEB)

    Drabik, M., E-mail: martin.drabik@gmail.com [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Celma, C. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland); Kousal, J.; Biederman, H. [Charles University in Prague, Faculty of Mathematics and Physics, Department of Macromolecular Physics, V Holešovičkách 2, 180 00 Prague 8 (Czech Republic); Hegemann, D. [Empa, Swiss Federal Laboratories for Materials Science and Technology, Lerchenfeldstrasse 5, 9014 St. Gallen (Switzerland)

    2014-12-31

    To gain insight into the deposition and stability of oxygen-containing plasma polymer films, the properties of amorphous oxygenated hydrocarbon (a-C:H:O) plasma polymer coatings deposited from acetone vapors under various experimental conditions are investigated. Apart from the discharge power, the influence of the reactive carbon dioxide (CO{sub 2}) gas on the structure of the resulting films is studied. It is found by characterization using X-ray Photoelectron Spectroscopy and Fourier-Transform Infrared Spectroscopy that the experimental conditions particularly influence the amount of oxygen in the deposited a-C:H:O plasma polymer films. The O/C elemental ratio increases with increasing amount of CO{sub 2} in the working gas mixture (up to 0.2 for 24 sccm of CO{sub 2} at 30 W) and decreases with increasing RF discharge power (down to 0.17 for 50 W). Furthermore, the nature of bonds between the oxygen and carbon atoms has been examined. Only low amounts of double and triple bonded carbon are observed. This has a particular influence on the aging of the plasma polymer films which is studied both in ambient air and in distilled water for up to 4 months. Overall, stable a-C:H:O plasma polymer films are deposited comprising low amounts (up to about 5%) of ester/carboxyl groups. - Highlights: • Hydrocarbon plasma polymer films with variable oxygen content can be prepared. • Stable oxygenated hydrocarbon plasma polymers contain max 5% of ester/carboxyl groups. • Acetone-derived plasma polymer films can be used as permanent hydrophilic surfaces.

  11. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  12. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  13. Effects of thermal annealing on the structural, mechanical, and tribological properties of hard fluorinated carbon films deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Maia da Costa, M. E. H.; Baumvol, I. J. R.; Radke, C.; Jacobsohn, L. G.; Zamora, R. R. M.; Freire, F. L.

    2004-11-01

    Hard amorphous fluorinated carbon films (a-C:F) deposited by plasma enhanced chemical vapor deposition were annealed in vacuum for 30 min in the temperature range of 200-600 °C. The structural and compositional modifications were followed by several analytical techniques: Rutherford backscattering spectrometry (RBS), elastic recoil detection analysis (ERDA), x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Nanoidentation measurements and lateral force microscopy experiments were carried out in order to provide the film hardness and the friction coefficient, respectively. The internal stress and contact angle were also measured. RBS, ERDA, and XPS results indicate that both fluorine and hydrogen losses occur for annealing temperatures higher than 300 °C. Raman spectroscopy shows a progressive graphitization upon annealing, while the surface became slightly more hydrophobic as revealed by the increase of the contact angle. Following the surface wettability reduction, a decrease of the friction coefficient was observed. These results highlight the influence of the capillary condensation on the nanoscale friction. The film hardness and the internal stress are constant up to 300 °C and decrease for higher annealing temperatures, showing a direct correlation with the atomic density of the films. Since the thickness variation is negligible, the mass loss upon thermal treatment results in amorphous structures with a lower degree of cross-linking, explaining the deterioration of the mechanical properties of the a-C:F films.

  14. Etching processes of transparent carbon nanotube thin films using laser technologies

    International Nuclear Information System (INIS)

    Lin, H.K.; Lin, R.C.; Li, C.H.

    2010-01-01

    Carbon nanotubes (CNTs) have potential as a transparent conductive material with good mechanical and electrical properties. However, carbon nanotube thin film deposition and etching processes are very difficult to pattern the electrode. In this study, transparent CNT film with a binder is coated on a PET flexible substrate. The transmittance and sheet resistance of carbon nanotube film are 84% and 1000 Ω/□, respectively. The etching process of carbon nanotube film on flexible substrates was investigated using 355 nm and 1064 nm laser sources. Experimental results show that carbon nanotube film can be ablated using laser technology. With the 355 nm UV laser, the minimum etched line width was 20 μm with a low amount of recast material of the ablated sections. The optimal conditions of laser ablation were determined for carbon nanotube film.

  15. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  16. Investigate of analysis for hydrogen contents in carbon films

    International Nuclear Information System (INIS)

    Yasui, Haruyuki; Hirose, Yukio; Sasaki, Toshihiko; Awazu, Kaoru; Naramoto, Hiroshi

    2001-01-01

    Hydrogen is a very common contaminant in carbon films. It can strongly influences on mechanical, physical and chemical properties of the films. The analysis of hydrogen is therefore a crucial problem to prepare the films with the reproducible property. We were measured two kinds of methods. Ion beam techniques using nuclear reactions are established methods for the quantitative determination of hydrogen concentration. A spectrometer has been constructed for the determination of hydrogen concentrations by detecting 4.43 MeV γ-rays from the resonant nuclear reactions 1 H( 15 N, α γ) 12 C at the 6.385 MeV. And the other measurement of hydrogen is GDOES (Glow Discharge Optical Emission Spectroscopy), with its high sputtering rates, had been used previously for depth profiling analysis of thin films. The depth profiling analysis was carried out at an argon atmosphere by applying an RF of 13.56 MHz. The sampling time interval was 0.1 sec. The detailed hydrogen analysis was made on BCN (Boron Carbonitride) and DLC (Diamond-like Carbon) thin films. The BCN films were prepared by ion beam assisted deposition, in which boron and carbon were deposited by electron beam heating of B 4 C solid and nitrogen was supplied by implantation simultaneously. The DLC films were prepared by HPPC (Hybrid-pulse plasma coating) system. It was a new coating system that we developed which consists fundamentally of plasma CVD (chemical vapor deposition) and ion-mixing. In this paper, we reported the comparison of analysis for hydrogen contents between RNRA and GDOES. (author)

  17. Investigate of analysis for hydrogen contents in carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Yasui, Haruyuki; Hirose, Yukio; Sasaki, Toshihiko [Kanazawa Univ., Kanazawa, Ishikawa (Japan); Awazu, Kaoru [Industrial Research Institute of Ishikawa, Kanazawa, Ishikawa (Japan); Naramoto, Hiroshi [Japan Atomic Energy Research Inst., Takasaki, Gunma (Japan). Takasaki Radiation Chemistry Research Establishment

    2001-07-01

    Hydrogen is a very common contaminant in carbon films. It can strongly influences on mechanical, physical and chemical properties of the films. The analysis of hydrogen is therefore a crucial problem to prepare the films with the reproducible property. We were measured two kinds of methods. Ion beam techniques using nuclear reactions are established methods for the quantitative determination of hydrogen concentration. A spectrometer has been constructed for the determination of hydrogen concentrations by detecting 4.43 MeV {gamma}-rays from the resonant nuclear reactions {sup 1}H({sup 15}N, {alpha} {gamma}){sup 12}C at the 6.385 MeV. And the other measurement of hydrogen is GDOES (Glow Discharge Optical Emission Spectroscopy), with its high sputtering rates, had been used previously for depth profiling analysis of thin films. The depth profiling analysis was carried out at an argon atmosphere by applying an RF of 13.56 MHz. The sampling time interval was 0.1 sec. The detailed hydrogen analysis was made on BCN (Boron Carbonitride) and DLC (Diamond-like Carbon) thin films. The BCN films were prepared by ion beam assisted deposition, in which boron and carbon were deposited by electron beam heating of B{sub 4}C solid and nitrogen was supplied by implantation simultaneously. The DLC films were prepared by HPPC (Hybrid-pulse plasma coating) system. It was a new coating system that we developed which consists fundamentally of plasma CVD (chemical vapor deposition) and ion-mixing. In this paper, we reported the comparison of analysis for hydrogen contents between RNRA and GDOES. (author)

  18. Structural and mechanical properties of diamond-like carbon films deposited by direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Broitman, E.; Hellgren, N.; Czigany, Zs.; Twesten, R.D.; Luning, J.; Petrov, I.; Hultman, L.; Holloway, B.C.

    2003-01-01

    The microstructure, morphology, and mechanical properties of diamond-like carbon (DLC) films deposited by direct current magnetron sputtering were investigated for microelectromechanical systems applications. Film properties were found to vary markedly with the ion energy (E ion ) and ion-to-carbon flux ratio (J ion /J C ). Cross-sectional high-resolution transmission electron microscopy revealed an amorphous microstructure. However, the presence of nanometer-sized domains at E ion ∼85 eV was detected. Film stresses, σ, which were compressive in all cases, ranged from 0.5 to 3.5 GPa and depended on the flux ratio as well as ion energy. The hardness (H), Young's moduli (ε), and elastic recovery (R) increased with E ion to maximum values of H=27 GPa, ε=250 GPa, and R=68% at E ion =85 eV and J ion /J C =4.4. However, near edge x-ray absorption fine structure and electron energy-loss spectrum analysis showed that the sp 2 /sp 3 content of the films does not change with E ion or J ion /J C . The measured change in mechanical properties without a corresponding change in sp 2 /sp 3 ratio is not consistent with any previously published models. We suggest that, in the ranges 5 eV ≤E ion ≤85 eV and 1.1 ≤J ion /J C ≤6.8, the presence of defective graphite formed by subplanted C and Ar atoms has the dominant influence on the mechanical properties of DLC films

  19. Enhancement on field emission characteristics of pulsed laser deposited diamondlike carbon films using Au precoatings

    International Nuclear Information System (INIS)

    Chuang, F.Y.; Sun, C.Y.; Cheng, H.F.; Lin, I.N.

    1997-01-01

    Using Au precoatings has been observed to significantly enhance the field emission properties of diamondlike carbon (DLC) films deposited on Si substrates. The electron emission can be turned on at a low field as 7 V/μm and a large emission current density as 2000 μA/cm 2 can be obtained at 20 V/μm applied field. However, preannealing the Au-coated Si substrates at 500 degree C for 30 min is necessary to achieve such a performance. Microscopic examination on surface and cross-sectional morphologies of the DLC/Au/Si films using atomic force microscopy and scanning electron microscopy, respectively, in conjunction with the elemental depth profile examination of these films using secondary ion mass spectroscopy, indicated that substantial interdiffusion between DLC, Au, and Si layers has occurred. Such kind of reaction is proposed to lower the resistance for electrons to transport across the interfaces and, thereafter, enhances the field emission properties of the DLC/Au/Si films. copyright 1997 American Institute of Physics

  20. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  1. Optical Properties of a-SiC:H Films Deposited by Glowdischarge Methods

    Directory of Open Access Journals (Sweden)

    Lusitra Munisa

    2003-12-01

    Full Text Available he optical properties of amorphous silicon carbon films deposited by glowdischarge method have been studied using ultra violet-visible (uv-vis spectroscopy. The refractive index was calculated by Swanepoel’s formula using transmission data then followed by numerical simulation. The films density tends to decrease with increasing carbon content. The widening of the optical gap by increasing carbon content indicates the enhancement of film’s transparence. Both real and imaginary parts of the dielectric constant show variation in magnitude as the carbon content increase.

  2. Amorphous-tetrahedral diamondlike carbon layered structures resulting from film growth energetics

    Science.gov (United States)

    Siegal, M. P.; Barbour, J. C.; Provencio, P. N.; Tallant, D. R.; Friedmann, T. A.

    1998-08-01

    High-resolution transmission electron microscopy (HRTEM) shows that amorphous-tetrahedral diamondlike carbon (a-tC) films grown by pulsed-laser deposition on Si(100) consist of three-to-four layers, depending on the growth energetics. We estimate the density of each layer using both HRTEM image contrast and Rutherford backscattering spectrometry. The first carbon layer and final surface layer have relatively low density. The bulk of the film between these two layers has higher density. For films grown under the most energetic conditions, there exists a superdense a-tC layer between the interface and bulk layers. The density of all four layers, and the thickness of the surface and interfacial layers, correlate well with the energetics of the depositing carbon species.

  3. Deposition and properties of cobalt- and ruthenium-based ultra-thin films

    Science.gov (United States)

    Henderson, Lucas Benjamin

    Future copper interconnect systems will require replacement of the materials that currently comprise both the liner layer(s) and the capping layer. Ruthenium has previously been considered as a material that could function as a single material liner, however its poor ability to prevent copper diffusion makes it incompatible with liner requirements. A recently described chemical vapor deposition route to amorphous ruthenium-phosphorus alloy films could correct this problem by eliminating the grain boundaries found in pure ruthenium films. Bias-temperature stressing of capacitor structures using 5 nm ruthenium-phosphorus film as a barrier to copper diffusion and analysis of the times-to-failure at accelerated temperature and field conditions implies that ruthenium-phosphorus performs acceptably as a diffusion barrier for temperatures above 165°C. The future problems associated with the copper capping layer are primarily due to the poor adhesion between copper and the current Si-based capping layers. Cobalt, which adheres well to copper, has been widely proposed to replace the Si-based materials, but its ability to prevent copper diffusion must be improved if it is to be successfully implemented in the interconnect. Using a dual-source chemistry of dicobaltoctacarbonyl and trimethylphosphine at temperatures from 250-350°C, amorphous cobalt-phosphorus can be deposited by chemical vapor deposition. The films contain elemental cobalt and phosphorus, plus some carbon impurity, which is incorporated in the film as both graphitic and carbidic (bonded to cobalt) carbon. When deposited on copper, the adhesion between the two materials remains strong despite the presence of phosphorus and carbon at the interface, but the selectivity for growth on copper compared to silicon dioxide is poor and must be improved prior to consideration for application in interconnect systems. A single molecule precursor containing both cobalt and phosphorus atoms, tetrakis

  4. Optical and mechanical properties of diamond like carbon films ...

    Indian Academy of Sciences (India)

    Diamond like carbon (DLC) films were deposited on Si (111) substrates by microwave electron cyclotron resonance (ECR) plasma chemical vapour deposition (CVD) process using plasma of argon and methane gases. During deposition, a d.c. self-bias was applied to the substrates by application of 13.56 MHz rf power.

  5. Microstructure and property of diamond-like carbon films with Al and Cr co-doping deposited using a hybrid beams system

    International Nuclear Information System (INIS)

    Dai, Wei; Liu, Jingmao; Geng, Dongsen; Guo, Peng; Zheng, Jun; Wang, Qimin

    2016-01-01

    Highlights: • Diamond-like carbon films with Al and Cr doping were deposited. • Alternate multilayered structure consisted of Al-poor layer and Al-rich layer was formed. • The periodic Al-rich layers can greatly improve the residual stress and elastic resilience of the films. - Abstract: DLC films with weak carbide former Al and carbide former Cr co-doping (Al:Cr-DLC) were deposited by a hybrid beams system comprising an anode-layer linear ion beam source (LIS) and high power impulse magnetron sputtering using a gas mixture of C 2 H 2 and Ar as the precursor. The doped Al and Cr contents were controlled via adjusting the C 2 H 2 fraction in the gas mixture. The composition, microstructure, compressive stress, mechanical properties and tribological behaviors of the Al:Cr-DLC films were researched carefully using X-ray photoelectron spectroscopy, transmission electron microscopy, Raman spectroscopy, stress-tester, nanoindentation and ball-on-plate tribometer as function of the C 2 H 2 fraction. The results show that the Al and Cr contents in the films increased continuously as the C 2 H 2 fraction decreased. The doped Cr atoms preferred to bond with the carbon while the Al atoms mainly existed in metallic state. Structure modulation with alternate multilayer consisted of Al-poor DLC layer and Al-rich DLC layer was found in the films. Those periodic Al-rich DLC layers can effectively release the residual stress of the films. On the other hand, the formation of the carbide component due to Cr incorporation can help to increase the film hardness. Accordingly, the residual stress of the DLC films can be reduced without sacrificing the film hardness though co-doping Al and Cr atoms. Furthermore, it was found that the periodic Al-rich layer can greatly improve the elastic resilience of the DLC films and thus decreases the film friction coefficient and wear rate significantly. However, the existence of the carbide component would cause abrasive wear and thus

  6. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  7. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  8. Influence of deposition parameters on the refractive index and growth rate of diamond-like carbon films

    International Nuclear Information System (INIS)

    Zhang, G.F.; Zheng, X.; Guo, L.J.; Liu, Z.T.; Xiu, N.K.

    1994-01-01

    In order to use diamond-like carbon (DLC) films as protective and antireflection coatings for IR optical materials exposed to hostile environments, an investigation has been systematically conducted on the influence of the deposition parameters on the refractive index and growth rate of DLC films, which are two of the most important parameters in evaluating optical characteristics of antireflection coatings. The experimental results show that both the refractive index and growth rate of DLC films depend strongly on the negative d.c. bias voltage. The refractive index increases with increasing bias voltage and decreases with increasing partial pressure of the hydrocarbon gas and total flow rate of the mixture. The growth rate increases greatly when the bias voltage is larger than a threshold value. The various parameters which influence the structure and properties of DLC films are interrelated. Fourier transform IR spectroscopy results show that the strength of the C-H stretching absorption band in the range 3300-2850 cm -1 is gradually weakened with increasing negative bias voltage and argon concentration. High energy bombardment of the growing film plays an important role in the structure and hence the properties of DLC films. (orig.)

  9. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  10. Intrinsic graphene field effect transistor on amorphous carbon films

    OpenAIRE

    Tinchev, Savcho

    2013-01-01

    Fabrication of graphene field effect transistor is described which uses an intrinsic graphene on the surface of as deposited hydrogenated amorphous carbon films. Ambipolar characteristic has been demonstrated typical for graphene devices, which changes to unipolar characteristic if the surface graphene was etched in oxygen plasma. Because amorphous carbon films can be growth easily, with unlimited dimensions and no transfer of graphene is necessary, this can open new perspective for graphene ...

  11. Control of wettability of hydrogenated amorphous carbon thin films by laser-assisted micro- and nanostructuring

    International Nuclear Information System (INIS)

    Pfleging, Wilhelm; Kohler, Robert; Torge, Maika; Trouillet, Vanessa; Danneil, Friederike; Stueber, Michael

    2011-01-01

    A flexible and rapid surface functionalization of amorphous carbon films shows a great potential for various application fields such as biological surfaces and tribological systems. For this purpose, the combination of thin film deposition and subsequent laser material processing was investigated. Amorphous carbon layers doped with hydrogen were deposited on silicon wafers by reactive direct-current magnetron sputtering. Films with three different hydrogen contents were synthesized. Subsequent to the thin film deposition process, UV laser material processing at wavelengths of 193 nm or 248 nm was performed with respect to chemical surface modification and surface structuring on micro- and nanometer scale. Depending on structure size and laser-induced chemical surface modification the adjustment of the surface energy and wetting behaviour in a broad range from hydrophobic to hydrophilic was possible. The chemical modification and the ablation mechanisms near the ablation threshold were strongly influenced by the hydrogen content in amorphous carbon thin films. Structural and chemical information of the as-deposited and modified films was obtained by Raman spectroscopy, X-ray photoelectron spectroscopy and contact angle measurements.

  12. Role of carbon atoms in the remote plasma deposition of hydrogenated amorphous carbon

    International Nuclear Information System (INIS)

    Benedikt, J.; Wisse, M.; Woen, R.V.; Engeln, R.; Sanden, M.C.M. van de

    2003-01-01

    The aim of this article is to determine the role of carbon atoms in the growth of hydrogenated amorphous carbon (a-C:H) films by means of an argon/acetylene expanding thermal plasma. Cavity ring down absorption spectroscopy is used to detect metastable carbon atoms by probing the 1s 2 2s 2 2p 3s 1 P 1 2 2s 2 2p 2 1 S 0 electronic transition. In addition to absorption measurements, the emission of the same transition is monitored by means of optical emission spectroscopy. These two measurements provide information about the local production of the C atoms and about their reactivity in the gas phase. It will be shown that under growth conditions in an Ar/C 2 H 2 expanding thermal plasma, the metastable carbon density is also representative for the ground state carbon density. From obtained results it is concluded that the carbon atoms react rapidly with acetylene in the gas phase and therefore their contribution to the growth of hard diamond-like a-C:H films can be neglected. Only at low acetylene flows, the condition when soft polymer-like films are deposited, carbon atoms are detected close to the substrate and can possibly contribute to the film growth

  13. Amorphous hydrogenated carbon films treated by SF{sub 6} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marins, N M S; Mota, R P; Santos, D C R; Honda, R Y; Kayama, M E; Kostov, K G; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr. Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: nazir@feg.unesp.b [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada Sorocaba/Ipero, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil)

    2009-05-01

    This work was performed to verify the chemical structure, mechanical and hydrophilic properties of amorphous hydrogenated carbon films prepared by plasma enhanced chemical vapor deposition, using acetylene/argon mixture as monomer. Films were prepared in a cylindrical quartz reactor, fed by 13.56 MHz radiofrequency. The films were grown during 5 min, for power varying from 25 to 125 W at a fixed pressure of 9.5 Pa. After deposition, all samples were treated by SF{sub 6} plasma with the aim of changing their hydrophilic character. Film chemical structure investigated by Raman spectroscopy, revealed the increase of sp{sup 3} hybridized carbon bonds as the plasma power increases. Hardness measurements performed by the nanoindentation technique showed an improvement from 5 GPa to 14 GPa following the increase discharge power. The untreated films presented a hydrophilic character, which slightly diminished after SF{sub 6} plasma treatment.

  14. Optical properties of diamond like carbon nanocomposite thin films

    Science.gov (United States)

    Alam, Md Shahbaz; Mukherjee, Nillohit; Ahmed, Sk. Faruque

    2018-05-01

    The optical properties of silicon incorporated diamond like carbon (Si-DLC) nanocomposite thin films have been reported. The Si-DLC nanocomposite thin film deposited on glass and silicon substrate by radio frequency plasma enhanced chemical vapor deposition (RF-PECVD) process. Fourier transformed infrared spectroscopic analysis revealed the presence of different bonding within the deposited films and deconvolution of FTIR spectra gives the chemical composition i.e., sp3/sp2 ratio in the films. Optical band gap calculated from transmittance spectra increased from 0.98 to 2.21 eV with a variation of silicon concentration from 0 to 15.4 at. %. Due to change in electronic structure by Si incorporation, the Si-DLC film showed a broad photoluminescence (PL) peak centered at 467 nm, i.e., in the visible range and its intensity was found to increase monotonically with at. % of Si.

  15. Surface treatment effect on Si (111) substrate for carbon deposition using DC unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Aji, A. S., E-mail: aji.ravazes70@gmail.com; Sahdan, M. F.; Hendra, I. B.; Dinari, P.; Darma, Y. [Quantum Semiconductor and Devices Lab., Physics of Material Electronics Research Division, Department of Physics, Institut Teknologi Bandung (Indonesia)

    2015-04-16

    In this work, we studied the effect of HF treatment in silicon (111) substrate surface for depositing thin layer carbon. We performed the deposition of carbon by using DC Unbalanced Magnetron Sputtering with carbon pallet (5% Fe) as target. From SEM characterization results it can be concluded that the carbon layer on HF treated substrate is more uniform than on substrate without treated. Carbon deposition rate is higher as confirmed by AFM results if the silicon substrate is treated by HF solution. EDAX characterization results tell that silicon (111) substrate with HF treatment have more carbon fraction than substrate without treatment. These results confirmed that HF treatment on silicon Si (111) substrates could enhance the carbon deposition by using DC sputtering. Afterward, the carbon atomic arrangement on silicon (111) surface is studied by performing thermal annealing process to 900 °C. From Raman spectroscopy results, thin film carbon is not changing until 600 °C thermal budged. But, when temperature increase to 900 °C, thin film carbon is starting to diffuse to silicon (111) substrates.

  16. Deposit of thin films of nitrided amorphous carbon using the laser ablation technique; Deposito de peliculas delgadas de carbono amorfo nitrurado utilizando la tecnica de ablacion laser

    Energy Technology Data Exchange (ETDEWEB)

    Rebollo, P.B.; Escobar A, L.; Camps C, E. [Departamento de Fisica, Instituto Nacional de Investigaciones Nucleares, C.P. 52045 Salazar, Estado de Mexico (Mexico); Haro P, E.; Camacho L, M.A. [Departamento de Fisica, Universidad Autonoma Metropolitana Iztapalapa (Mexico); Muhl S, S. [Instituto de Investigacion en Materiales, UNAM (Mexico)

    2000-07-01

    It is reported the synthesis and characterization of thin films of amorphous carbon (a-C) nitrided, deposited by laser ablation in a nitrogen atmosphere at pressures which are from 4.5 x 10 {sup -4} Torr until 7.5 x 10 {sup -2} Torr. The structural properties of the films are studied by Raman spectroscopy obtaining similar spectra at the reported for carbon films type diamond. The study of behavior of the energy gap and the ratio nitrogen/carbon (N/C) in the films, shows that the energy gap is reduced when the nitrogen incorporation is increased. It is showed that the refraction index of the thin films diminish as nitrogen pressure is increased, indicating the formation of graphitic material. (Author)

  17. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  18. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  19. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  20. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  1. Thermal stability of amorphous carbon films grown by pulsed laser deposition

    Science.gov (United States)

    Friedmann, T. A.; McCarty, K. F.; Barbour, J. C.; Siegal, M. P.; Dibble, Dean C.

    1996-03-01

    The thermal stability in vacuum of amorphous tetrahedrally coordinated carbon (a-tC) films grown on Si has been assessed by in situ Raman spectroscopy. Films were grown in vacuum on room-temperature substrates using laser fluences of 12, 22, and 45 J/cm2 and in a background gas of either hydrogen or nitrogen using a laser fluence of 45 J/cm2. The films grown in vacuum at high fluence (≳20J/cm2) show little change in the a-tC Raman spectra with temperature up to 800 °C. Above this temperature the films convert to glassy carbon (nanocrystalline graphite). Samples grown in vacuum at lower fluence or in a background gas (H2 or N2) at high fluence are not nearly as stable. For all samples, the Raman signal from the Si substrate (observed through the a-tC film) decreases in intensity with annealing temperature indicating that the transparency of the a-tC films is decreasing with temperature. These changes in transparency begin at much lower temperatures (˜200 °C) than the changes in the a-tC Raman band shape and indicate that subtle changes are occurring in the a-tC films at lower temperatures.

  2. Superhard carbon film deposition by means of Laser-Arco {sup registered} on the way from the laboratory into the industrial series coating; Abscheidung superharter Kohlenstoffschichten mittels Laser-Arco {sup registered} auf dem Weg vom Labor in die industrielle Serienfertigung

    Energy Technology Data Exchange (ETDEWEB)

    Scheibe, Hans-Joachim; Leonhardt, Michael; Leson, Andreas; Meyer, Carl-Friedrich; Stucky, Thomas; Weihnacht, Volker [Fraunhofer-Institut fuer Werkstoff- und Strahltechnik (IWS), Dresden (Germany)

    2008-12-15

    Diamond-like carbon films (DLC) are more and more applied as wear protection coatings for components and tools due to their unique combination of high hardness, low friction and sticking tendency to metallic counter bodies. Up to now applied DLC films are hydrogen containing (a-C:H) or metal carbon films (Me-C:H) deposited by a plasma assisted CVD process from carbon-hydrogen gas mixtures. Their wide industrial effort results from that the can be deposited with slowly modified coating machines for classical hard coating (e.g. TiN or CrN). A new generation DLC films are the hydrogen-free ta-C films (ta-C = tetrahedral bounded amorphous carbon) with a between two and three-times higher hardness and with a resulting higher wear resistance under extreme condition than classical DLC films. They have excellent emergency running properties at lubrication break down. Their industrial application is more difficult due to that they cannot deposited with modified coating machines for classical hard and DLC coating and a new technology with corresponding equipment was not available up to now. The laser controlled, pulsed arc deposition technology (Laser-Arco {sup registered}) of the Fraunhofer IWS Dresden has this potential. In kind of a Laser-Arc-Module-source the ta-C film deposition can be integrated in every industrial used deposition machine. (orig.)

  3. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  4. Immobilization of carbon nanotubes on functionalized graphene film grown by chemical vapor deposition and characterization of the hybrid material

    Directory of Open Access Journals (Sweden)

    Prashanta Dhoj Adhikari

    2014-01-01

    Full Text Available We report the surface functionalization of graphene films grown by chemical vapor deposition and fabrication of a hybrid material combining multi-walled carbon nanotubes and graphene (CNT–G. Amine-terminated self-assembled monolayers were prepared on graphene by the UV-modification of oxidized groups introduced onto the film surface. Amine-termination led to effective interaction with functionalized CNTs to assemble a CNT–G hybrid through covalent bonding. Characterization clearly showed no defects of the graphene film after the immobilization reaction with CNT. In addition, the hybrid graphene material revealed a distinctive CNT–G structure and p–n type electrical properties. The introduction of functional groups on the graphene film surface and fabrication of CNT–G hybrids with the present technique could provide an efficient, novel route to device fabrication.

  5. Effects of phosphorus on the electrical characteristics of plasma deposited hydrogenated amorphous silicon carbide thin films

    Science.gov (United States)

    Alcinkaya, Burak; Sel, Kivanc

    2018-01-01

    The properties of phosphorus doped hydrogenated amorphous silicon carbide (a-SiCx:H) thin films, that were deposited by plasma enhanced chemical vapor deposition technique with four different carbon contents (x), were analyzed and compared with those of the intrinsic a-SiCx:H thin films. The carbon contents of the films were determined by X-ray photoelectron spectroscopy. The thickness and optical energies, such as Tauc, E04 and Urbach energies, of the thin films were determined by UV-Visible transmittance spectroscopy. The electrical properties of the films, such as conductivities and activation energies were analyzed by temperature dependent current-voltage measurements. Finally, the conduction mechanisms of the films were investigated by numerical analysis, in which the standard transport mechanism in the extended states and the nearest neighbor hopping mechanism in the band tail states were taken into consideration. It was determined that, by the effect of phosphorus doping the dominant conduction mechanism was the standard transport mechanism for all carbon contents.

  6. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  7. Charging of carbon thin films in scanning and phase-plate transmission electron microscopy

    DEFF Research Database (Denmark)

    Hettler, Simon; Kano, Emi; Dries, Manuel

    2018-01-01

    A systematic study on charging of carbon thin films under intense electron-beam irradiation was performed in a transmission electron microscope to identify the underlying physics for the functionality of hole-free phase plates. Thin amorphous carbon films fabricated by different deposition techni...

  8. Method for producing fluorinated diamond-like carbon films

    Science.gov (United States)

    Hakovirta, Marko J.; Nastasi, Michael A.; Lee, Deok-Hyung; He, Xiao-Ming

    2003-06-03

    Fluorinated, diamond-like carbon (F-DLC) films are produced by a pulsed, glow-discharge plasma immersion ion processing procedure. The pulsed, glow-discharge plasma was generated at a pressure of 1 Pa from an acetylene (C.sub.2 H.sub.2) and hexafluoroethane (C.sub.2 F.sub.6) gas mixture, and the fluorinated, diamond-like carbon films were deposited on silicon substrates. The film hardness and wear resistance were found to be strongly dependent on the fluorine content incorporated into the coatings. The hardness of the F-DLC films was found to decrease considerably when the fluorine content in the coatings reached about 20%. The contact angle of water on the F-DLC coatings was found to increase with increasing film fluorine content and to saturate at a level characteristic of polytetrafluoroethylene.

  9. Supersonic cluster beams: a powerful method for the deposition of nanostructured thin films with tailored properties

    International Nuclear Information System (INIS)

    Milani, P.

    2002-01-01

    By using a pulsed micro-plasma cluster source and by exploiting aero-dynamical effects typical of supersonic beams it is possible to obtain very high deposition rates with a control on neutral cluster mass distribution, allowing the deposition of thin films with controlled nanostructure. Due to high deposition rates, high lateral resolution, low temperature processing supersonic cluster beams can also be used for the micro and nano-patterning of cluster-assembled films when little or no post-growth manipulation or assembly is required. For example the nano and meso-structure of films obtained by carbon cluster beam deposition can be controlled by selecting in the beam the elemental building blocks, moreover functional properties such as field emission can be controlled and tailored. The use of supersonic cluster beams opens also new perspectives for the production of nano-structured films with novel physico-chemical and topological properties such as nano-structured carbon matrices containing carbide and transition metal particles. (Author)

  10. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  11. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  12. Low hydrogen containing amorphous carbon films - Growth and electrochemical properties as lithium battery anodes

    Energy Technology Data Exchange (ETDEWEB)

    Subramanian, V.; Masarapu, Charan; Wei, Bingqing [Department of Mechanical Engineering, University of Delaware, 130 Academy Street, Newark, DE 19716 (United States); Karabacak, Tansel [Department of Applied Science, University of Arkansas at Little Rock, 2801 South University Avenue, Little Rock, AR 72204 (United States); Teki, Ranganath [Department of Chemical and Biological Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180 (United States); Lu, Toh-Ming [Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, NY 12180 (United States)

    2010-04-02

    Amorphous carbon films were deposited successfully on Cu foils by DC magnetron sputtering technique. Electrochemical performance of the film as lithium battery anode was evaluated across Li metal at 0.2 C rate in a non-aqueous electrolyte. The discharge curves showed unusually low irreversible capacity in the first cycle with a reversible capacity of {proportional_to}810 mAh g{sup -1}, which is at least 2 times higher than that of graphitic carbon. For the first time we report here an amorphous carbon showing such a high reversibility in the first cycle, which is very much limited to the graphitic carbon. The deposited films were extensively characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and step profilometer for the structural and surface properties. The hydrogen content of the synthesized films was studied using residual gas analysis (RGA). The low hydrogen content and the low specific surface area of the synthesized amorphous carbon film are considered responsible for such a high first cycle columbic efficiency. The growth mechanism and the reasons for enhanced electrochemical performance of the carbon films are discussed. (author)

  13. Low hydrogen containing amorphous carbon films-Growth and electrochemical properties as lithium battery anodes

    Science.gov (United States)

    Subramanian, V.; Karabacak, Tansel; Masarapu, Charan; Teki, Ranganath; Lu, Toh-Ming; Wei, Bingqing

    Amorphous carbon films were deposited successfully on Cu foils by DC magnetron sputtering technique. Electrochemical performance of the film as lithium battery anode was evaluated across Li metal at 0.2 C rate in a non-aqueous electrolyte. The discharge curves showed unusually low irreversible capacity in the first cycle with a reversible capacity of ∼810 mAh g -1, which is at least 2 times higher than that of graphitic carbon. For the first time we report here an amorphous carbon showing such a high reversibility in the first cycle, which is very much limited to the graphitic carbon. The deposited films were extensively characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM) and step profilometer for the structural and surface properties. The hydrogen content of the synthesized films was studied using residual gas analysis (RGA). The low hydrogen content and the low specific surface area of the synthesized amorphous carbon film are considered responsible for such a high first cycle columbic efficiency. The growth mechanism and the reasons for enhanced electrochemical performance of the carbon films are discussed.

  14. Facile fabrication of boron nitride nanosheets-amorphous carbon hybrid film for optoelectronic applications

    KAUST Repository

    Wan, Shanhong

    2015-01-01

    A novel boron nitride nanosheets (BNNSs)-amorphous carbon (a-C) hybrid film has been deposited successfully on silicon substrates by simultaneous electrochemical deposition, and showed a good integrity of this B-C-N composite film by the interfacial bonding. This synthesis can potentially provide the facile control of the B-C-N composite film for the potential optoelectronic devices. This journal is

  15. Microstructure and property of diamond-like carbon films with Al and Cr co-doping deposited using a hybrid beams system

    Energy Technology Data Exchange (ETDEWEB)

    Dai, Wei, E-mail: popdw@126.com [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Liu, Jingmao; Geng, Dongsen [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China); Guo, Peng [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zheng, Jun [Science and Technology on Surface Engineering Laboratory, Lanzhou Institute of Physics, Lanzhou 730000 (China); Wang, Qimin, E-mail: qmwang@gdut.edu.cn [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2016-12-01

    Highlights: • Diamond-like carbon films with Al and Cr doping were deposited. • Alternate multilayered structure consisted of Al-poor layer and Al-rich layer was formed. • The periodic Al-rich layers can greatly improve the residual stress and elastic resilience of the films. - Abstract: DLC films with weak carbide former Al and carbide former Cr co-doping (Al:Cr-DLC) were deposited by a hybrid beams system comprising an anode-layer linear ion beam source (LIS) and high power impulse magnetron sputtering using a gas mixture of C{sub 2}H{sub 2} and Ar as the precursor. The doped Al and Cr contents were controlled via adjusting the C{sub 2}H{sub 2} fraction in the gas mixture. The composition, microstructure, compressive stress, mechanical properties and tribological behaviors of the Al:Cr-DLC films were researched carefully using X-ray photoelectron spectroscopy, transmission electron microscopy, Raman spectroscopy, stress-tester, nanoindentation and ball-on-plate tribometer as function of the C{sub 2}H{sub 2} fraction. The results show that the Al and Cr contents in the films increased continuously as the C{sub 2}H{sub 2} fraction decreased. The doped Cr atoms preferred to bond with the carbon while the Al atoms mainly existed in metallic state. Structure modulation with alternate multilayer consisted of Al-poor DLC layer and Al-rich DLC layer was found in the films. Those periodic Al-rich DLC layers can effectively release the residual stress of the films. On the other hand, the formation of the carbide component due to Cr incorporation can help to increase the film hardness. Accordingly, the residual stress of the DLC films can be reduced without sacrificing the film hardness though co-doping Al and Cr atoms. Furthermore, it was found that the periodic Al-rich layer can greatly improve the elastic resilience of the DLC films and thus decreases the film friction coefficient and wear rate significantly. However, the existence of the carbide component would

  16. Deposition of superconducting (Cu, C)-Ba-O films by pulsed laser deposition at moderate temperature

    International Nuclear Information System (INIS)

    Yamamoto, Tetsuro; Kikunaga, Kazuya; Obara, Kozo; Terada, Norio; Kikuchi, Naoto; Tanaka, Yasumoto; Tokiwa, Kazuyasu; Watanabe, Tsuneo; Sundaresan, Athinarayanan; Shipra

    2007-01-01

    Superconducting (Cu, C)-Ba-O thin films have been epitaxially grown on (100) SrTiO 3 at a low growth temperature of 500-600 deg. C by pulsed laser deposition. The dependences of their crystallinity and transport properties on preparation conditions have been investigated in order to clarify the dominant parameters for carbon incorporation and the emergence of superconductivity. It has been revealed that the CO 3 content in the films increases with increasing both the parameters of partial pressure of CO 2 during film growth and those of growth rate and enhancement of superconducting properties. The present study has also revealed that the structural and superconducting properties of the (Cu, C)-Ba-O films are seriously deteriorated by the irradiation of energetic particles during deposition. Suppression of the radiation damage is another key for a high and uniform superconducting transition. By these optimizations, a superconducting onset temperature above 50 K and a zero-resistance temperature above 40 K have been realized

  17. Structure and gas-barrier properties of amorphous hydrogenated carbon films deposited on inner walls of cylindrical polyethylene terephthalate by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Jing; Gong Chunzhi; Tian Xiubo; Yang Shiqin; Fu, Ricky K.Y.; Chu, Paul K.

    2009-01-01

    The influence of radio-frequency (RF) power on the structure and gas permeation through amorphous hydrogenated carbon films deposited on cylindrical polyethylene terephthalate (PET) samples is investigated. The results show that a higher radio-frequency power leads to a smaller sp 3 /sp 2 value but produces fewer defects with smaller size. The permeability of PET samples decreases significantly after a-C:H deposition and the RF only exerts a small influence. However, the coating uniformity, color, and wettability of the surface are affected by the RF power. A higher RF power results in to better uniformity and it may be attributed to the combination of the high-density plasma and sample heating.

  18. Uniform and Conformal Carbon Nanofilms Produced Based on Molecular Layer Deposition

    Directory of Open Access Journals (Sweden)

    Peng Yang

    2013-12-01

    Full Text Available Continuous and uniform carbon nanofilms (CNFs are prepared by pyrolysis of polyimide films which are produced by molecular layer deposition (MLD. The film thickness can be easily controlled at nanometer scale by altering the cycle numbers. During the annealing process at 600 °C, the polyimide film is subject to shrinkage of 70% in thickness. The obtained CNFs do not exhibit a well-graphitized structure due to the low calcination temperature. No clear pore structures are observed in the produced films. CNFs grown on a glass substrate with a thickness of about 1.4 nm shows almost 98% optical transmittance in the visible spectrum range. Au nanoparticles coated with CNFs are produced by this method. Carbon nanotubes with uniform wall thickness are obtained using anodic aluminum oxide as a template by depositing polyimide films into its pores. Our results demonstrate that this method is very effective to coat conformal and uniform CNFs on various substrates, such as nanoparticles and porous templates, to produce functional composite nanomaterials.

  19. A Low-Stress, Elastic, and Improved Hardness Hydrogenated Amorphous Carbon Film

    Directory of Open Access Journals (Sweden)

    Qi Wang

    2015-01-01

    Full Text Available The evolution of hydrogenated amorphous carbon films with fullerene-like microstructure was investigated with a different proportion of hydrogen supply in deposition. The results showed at hydrogen flow rate of 50 sccm, the deposited films showed a lower compressive stress (lower 48.6%, higher elastic recovery (higher 19.6%, near elastic recovery rate 90%, and higher hardness (higher 7.4% compared with the films deposited without hydrogen introduction. Structural analysis showed that the films with relatively high sp2 content and low bonded hydrogen content possessed high hardness, elastic recovery rate, and low compressive stress. It was attributed to the curved graphite microstructure, which can form three-dimensional covalently bonded network.

  20. Studies of internal stress in diamond films prepared by DC plasma chemical vapour deposition

    International Nuclear Information System (INIS)

    Wang Wanlu; Gao Jinying; Liao Kejun; Liu Anmin

    1992-01-01

    The internal stress in diamond thin films deposited by DC plasma CVD was studied as a function of methane concentration and deposited temperature. Experimental results have shown that total stress in diamond thin films is sensitive to the deposition conditions. The results also indicate that the compressive stress can be explained in terms of amorphous state carbon and hydrogen, and tensile stress is ascribed to the grain boundary relaxation model due to high internal surface area and microstructure with voids

  1. The irradiation studies on diamond-like carbon films

    CERN Document Server

    LiuGuIang; Xie Er Qin

    2002-01-01

    Diamond-like carbon (DLC) films have been deposited on glass substrates using radio-frequency (r.f.) plasma deposition method. gamma-ray, ultraviolet (UV) ray and neutron beam were used to irradiate the DLC films. Raman spectroscopy and infrared (IR) spectroscopy were used to characterize the changing characteristics of SP sup 3 C-H bond and hydrogen content in the films due to the irradiations. It showed that, the damage degrees of the gamma-ray, UV ray and neutron beam on the SP sup 3 C-H bonds are different. Among them, the damage of gamma-ray on the SP sup 3 C-H bond is the weakest. When the irradiation dose of gamma-ray reaches 10x10 sup 4 Gy, the SP sup 3 C-H bond reduces about 50% in number. The square resistance of the films is reduced due to the irradiation of UV ray and this is caused by severe oxidation of the films. Compared with that of the as-deposited one, the IR transmittance of the films irradiated by both gamma-ray and neutron beam is increased to some extent. By using the results on optical...

  2. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  3. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  4. Structural, chemical and nanomechanical investigations of SiC/polymeric a-C:H films deposited by reactive RF unbalanced magnetron sputtering

    Science.gov (United States)

    Tomastik, C.; Lackner, J. M.; Pauschitz, A.; Roy, M.

    2016-03-01

    Amorphous carbon (or diamond-like carbon, DLC) films have shown a number of important properties usable for a wide range of applications for very thin coatings with low friction and good wear resistance. DLC films alloyed with (semi-)metals show some improved properties and can be deposited by various methods. Among those, the widely used magnetron sputtering of carbon targets is known to increase the number of defects in the films. Therefore, in this paper an alternative approach of depositing silicon-carbide-containing polymeric hydrogenated DLC films using unbalanced magnetron sputtering was investigated. The influence of the C2H2 precursor concentration in the deposition chamber on the chemical and structural properties of the deposited films was investigated by Raman spectroscopy, X-ray photoelectron spectroscopy and elastic recoil detection analysis. Roughness, mechanical properties and scratch response of the films were evaluated with the help of atomic force microscopy and nanoindentation. The Raman spectra revealed a strong correlation of the film structure with the C2H2 concentration during deposition. A higher C2H2 flow rate results in an increase in SiC content and decrease in hydrogen content in the film. This in turn increases hardness and elastic modulus and decreases the ratio H/E and H3/E2. The highest scratch resistance is exhibited by the film with the highest hardness, and the film having the highest overall sp3 bond content shows the highest elastic recovery during scratching.

  5. Chromium-doped diamond-like carbon films deposited by dual-pulsed laser deposition

    Czech Academy of Sciences Publication Activity Database

    Písařík, Petr; Jelínek, Miroslav; Kocourek, Tomáš; Zezulová, M.; Remsa, Jan; Jurek, Karel

    2014-01-01

    Roč. 117, č. 1 (2014), s. 83-88 ISSN 0947-8396 R&D Projects: GA MŠk LD12069 Institutional support: RVO:68378271 Keywords : diamond like carbon * chromium * contact angle * surface free energy * dual laser deposition * zeta potential Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 1.704, year: 2014

  6. XPS study of the ultrathin a-C:H films deposited onto ion beam nitrided AISI 316 steel

    International Nuclear Information System (INIS)

    Meskinis, S.; Andrulevicius, M.; Kopustinskas, V.; Tamulevicius, S.

    2005-01-01

    Effects of the steel surface treatment by nitrogen ion beam and subsequent deposition of the diamond-like carbon (hydrogenated amorphous carbon (a-C:H) and nitrogen doped hydrogenated amorphous carbon (a-CN x :H)) films were investigated by means of the X-ray photoelectron spectroscopy (XPS). Experimental results show that nitrogen ion beam treatment of the AISI 316 steel surface even at room temperature results in the formation of the Cr and Fe nitrides. Replacement of the respective metal oxides by the nitrides takes place. Formation of the C-N bonds was observed for both ultrathin a-C:H and ultrathin a-CN x :H layers deposited onto the nitrided steel. Some Fe and/or Cr nitrides still were presented at the interface after the film deposition, too. Increased adhesion between the steel substrate and hydrogenated amorphous carbon layer after the ion beam nitridation was explained by three main factors. The first two is steel surface deoxidisation/passivation by nitrogen as a result of the ion beam treatment. The third one is carbon nitride formation at the nitrided steel-hydrogenated amorphous carbon (or a-CN x :H) film interface

  7. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  8. Silicon and aluminum doping effects on the microstructure and properties of polymeric amorphous carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Xiaoqiang, E-mail: lxq_suse@sina.com [Material Corrosion and Protection Key Laboratory of Sichuan province, Sichuan University of Science and Engineering, Zigong 643000 (China); Hao, Junying, E-mail: jyhao@licp.cas.cn [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China); Xie, Yuntao [Material Corrosion and Protection Key Laboratory of Sichuan province, Sichuan University of Science and Engineering, Zigong 643000 (China)

    2016-08-30

    Highlights: • Evolution of nanostructure and properties of the polymeric amorphous carbon films were firstly studied. • Si doping enhanced polymerization of the hydrocarbon chains and Al doping resulted in increase in the ordered carbon clusters of polymeric amorphous carbon films. • Soft polymeric amorphous carbon films exhibited an unconventional frictional behaviors with a superior wear resistance. • The mechanical and vacuum tribological properties of the polymeric amorphous carbon films were significantly improved by Si and Al co-doping. - Abstract: Polymeric amorphous carbon films were prepared by radio frequency (R.F. 13.56 MHz) magnetron sputtering deposition. The microstructure evolution of the deposited polymeric films induced by silicon (Si) and aluminum(Al) doping were scrutinized through infrared spectroscopy, multi-wavelength Raman spectroscopy, scanning electron microscopy (SEM) and high resolution transmission electron microscopy (HRTEM). The comparative results show that Si doping can enhance polymerization and Al doping results in an increase in the ordered carbon clusters. Si and Al co-doping into polymeric films leads to the formation of an unusual dual nanostructure consisting of cross-linked polymer-like hydrocarbon chains and fullerene-like carbon clusters. The super-high elasticity and super-low friction coefficients (<0.002) under a high vacuum were obtained through Si and Al co-doping into the films. Unconventionally, the co-doped polymeric films exhibited a superior wear resistance even though they were very soft. The relationship between the microstructure and properties of the polymeric amorphous carbon films with different elements doping are also discussed in detail.

  9. Structures and properties of fluorinated amorphous carbon films

    Science.gov (United States)

    Huang, K. P.; Lin, P.; Shih, H. C.

    2004-07-01

    Fluorinated amorphous carbon (a-C:F) films were deposited by radio frequency bias assisted microwave plasma electron cyclotron resonance chemical vapor deposition with tetrafluoromethane (CF4) and acetylene (C2H2) as precursors. The deposition process was performed at two flow ratios R=0.90 and R=0.97, where R=CF4/(CF4+C2H2). The samples were annealed at 300 °C for 30 min. in a N2 atmosphere. Both Fourier transform infrared and electron spectroscopy for chemical analyzer were used to characterize the a-C:F film chemical bond and fluorine concentration, respectively. A high resolution electron energy loss spectrometer was applied to detect the electronic structure. The higher CF4 flow ratio (R=0.97) produced more sp3 linear structure, and it made the a-C:F film smoother and softer. A lifetime of around 0.34 μs and an energy gap of ˜2.75 eV were observed in both the as-deposited and after annealing conditions. The short carriers lifetime in the a-C:F film made the photoluminescence peak blueshift. The annealing changed both the structure and composition of the a-C:F film. The type of fluorocarbon bond and electronic structure characterized the mechanical and physical properties of a-C:F film.

  10. On the properties of nanocomposite amorphous carbon films prepared by off-plane double bend filtered cathodic vacuum arc

    International Nuclear Information System (INIS)

    Tay, B.K.; Zhang, P.

    2002-01-01

    It is known to deposit hard thin films, such as tetrahedral amorphous carbon (ta-C), using a filtered cathode vacuum arc (FCVA). These ta-C films have interesting and useful properties because of the high sp 3 fraction of carbon atoms (up to 87%) in the film. However, the high internal stress in the films can limit their applications as the film may flake away from the substrate. In order to reduce the internal stress of the ta-C films and in an attempt to improve adhesion of thick films of this type, growth modifications such as incorporating metal into the ta-C films have been carried out. Nanocomposite amorphous carbon films were deposited by FCVA technique using metal-carbon composite target. Atomic force microscopy, Raman, and X-ray photoelectron spectroscopy were used to characterize the morphology and structure of the films. Nanoindenter and surface profilometer were used to determine the hardness, Young's modulus, and internal stress. The same metal composition targets for different elements results in different metal composition in the corresponding nanocomposite amorphous carbon films. We attribute this observation to the dynamic balance deposition effect of the FCVA deposition process. The influence of the type of metallic elements and its composition in the films on the structural, mechanical properties, surface energy and field emission (FE) performance was studied. The incorporation of metal into the films results in the decrease of sp 3 fraction, internal stress in the films, but the hardness and Young's modulus remains at high level. The surface energy of the films increases with incorporating Ni atoms, but decreases after incorporating Fe and Al atoms into the films. After heat-treatment, the incorporation of metal into ta-C films can greatly improve the FE performance

  11. Preparation of electrochromic thin films by transformation of manganese(II) carbonate

    Science.gov (United States)

    Stojkovikj, Sasho; Najdoski, Metodija; Koleva, Violeta; Demiri, Sani

    2013-10-01

    A new chemical bath method for deposition of manganese(II) carbonate thin film on electroconductive FTO glass substrates is designed. The homogeneous thin films with thickness in the range of 70 to 500 nm are deposited at about 98 °C from aqueous solution containing urea and MnCl2. The chemical process is based on a low temperature hydrolysis of the manganese complexes with urea. Three types of films are under consideration: as-deposited, annealed and electrochemically transformed thin films. The structure of the films is studied by XRD, IR and Raman spectroscopy. Electrochemical and optical properties are examined in eight different electrolytes (neutral and alkaline) and the best results are achieved in two component aqueous solution of 0.1 M KNO3 and 0.01 M KOH. It is established that the as-deposited MnCO3 film undergoes electrochemically transformation into birnessite-type manganese(IV) oxide films, which exhibit electrochromic color changes (from bright brown to pale yellow and vice versa) with 30% difference in the transmittance of the colored and bleached state at 400 nm.

  12. Structure, adhesive strength and electrochemical performance of nitrogen doped diamond-like carbon thin films deposited via DC magnetron sputtering.

    Science.gov (United States)

    Khun, N W; Liu, E; Krishna, M D

    2010-07-01

    Nitrogen doped diamond-like carbon (DLC:N) thin films were deposited on p-Si (100) substrates by DC magnetron sputtering with different nitrogen flow rates at a substrate temperature of about 100 degrees C. The chemical bonding structure of the films was characterized by X-ray photoelectron spectroscopy (XPS) and micro-Raman spectroscopy. The adhesive strength and surface morphology of the films were studied using micro-scratch tester and scanning electron microscope (SEM), respectively. The electrochemical performance of the films was evaluated by potentiodynamic polarization testing and linear sweep voltammetry. The electrolytes used for the electrochemical tests were deaerated and unstirred 0.47 M KCl aqueous solution for potentiodynamic polarization testing and 0.2 M KOH and 0.1 M KCl solutions for voltammetric analysis. It was found that the DLC:N films could well passivate the underlying substrates though the corrosion resistance of the films decreased with increased nitrogen content in the films. The DLC:N films showed wide potential windows in the KOH solution, in which the detection ability of the DLC:N films to trace lead of about 1 x 10(-3) M Pb(2+) was also tested.

  13. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  14. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Oliveira, M. H.; Viana, G. A.; de Lima, M. M.; Cros, A.; Cantarero, A.; Marques, F. C.

    2010-12-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH4) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  15. Influence of krypton atoms on the structure of hydrogenated amorphous carbon deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Oliveira, M. H. Jr.; Viana, G. A.; Marques, F. C.; Lima, M. M. Jr. de; Cros, A.; Cantarero, A.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) films were prepared by plasma enhanced chemical vapor deposition using methane (CH 4 ) plus krypton (Kr) mixed atmosphere. The depositions were performed as function of the bias voltage and krypton partial pressure. The goal of this work was to study the influence of krypton gas on the physical properties of a-C:H films deposited on the cathode electrode. Krypton concentration up to 1.6 at. %, determined by Rutherford Back-Scattering, was obtained at high Kr partial pressure and bias of -120 V. The structure of the films was analyzed by means of optical transmission spectroscopy, multi-wavelength Raman scattering and Fourier Transform Infrared spectroscopy. It was verified that the structure of the films remains unchanged up to a concentration of Kr of about 1.0 at. %. A slight graphitization of the films occurs for higher concentration. The observed variation in the film structure, optical band gap, stress, and hydrogen concentration were associated mainly with the subplantation process of hydrocarbons radicals, rather than the krypton ion energy.

  16. The electrolytic deposition of carbon from molten Li2CO3

    International Nuclear Information System (INIS)

    Dimitrov, A.T.

    2003-01-01

    Electrodeposition of carbon on an nickel electrode in molten salt has been investigated with the aid of scanning electron microscopy (SEM) and cyclic voltammetry, using molten LiCl, as a base electrolyte with adding of 1 and 5 % of Li 2 CO 3 . Commercial nickel wire was used as a cathode and graphite crucible as the anode electrode. A cyclic voltammograms for an nickel electrode indicates that the deposition or discharge of carbon at the cathode occurs at potential range of - 0.8 to -1.7 V. Further, SEM observations showed that morphology of the carbon at the cathode is in the form of a fairly hard black deposit. It was found that the quality of the deposit depends by the cathode surface, applied overpotential, content of lithium carbonate and the thickness of the carbon film. (Original)

  17. Structural anisotropy of magnetically aligned single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Smith, B. W.; Benes, Z.; Luzzi, D. E.; Fischer, J. E.; Walters, D. A.; Casavant, M. J.; Schmidt, J.; Smalley, R. E.

    2000-01-01

    Thick films of aligned single wall carbon nanotubes and ropes have been produced by filtration/deposition from suspension in strong magnetic fields. We measured mosaic distributions of rope orientations in the film plane, for samples of different thicknesses. For an ∼1 μm film the full width at half maximum (FWHM) derived from electron diffraction is 25 degree sign -28 degree sign . The FWHM of a thicker film (∼7 μm) measured by x-ray diffraction is slightly broader, 35±3 degree sign . Aligned films are denser than ordinary filter-deposited ones, and much denser than as-grown material. Optimization of the process is expected to yield smaller FWHMs and higher densities. (c) 2000 American Institute of Physics

  18. [The change of bacterial adhesion during deposition nitrogen-diamond like carbon coating on pure titanium].

    Science.gov (United States)

    Yin, Lu; Xiao, Yun

    2011-10-01

    The aim of this study was to observe the change of bacterial adhesion on pure titanium coated with nitrogen-diamond like carbon (N-DLC) films and to guide the clinical application. N-DLC was deposited on titanium using ion plating machine, TiN film, anodic oxide film and non-deposition were used as control, then made specimens adhering on the surface of resin denture base for 6 months. The adhesion of Saccharomyces albicans on the titanium surface was observed using scanning electron microscope, and the roughness was tested by roughness detector. The number of Saccharomyces albicans adhering on diamond-like carbon film was significantly less than on the other groups (P DLC film was less than other group (P coated with N-DLC film reduced the adhesion of Saccharomyces albicans after clinical application, thereby reduced the risk of denture stomatitis.

  19. Microstructural and optical properties of nanocrystalline ZnO deposited onto vertically aligned carbon nanotubes by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Borkar, Tushar [Department of Materials Science and Engineering and Center for Advanced Research and Technology, University of North Texas, Denton 76203 (United States); Chang, Won Seok [Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu, Daejeon 305-343 (Korea, Republic of); Hwang, Jun Yeon, E-mail: Junyeon.Hwang@kist.re.kr [Department of Materials Science and Engineering and Center for Advanced Research and Technology, University of North Texas, Denton 76203 (United States); Institute of Advanced Composite Materials, Korea Institute of Science and Technology, Jeonbuk 565-902 (Korea, Republic of); Shepherd, Nigel D.; Banerjee, Rajarshi [Department of Materials Science and Engineering and Center for Advanced Research and Technology, University of North Texas, Denton 76203 (United States)

    2012-10-15

    Nanocrystalline ZnO films with thicknesses of 5 nm, 10 nm, 20 nm, and 50 nm were deposited via magnetron sputtering onto the surface of vertically aligned multi-walled carbon nanotubes (MWCNTs). The ZnO/CNTs heterostructures were characterized by scanning electron microscopy, high resolution transmission electron microscopy, and X-ray diffraction studies. No structural degradation of the CNTs was observed and photoluminescence (PL) measurements of the nanostructured ZnO layers show that the optical properties of these films are typical of ZnO deposited at low temperatures. The results indicate that magnetron sputtering is a viable technique for growing heterostructures and depositing functional layers onto CNTs.

  20. Characterisation of DLC films deposited using titanium isopropoxide (TIPOT) at different flow rates.

    Science.gov (United States)

    Said, R; Ali, N; Ghumman, C A A; Teodoro, O M N D; Ahmed, W

    2009-07-01

    In recent years, there has been growing interest in the search for advanced biomaterials for biomedical applications, such as human implants and surgical cutting tools. It is known that both carbon and titanium exhibit good biocompatibility and have been used as implants in the human body. It is highly desirable to deposit biocompatible thin films onto a range of components in order to impart biocompatibility and to minimise wear in implants. Diamond like carbon (DLC) is a good candidate material for achieving biocompatibility and low wear rates. In this study, thin films of diamond-like-carbon DLC were deposited onto stainless steel (316) substrates using C2H2, argon and titanium isopropoxide (TIPOT) precursors. Argon was used to generate the plasma in the plasma enhanced vapour deposition (PECVD) system. A critical coating feature governing the performance of the component during service is film thickness. The as-grown films were in the thickness range 90-100 nm and were found to be dependent on TIPOT flow rate. Atomic force microscopy (AFM) was used to characterise the surface roughness of the samples. As the flow rate of TIPOT increased the average roughness was found to increase in conjunction with the film thickness. Raman spectroscopy was used to investigate the chemical structure of amorphous carbon matrix. Surface tension values were calculated using contact angle measurements. In general, the trend of the surface tension results exhibited an opposite trend to that of the contact angle. The elemental composition of the samples was characterised using a VG ToF SIMS (IX23LS) instrument and X-ray photoelectron spectroscopy (XPS). Surprisingly, SIMS and XPS results showed that the DLC samples did not show evidence of titanium since no peaks representing to titanium appeared on the SIMS/XPS spectra.

  1. Impact of Argon gas on optical and electrical properties of Carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Usman, Arslan, E-mail: arslan.usman@gmail.com [Department of Physics, COMSATS Institute of Information Technology, Lahore (Pakistan); Rafique, M.S. [Department of Physics, University of Engineering & Technology, Lahore 54890 (Pakistan); Shaukat, S.F. [Department of Physics, COMSATS Institute of Information Technology, Lahore (Pakistan); Siraj, Khurram [Department of Physics, University of Engineering & Technology, Lahore 54890 (Pakistan); Ashfaq, Afshan [Institute of Nuclear Medicine and Oncology Lahore (INMOL), 54000 Pakistan (Pakistan); Anjum, Safia [Department of Physics, Lahore College for Women University (Pakistan); Imran, Muhammad; Sattar, Abdul [Department of Physics, COMSATS Institute of Information Technology, Lahore (Pakistan)

    2016-12-15

    Nanostructured thin films of carbon were synthesized and investigated for their electrical, optical, structural and surface properties. Pulsed Laser Deposition (PLD) technique was used for the preparation of these films under Argon gas environment. A KrF Laser (λ=248 nm) was used as source of ablation and plasma formation. It was observed that the carbon ions and the background gas environment has deep impact on the morphology as well as on the microstructure of the films. Time of Flight (TOF) method was used to determine the energies of the ablated carbon ions. The morphology of film surfaces deposited at various argon pressure was analysed using an atomic force microscope. The Raman spectroscopic measurement reveal that there is shift in phase from sp{sup 3} to sp{sup 2} and a decrease in FWHM of G band, which is a clear indication of enhanced graphitic clusters. The electrical resistivity was also reduced from 85.3×10{sup −1} to 2.57×10{sup −1} Ω-cm. There is an exponential decrease in band gap E{sub g} of the deposited films from 1.99 to 1.37 eV as a function of argon gas pressure.

  2. Preparation of mesoporous carbon nitride structure by the dealloying of Ni/a-CN nanocomposite films

    Science.gov (United States)

    Zhou, Han; Shen, Yongqing; Huang, Jie; Liao, Bin; Wu, Xianying; Zhang, Xu

    2018-05-01

    The preparation of mesoporous carbon nitride (p-CN) structure by the selective dealloying process of Ni/a-CN nanocomposite films is investigated. The composition and structure of the Ni/a-CN nanocomposite films and porous carbon nitride (p-CN) films are determined by scan electron microscopy (SEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. Phase separated structure including nickel carbide phase and the surrounding amorphous carbon nitride (a-CN) matrix are detected for the as-deposited films. Though the bulk diffusion is introduced in the film during the annealing process, the grain sizes for the post-annealed films are around 10 nm and change little comparing with the ones of the as-deposited films, which is associated with the thermostability of the CN surrounding in the film. The p-CN skeleton with its pore size around 12.5 nm is formed by etching the post-annealed films, indicative of the stability of the phase separated structure during the annealing process.

  3. Fabrication of periodical surface structures by picosecond laser irradiation of carbon thin films: transformation of amorphous carbon in nanographite

    Energy Technology Data Exchange (ETDEWEB)

    Popescu, C.; Dorcioman, G. [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Street, Magurele RO-077125 (Romania); Bita, B. [National Institute for Research and Development in Microtechnologies, 126A Erou Iancu Nicolae Street, Voluntari RO-077190 (Romania); Faculty of Physics, 405 Atomistilor Street, Magurele RO-077125 (Romania); Besleaga, C.; Zgura, I. [National Institute of Materials Physics, 105bis Atomistilor Street, Magurele RO-077125 (Romania); Himcinschi, C. [Institute of Theoretical Physics, TU Bergakademie Freiberg, Freiberg D-09596 (Germany); Popescu, A.C., E-mail: andrei.popescu@inflpr.ro [National Institute for Lasers, Plasma and Radiation Physics, 409 Atomistilor Street, Magurele RO-077125 (Romania)

    2016-12-30

    Highlights: • Ripples obtained on carbon films after irradiation with visible ps laser pulses. • Amorphous carbon was transformed in nanographite following irradiation. • Ripples had a complex morphology, being made of islands of smaller ripples. • Hydrophilic carbon films became hydrophobic after surface structuring. - Abstract: Thin films of carbon were synthesized by ns pulsed laser deposition in vacuum on silicon substrates, starting from graphite targets. Further on, the films were irradiated with a picosecond laser source emitting in visible at 532 nm. After tuning of laser parameters, we obtained a film surface covered by laser induced periodical surface structures (LIPSS). They were investigated by optical, scanning electron and atomic force microscopy. It was observed that changing the irradiation angle influences the LIPSS covered area. At high magnification it was revealed that the LIPSS pattern was quite complex, being composed of other small LIPSS islands, interconnected by bridges of nanoparticles. Raman spectra for the non-irradiated carbon films were typical for a-C type of diamond-like carbon, while the LIPSS spectra were characteristic to nano-graphite. The pristine carbon film was hydrophilic, while the LIPSS covered film surface was hydrophobic.

  4. Structural and mechanical properties of amorphous carbon films deposited by the dual plasma technique

    Institute of Scientific and Technical Information of China (English)

    Yaohui Wang; Xu Zhang; Xianying Wu; Huixing Zhang; Xiaoji Zhang

    2008-01-01

    Direct current metal filtered cathodic vacuum are (FCVA) and acetylene gas (C2H2) were wielded to synthesize Ti-containing amorphous carbon films on Si (100). The influence of substrate bias voltage and acetylene gas on the microstructure and mechanical properties of the films were investigated. The results show that the phase of TiC in the (111) preferential crystallo-graphic orientation exists in the film, and rite main existing pattern of carbon is sp2. With increasing the acetylene flow rate, the con-tents of Ti and TiC phase of the film gradually reduce; however, the thickness of the film increases. When the substrate bias voltage reaches -600 V, the internal stress of the film reaches 1.6 GPa. The micro-hardness and elastic modulus of the film can reach 33.9 and 237.6 GPa, respectively, and the friction coefficient of the film is 0.25.

  5. Deposition of DLC Film on Stainless Steel Substrates Coated by Nickel Using PECVD Method.

    Science.gov (United States)

    Khalaj, Zahra; Ghoranneviss, Mahmood; Vaghri, Elnaz; Saghaleini, Amir; Diudea, Mircea V

    2012-06-01

    Research on diamond-like carbon (DLC) films has been devoted to find both optimized conditions and characteristics of the deposited films on various substrates. In the present work, we investigate the quality of the DLC films grown on stainless steel substrates using different thickness of the nickel nanoparticle layers on the surface. Nickel nanoparticles were sputtered on the stainless steel substrates at 200 °C by a DC-sputtering system to make a good adherence between DLC coating and steel substrates. Atomic Force Microscopy was used to characterize the surface roughness and distribution function of the nickel nanoparticles on the substrate surface. Diamond like carbon films were deposited on stainless steel substrates coated by nickel using pure acetylene and C2H2/H2 with 15% flow ratio by DC-Plasma Enhanced Chemical Vapor Deposition (PECVD) systems. Microstructural analysis by Raman spectroscopy showed a low intensity ratio ID/IG for DLC films by increasing the Ni layer thickness on the stainless steel substrates. Fourier Transforms Infrared spectroscopy (FTIR) evidenced the peaks attributed to C-H bending and stretching vibration modes in the range of 1300-1700 cm-1 and 2700-3100 cm-1, respectively, in good agreement with the Raman spectroscopy and confirmed the DLC growth in all samples.

  6. Laser Deposition of Polymer Nanocomposite Thin Films and Hard Materials and Their Optical Characterization

    Science.gov (United States)

    2013-12-05

    visible light on instruments such as microscope tips and micro- surgical tools. Hard carbon known as diamond-like carbon films produced by pulsed laser ...visible (610 nm) LED source and a supplemental infra-red 980-nm laser diode (for the studies of the upconversion fluorescence). The basic package...5/2013 Final Performance Report 15 Sep 2012- 14 Sep 2013 LASER DEPOSITION OF POLYMER NANOCOMPOSITE THIN FILMS AND HARD MATERIALS AND THEIR OPTICAL

  7. Characterization of electron beam evaporated carbon films and compound formation on titanium and silicon

    International Nuclear Information System (INIS)

    Luthin, J.; Linsmeier, C.

    2001-01-01

    The formation of carbon-based mixed materials is unavoidable on the plasma-facing components (e.g. first wall and divertor) of fusion devices when carbon is used together with other materials. On the surfaces of these components very different conditions with respect to particle and energy impact occur. To predict the mixed material formation under these conditions the precise knowledge of the fundamental mechanisms governing these interactions is essential. In this paper we present the results of carbon interaction with titanium and silicon, as model substances for metallic and covalent carbides, during thermal treatment. To perform basic studies of the reactions of carbon with different elements, thin carbon films are produced by electron beam evaporation on the different substrates under UHV conditions. All measurements for chemical analysis are performed using X-ray photoelectron spectroscopy (XPS). We discuss first the properties of the deposited carbon films. The carbon films are characterized on inert gold surfaces and are compared to bulk graphite. Annealing of the carbon films up to 970 K leads to a transition from a disordered carbon network into a graphitic structure. Preparation of carbon films at room temperature on titanium or silicon leads to a limited carbide formation at the carbon/substrate interface. Carbon deposited in excess of several monolayers is present in elementary form. Annealing of the samples leads to complete carbidization consuming the available carbon in both cases. Titanium reacts to TiC and additional substoichiometric carbide, silicon forms SiC with exact stoichiometry. (orig.)

  8. Modification of rubber surface with hydrogenated diamond-like carbon thin films

    NARCIS (Netherlands)

    Pei, Y. T.; Bui, X. L.; De Hosson, J. Th. M.; Laudon, M; Romanowicz, B

    2009-01-01

    Thin films of hydrogenated diamond-like carbon (DLC) have been deposited on hydrogenated nitrile butadiene rubber (HNBR) for reduction of friction and enhancement of wear resistance of dynamic rubber seals, by sputtering graphite targets in C(2)H(2)/Ar plasma. The wax removal and pre-deposition

  9. Evolution of structural and electrical properties of carbon films from amorphous carbon to nanocrystalline graphene on quartz glass by HFCVD.

    Science.gov (United States)

    Zhai, Zihao; Shen, Honglie; Chen, Jieyi; Li, Xuemei; Jiang, Ye

    2018-04-25

    Direct growth of graphene films on glass is of great importance but has so far met with limited success. The non-catalytic property of glass results in the low decomposition ability of hydrocarbon precursors, especially at reduced temperatures (structural and electrical properties of carbon films deposited on quartz glass at 850 °C by hot-filament chemical vapor deposition (HFCVD). The results revealed that the obtained a-C films were all graphite-like carbon films. Structural transition of the deposited films from a-C to nanocrystalline graphene was achieved by raising the hydrogen dilution ratios from 10 % to over 80 %. Based on systematically structural and chemical characterizations, a schematic process with three steps including sp2 chains aggregation, aromatic rings formation and sp3 bonds etch was proposed to interpret the structural evolution. The nanocrystalline graphene films grown on glass by HFCVD exhibited good electrical performance with a carrier mobility of 36.76 cm2/(V·s) and a resistivity of 5.24×10-3 Ω·cm over an area of 1 cm2. Temperature-dependent electrical characterizations revealed that the electronic transport in carbon films was dominated by defect, localised and extended states respectively when increasing the temperature from 75 K to 292 K. The nanocrystalline graphene films presented higher carrier mobility and lower carrier concentration than a-C films, which was mainly attributed to their smaller conductive activation energy. The present investigation provides an effective way for direct growth of graphene films on glass at reduced temperatures and also offers useful insights into the understanding of structural and electrical relationship between a-C and graphene.

  10. Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

    International Nuclear Information System (INIS)

    Poon, R.W.Y.; Liu, X.Y.; Chung, C.Y.; Chu, P.K.; Yeung, K.W.K.; Lu, W.W.; Cheung, K.M.C.

    2005-01-01

    Nickel-titanium shape memory alloys (NiTi) are potentially useful in orthopedic implants on account of their super-elastic and shape memory properties. However, the materials are prone to surface corrosion and the most common problem is out-diffusion of harmful Ni ions from the substrate into body tissues and fluids. In order to improve the corrosion resistance and related surface properties, we used the technique of plasma immersion ion implantation and deposition to deposit an amorphous hydrogenated carbon coating onto NiTi and implant carbon into NiTi. Both the deposited amorphous carbon film and carbon plasma implanted samples exhibit much improved corrosion resistances and surface mechanical properties and possible mechanisms are suggested

  11. The analysis of structural and electronic environments of silicon network in HWCVD deposited a-SiC:H films

    International Nuclear Information System (INIS)

    Swain, Bibhu P.

    2007-01-01

    Hydrogenated amorphous silicon carbon alloys (a-SiC:H) films were deposited by hot wire chemical vapour deposition (HWCVD) using SiH 4 and C 2 H 2 as precursor gases. a-SiC:H films were characterized by Fourier Transform Infrared (FTIR) spectroscopy, Raman spectroscopy and X-ray photoelectron spectroscopy (XPS). Solid-state plasmon of Si network shifts from 19.2 to 20.5 eV by varying C 2 H 2 flow rate from 2 to 10 sccm. Incorporation of carbon content changes the valence band structure and s orbital is more dominant than sp and p orbital with carbon incorporation

  12. The production of carbon nanofibers and thin films on palladium catalysts from ethylene oxygen mixtures

    Energy Technology Data Exchange (ETDEWEB)

    Phillips, Jonathan [Los Alamos National Laboratory; Doorn, Stephen [Los Alamos National Laboratory; Atwater, Mark [UNM MECH.ENG.; Leseman, Zayd [UNM MECH.ENG.; Luhrs, Claudia C [UNM ENG.MECH; Diez, Yolanda F [SPAIN; Diaz, Angel M [SPAIN

    2009-01-01

    The characteristics of carbonaceous materials deposited in fuel rich ethylene-oxygen mixtures on three types of palladium: foil, sputtered film, and nanopowder, are reported. It was found that the form of palladium has a dramatic influence on the morphology of the deposited carbon. In particular, on sputtered film and powder, tight 'weaves' of sub-micron filaments formed quickly. In contrast, on foils under identical conditions, the dominant morphology is carbon thin films with basal planes oriented parallel to the substrate surface. Temperature, gas flow rate, reactant flow ratio (C2H4:02), and residence time (position) were found to influence both growth rate and type for all three forms of Pd. X-ray diffraction, high-resolution transmission electron microscopy, temperature-programmed oxidation, and Raman spectroscopy were used to assess the crystallinity of the as-deposited carbon, and it was determined that transmission electron microscopy and x-ray diffraction were the most reliable methods for determining crystallinity. The dependence of growth on reactor position, and the fact that no growth was observed in the absence of oxygen support the postulate that the carbon deposition proceeds by combustion generated radical species.

  13. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yan Ce [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Zhou, Z.F. [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Chong, Y.M.; Liu, C.P.; Liu, Z.T. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Li, K.Y., E-mail: mekyli@cityu.edu.h [Department of Manufacturing Engineering and Engineering Management and Advanced Coatings Applied Research Laboratory, City University of Hong Kong (Hong Kong); Bello, I., E-mail: apibello@cityu.edu.h [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong); Kutsay, O.; Zapien, J.A.; Zhang, W.J. [Department of Physics and Materials Science and Center of Super-Diamond and Advanced Films, City University of Hong Kong (Hong Kong)

    2010-07-30

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm{sup 2} while the power density of each boron target was maintained at 2 W/cm{sup 2}. Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness ({approx} 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  14. Synthesis and characterization of hard ternary AlMgB composite films prepared by sputter deposition

    International Nuclear Information System (INIS)

    Yan Ce; Zhou, Z.F.; Chong, Y.M.; Liu, C.P.; Liu, Z.T.; Li, K.Y.; Bello, I.; Kutsay, O.; Zapien, J.A.; Zhang, W.J.

    2010-01-01

    Hard and superlight thin films laminated with boron carbide have been proposed as candidates for strategic use such as armor materials in military and space applications. Aluminum magnesium boride (AlMgB) films are excellent candidates for these purposes. We prepared AlMgB films by sputter deposition using multiple unbalanced planar magnetrons equipped with two boron and one AlMg targets. The film morphology changed and the film's root mean square (rms) roughness varied from 1.0 to 18 nm as the power density of the AlMg target increased from 0.2 to 1.0 W/cm 2 while the power density of each boron target was maintained at 2 W/cm 2 . Chemical analyses show dominating Al, Mg, B and trace elements of oxygen, carbon and argon. The film composition also varies with altering the power density supplied to the AlMg target. The film with an atomic ratio of Al:Mg:B = 1.38:0.64:1 exhibits the highest hardness (∼ 30 GPa). This value surpasses the hardness of hydrogenated diamond-like carbon films (24-28 GPa) prepared by plasma enhanced chemical vapor deposition.

  15. Piezoresistive effect observed in flexible amorphous carbon films

    Science.gov (United States)

    Wang, B.; Jiang, Y. C.; Zhao, R.; Liu, G. Z.; He, A. P.; Gao, J.

    2018-05-01

    Amorphous carbon (a-C) films, deposited on Si substrates at 500 °C, were transferred onto flexible polyethylene (PE) substrates by a lift-off method, which overcomes the limit of deposition temperature. After transferring, a-C films exhibited a large piezoresistive effect. Such flexible samples could detect the change of bending angle by attaching them onto Cu foils. The ratio of the bending and non-bending resistances reaches as large as ~27.8, which indicates a potential application as a pressure sensor. Also, the a-C/PE sample revealed an enhanced sensitivity to gas pressure compared with the a-C/Si one. By controlling the bending angle, the sensitivity range can be tuned to shift to a low- or high-pressure region. The fatigue test shows a less than 1% change in resistance after 10 000 bending cycles. Our work provides a route to prepare the flexible and piezoresistive carbon-based devices with high sensitivity, controllable pressure-sensing and high stability.

  16. Amorphous Carbon Gold Nanocomposite Thin Films: Structural and Spectro-ellipsometric Analysis

    Energy Technology Data Exchange (ETDEWEB)

    Montiel-Gonzalez, Z., E-mail: zeuzmontiel@hotmail.com [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, Ciudad Universitaria, Coyoacan 04510, Mexico D.F (Mexico); Rodil, S.E.; Muhl, S. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, Ciudad Universitaria, Coyoacan 04510, Mexico D.F (Mexico); Mendoza-Galvan, A. [Centro de Investigacion y de Estudios Avanzados del Instituto Politecnico Nacional, Unidad Queretaro, 76010 Queretaro, Queretaro (Mexico); Rodriguez-Fernandez, L. [Instituto de Fisica, Universidad Nacional Autonoma de Mexico, Circuito de la Investigacion Cientifica, Ciudad Universitaria, 04510, Mexico D.F (Mexico)

    2011-07-01

    Spectroscopic Ellipsometry was used to determine the optical and structural properties of amorphous carbon:gold nanocomposite thin films deposited by dc magnetron co-sputtering at different deposition power. The incorporation of gold as small particles distributed in the amorphous carbon matrix was confirmed by X-ray Diffraction, Rutherford Backscattering measurements and High Resolution Transmission Electron Microscopy. Based on these results, an optical model for the films was developed using the Maxwell-Garnett effective medium with the Drude-Lorentz model representing the optical response of gold and the Tauc-Lorentz model for the amorphous carbon. The gold volume fraction and particle size obtained from the fitting processes were comparable to those from the physical characterization. The analysis of the ellipsometric spectra for all the samples showed strong changes in the optical properties of the carbon films as a consequence of the gold incorporation. These changes were correlated to the structural modification observed by Raman Spectroscopy, which indicated a clustering of the sp{sup 2} phase with a subsequent decrease in the optical gap. Finally, measurements of Reflection and Transmission Spectroscopy were carried out and Transmission Electron Microscopy images were obtained in order to support the ellipsometric model results.

  17. Effects of gas residence time of CH4/H2 on sp2 fraction of amorphous carbon films and dissociated methyl density during radical-injection plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Sugiura, Hirotsugu; Jia, Lingyun; Kondo, Hiroki; Ishikawa, Kenji; Tsutsumi, Takayoshi; Hayashi, Toshio; Takeda, Keigo; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Quadruple mass spectrometric measurements of CH3 density during radical-injection plasma-enhanced chemical vapor deposition to consider the sp2 fraction of amorphous carbon (a-C) films were performed. The sp2 fraction of the a-C films reached a minimum of 46%, where the CH3 density was maximum for a residence time of 6 ms. The sp2 fraction of the a-C films was tailored with the gaseous phase CH3 density during the deposition. This knowledge is useful for understanding the formation mechanism of bonding structures in the a-C films, which enables the precise control of their electronic properties.

  18. Crystalline and amorphous phases in carbon nitride films produced by intense high-pressure plasma

    International Nuclear Information System (INIS)

    Gurarie, V.N.; Orlov, A.V.; Bursill, L.A.; JuLin, P.; Nugent, K.W.; Chon, J.W.; Prawer, S.

    1997-01-01

    Carbon-nitride films are prepared using a high-intensity pulsed plasma deposition technique. A wide range of nitrogen pressure and discharge intensity are used to investigate their effect on the morphology, nitrogen content, structure, bonding, phase composition and mechanical characteristics of the CN films deposited. Increasing the nitrogen pressure from 0.1 atm to 10 atm results in an increase of nitrogen incorporation into CN films to maximum of 45 at %. Under the high-energy density deposition conditions which involve ablation of the quartz substrate the CN films are found to incorporate in excess of 60 at %N. Raman spectra of these films contain sharp peaks characteristic of a distinct crystalline CN phase. TEM diffraction patterns for the films deposited below 1 atm unambiguously show the presence of micron-sized crystals displaying a cubic symmetry. (authors)

  19. Effect of high substrate bias and hydrogen and nitrogen incorporation on filtered cathodic vacuum arc deposited tetrahedral amorphous carbon films

    International Nuclear Information System (INIS)

    Panwar, O.S.; Khan, Mohd. Alim; Kumar, Mahesh; Shivaprasad, S.M.; Satyanarayana, B.S.; Dixit, P.N.; Bhattacharyya, R.; Khan, M.Y.

    2008-01-01

    The application of a sufficiently high negative substrate bias, during the growth of tetrahedral amorphous carbon (ta-C), is usually associated with low sp 3 bonding configuration and stressed films. However, in an effort to understand and utilize the higher pseudo thermo dynamical conditions during the film growth, at high negative substrate bias (- 300 V), reported here is a study on ta-C films grown under different hydrogen and nitrogen concentration. As grown ta-C films were studied under different negative substrate bias conditions. The variation of the sp 3 content and sp 3 /sp 2 ratio in the ta-C films exhibits a trend similar to those reported in literature, with a subtle variation in this report being the substrate bias voltage, which was observed to be around - 200 V, for obtaining the highest sp 3 (80%) bonding and sp 3 /sp 2 (3.95) ratio. The hydrogen and nitrogen incorporated ta-C films studied, at a bias of - 300 V, show an increase in sp 3 (87-91%) bonding and sp 3 /sp 2 (7-10) ratio in the range of studies reported. The inference is drawn on the basis of the set of data obtained from measurements carried out using X-ray photoelectron spectroscopy, X-ray induced Auger electron spectroscopy and Raman spectroscopy of as grown and hydrogen and nitrogen incorporated ta-C films deposited using an S bend filtered cathodic vacuum arc system. The study indicates the possibility of further tailoring ta-C film properties and also extending capabilities of the cathodic arc system for developing carbon based films for electronics and tribological applications

  20. Effect of high substrate bias and hydrogen and nitrogen incorporation on filtered cathodic vacuum arc deposited tetrahedral amorphous carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Panwar, O.S. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India)], E-mail: ospanwar@mail.nplindia.ernet.in; Khan, Mohd. Alim [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India); Kumar, Mahesh; Shivaprasad, S.M. [Surface Physics and Nanostructures Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India); Satyanarayana, B.S. [MIT Innovation Centre and Electronics and Communication Department, Manipal Institute of Technology, Manipal-579104 (India); Dixit, P.N. [Plasma Processed Materials Group, National Physical Laboratory, Dr. K.S. Krishnan Road, New Delhi-110 012 (India); Bhattacharyya, R. [Emeritus Scientist, National Physical Laboratory, New Delhi-110012 (India); Khan, M.Y. [Department of Physics, Jamia Millia Islamia, Central University, New Delhi-110025 (India)

    2008-02-29

    The application of a sufficiently high negative substrate bias, during the growth of tetrahedral amorphous carbon (ta-C), is usually associated with low sp{sup 3} bonding configuration and stressed films. However, in an effort to understand and utilize the higher pseudo thermo dynamical conditions during the film growth, at high negative substrate bias (- 300 V), reported here is a study on ta-C films grown under different hydrogen and nitrogen concentration. As grown ta-C films were studied under different negative substrate bias conditions. The variation of the sp{sup 3} content and sp{sup 3}/sp{sup 2} ratio in the ta-C films exhibits a trend similar to those reported in literature, with a subtle variation in this report being the substrate bias voltage, which was observed to be around - 200 V, for obtaining the highest sp{sup 3} (80%) bonding and sp{sup 3}/sp{sup 2} (3.95) ratio. The hydrogen and nitrogen incorporated ta-C films studied, at a bias of - 300 V, show an increase in sp{sup 3} (87-91%) bonding and sp{sup 3}/sp{sup 2} (7-10) ratio in the range of studies reported. The inference is drawn on the basis of the set of data obtained from measurements carried out using X-ray photoelectron spectroscopy, X-ray induced Auger electron spectroscopy and Raman spectroscopy of as grown and hydrogen and nitrogen incorporated ta-C films deposited using an S bend filtered cathodic vacuum arc system. The study indicates the possibility of further tailoring ta-C film properties and also extending capabilities of the cathodic arc system for developing carbon based films for electronics and tribological applications.

  1. Gas Permeation, Mechanical Behavior and Cytocompatibility of Ultrathin Pure and Doped Diamond-Like Carbon and Silicon Oxide Films

    Directory of Open Access Journals (Sweden)

    Juergen M. Lackner

    2013-12-01

    Full Text Available Protective ultra-thin barrier films gather increasing economic interest for controlling permeation and diffusion from the biological surrounding in implanted sensor and electronic devices in future medicine. Thus, the aim of this work was a benchmarking of the mechanical oxygen permeation barrier, cytocompatibility, and microbiological properties of inorganic ~25 nm thin films, deposited by vacuum deposition techniques on 50 µm thin polyetheretherketone (PEEK foils. Plasma-activated chemical vapor deposition (direct deposition from an ion source was applied to deposit pure and nitrogen doped diamond-like carbon films, while physical vapor deposition (magnetron sputtering in pulsed DC mode was used for the formation of silicon as well as titanium doped diamond-like carbon films. Silicon oxide films were deposited by radio frequency magnetron sputtering. The results indicate a strong influence of nanoporosity on the oxygen transmission rate for all coating types, while the low content of microporosity (particulates, etc. is shown to be of lesser importance. Due to the low thickness of the foil substrates, being easily bent, the toughness as a measure of tendency to film fracture together with the elasticity index of the thin films influence the oxygen barrier. All investigated coatings are non-pyrogenic, cause no cytotoxic effects and do not influence bacterial growth.

  2. Novel doped hydroxyapatite thin films obtained by pulsed laser deposition

    International Nuclear Information System (INIS)

    Duta, L.; Oktar, F.N.; Stan, G.E.; Popescu-Pelin, G.; Serban, N.; Luculescu, C.; Mihailescu, I.N.

    2013-01-01

    Highlights: ► HA coatings synthesized by pulsed laser deposition. ► Comparative study of commercial vs. animal origin materials. ► HA coatings of animal origin were rougher and more adherent to substrates. ► Animal origin films can be considered as promising candidates for implant coatings. - Abstract: We report on the synthesis of novel ovine and bovine derived hydroxyapatite thin films on titanium substrates by pulsed laser deposition for a new generation of implants. The calcination treatment applied to produce the hydroxyapatite powders from ovine/bovine bones was intended to induce crystallization and to prohibit the transmission of diseases. The deposited films were characterized by scanning electron microscopy, X-ray diffraction, Fourier transform infrared spectroscopy, and energy dispersive X-ray spectroscopy. Pull-off adherence and profilometry measurements were also carried out. X-ray diffraction ascertained the polycrystalline hydroxyapatite nature of the powders and films. Fourier transform infrared spectroscopy evidenced the vibrational bands characteristic to a hydroxyapatite material slightly carbonated. The micrographs of the films showed a uniform distribution of spheroidal particulates with a mean diameter of ∼2 μm. Pull-off measurements demonstrated excellent bonding strength values between the hydroxyapatite films and the titanium substrates. Because of their physical–chemical properties and low cost fabrication from renewable resources, we think that these new coating materials could be considered as a prospective competitor to synthetic hydroxyapatite used for implantology applications.

  3. Friction and wear performance of diamond-like carbon films grown in various source gas plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A.; Nilufer, I.B.; Eryilmaz, O.L.; Beschliesser, M.; Fenske, G.R. [Argonne National Lab., IL (United States). Energy Technology Div.

    1999-11-01

    In this study, we investigated the effects of various source gases (methane, ethane, ethylene, and acetylene) on the friction and wear performance of diamond-like carbon (DLC) films prepared in a plasma-enhanced chemical vapor deposition (PECVD) system. Films were deposited on AISI H13 steel substrates and tested in a pin-on-disk machine against DLC-coated M50 balls in dry nitrogen. We found a close correlation between friction coefficient and source gas composition. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios exhibited lower friction coefficients and a higher wear resistance than films grown in source gases with lower hydrogen-to-carbon (H/C) ratios. The lowest friction coefficient (0.014) was achieved with a film derived from methane with an H/C ratio of 4, whereas the coefficient of films derived from acetylene (H/C=1) was 0.15. Similar correlations were observed for wear rates. Specifically, films derived from gases with lower H/C values were worn out, and the substrate material was exposed, whereas films from methane and ethane remained intact and wore at rates that were almost two orders of magnitude lower than films obtained from acetylene. (orig.)

  4. Room-temperature low-voltage electroluminescence in amorphous carbon nitride thin films

    Science.gov (United States)

    Reyes, R.; Legnani, C.; Ribeiro Pinto, P. M.; Cremona, M.; de Araújo, P. J. G.; Achete, C. A.

    2003-06-01

    White-blue electroluminescent emission with a voltage bias less than 10 V was achieved in rf sputter-deposited amorphous carbon nitride (a-CN) and amorphous silicon carbon nitride (a-SiCN) thin-film-based devices. The heterojunction structures of these devices consist of: Indium tin oxide (ITO), used as a transparent anode; amorphous carbon film as an emission layer, and aluminum as a cathode. The thickness of the carbon films was about 250 Å. In all of the produced diodes, a stable visible emission peaked around 475 nm is observed at room temperature and the emission intensity increases with the current density. For an applied voltage of 14 V, the luminance was about 3 mCd/m2. The electroluminescent properties of the two devices are discussed and compared.

  5. Kinetic enhancement via passive deposition of carbon-based nanomaterials in vanadium redox flow batteries

    Science.gov (United States)

    Aaron, Doug; Yeom, Sinchul; Kihm, Kenneth D.; Ashraf Gandomi, Yasser; Ertugrul, Tugrul; Mench, Matthew M.

    2017-10-01

    Addition of carbon-based nanomaterials to operating flow batteries accomplishes vanadium redox flow battery performance improvement. Initial efforts focus on addition of both pristine graphene and vacuum-filtered reduced graphene oxide (rGO) film on carbon paper supporting electrodes. While the former is unable to withstand convective flow through the porous electrode, the latter shows measurable kinetic improvement, particularly when laid on the polymer electrolyte membrane (PEM) side of the electrode; in contrast to the kinetic performance gain, a deleterious impact on mass transport is observed. Based on this tradeoff, further improvement is realized using perforated rGO films placed on the PEM side of the electrodes. Poor mass transport in the dense rGO film prompts identification of a more uniform, passive deposition method. A suspension of rGO flakes or Vulcan carbon black (XC-72R), both boasting two orders-of-magnitude greater specific surface area than that of common carbon electrodes, is added to the electrolyte reservoirs and allowed to passively deposit on the carbon paper or carbon felt supporting electrodes. For common carbon felt electrodes, addition of rGO flakes or XC-72R enables a tripling of current density at the same 80% voltage efficiency.

  6. Regression Analysis of the Effect of Bias Voltage on Nano- and Macrotribological Properties of Diamond-Like Carbon Films Deposited by a Filtered Cathodic Vacuum Arc Ion-Plating Method

    Directory of Open Access Journals (Sweden)

    Shojiro Miyake

    2014-01-01

    Full Text Available Diamond-like carbon (DLC films are deposited by bend filtered cathodic vacuum arc (FCVA technique with DC and pulsed bias voltage. The effects of varying bias voltage on nanoindentation and nanowear properties were evaluated by atomic force microscopy. DLC films deposited with DC bias voltage of −50 V exhibited the greatest hardness at approximately 50 GPa, a low modulus of dissipation, low elastic modulus to nanoindentation hardness ratio, and high nanowear resistance. Nanoindentation hardness was positively correlated with the Raman peak ratio Id/Ig, whereas wear depth was negatively correlated with this ratio. These nanotribological properties highly depend on the films’ nanostructures. The tribological properties of the FCVA-DLC films were also investigated using a ball-on-disk test. The average friction coefficient of DLC films deposited with DC bias voltage was lower than that of DLC films deposited with pulse bias voltage. The friction coefficient calculated from the ball-on-disk test was correlated with the nanoindentation hardness in dry conditions. However, under boundary lubrication conditions, the friction coefficient and specific wear rate had little correlation with nanoindentation hardness, and wear behavior seemed to be influenced by other factors such as adhesion strength between the film and substrate.

  7. Study of Flux Ratio of C60 to Ar Cluster Ion for Hard DLC Film deposition

    International Nuclear Information System (INIS)

    Miyauchi, K.; Toyoda, N.; Kanda, K.; Matsui, S.; Kitagawa, T.; Yamada, I.

    2003-01-01

    To study the influence of the flux ratio of C60 molecule to Ar cluster ion on (diamond like carbon) DLC film characteristics, DLC films deposited under various flux ratios were characterized with Raman spectrometry and Near Edge X-ray Absorption Fine Structure (NEXAFS). From results of these measurements, hard DLC films were deposited when the flux ratio of C60 to Ar cluster ion was between 0.7 and 4. Furthermore the DLC film with constant sp2 content was obtained in the range of the ratio from 0.7 to 4, which contents are lower values than that of conventional films such as RF plasma. DLC films deposited under the ratio from 1 to 4 had hardness from 40 to 45GPa. It was shown that DLC films with stable properties of low sp2 content and high hardness were formed even when the fluxes were varied from 1 to 4 during deposition. It was indicated that this process was useful in the view of industrial application

  8. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  9. Distribution and sedimentary arrangement of carbon in South African proterozoic placer deposits

    International Nuclear Information System (INIS)

    Minter, W.E.L.

    1981-01-01

    Carbon, which occurs as grains, films, and thin seams in Witwatersrand Proterozoic placer deposits, is generally confined to carbon-seam reefs that were deposited in distal environments. The distribution of carbon on paleosurfaces, on sedimentary accumulation surfaces like pebble layers, on trough-shaped bedforms of pi-crossbedded units and foresets, and on the winnowed top of placer sediments implies that its growth took place contemporaneously with placer deposition in an aquatic fluvial environment. The areal distribution of carbon seams in distal environments is patchy, and its sparsity or total absence in some areas does not affect either the gold or the uranium content of the placer. High gold and uranium contents that appear to be associated with carbon seams are at the base of the reef because that position represents both the stable consolidated paleosurface upon which the plant material anchored itself and also the surface of bedload concentration

  10. Measurement of thickness of film deposited on the plasma-facing wall in the QUEST tokamak by colorimetry.

    Science.gov (United States)

    Wang, Z; Hanada, K; Yoshida, N; Shimoji, T; Miyamoto, M; Oya, Y; Zushi, H; Idei, H; Nakamura, K; Fujisawa, A; Nagashima, Y; Hasegawa, M; Kawasaki, S; Higashijima, A; Nakashima, H; Nagata, T; Kawaguchi, A; Fujiwara, T; Araki, K; Mitarai, O; Fukuyama, A; Takase, Y; Matsumoto, K

    2017-09-01

    After several experimental campaigns in the Kyushu University Experiment with Steady-state Spherical Tokamak (QUEST), the originally stainless steel plasma-facing wall (PFW) becomes completely covered with a deposited film composed of mixture materials, such as iron, chromium, carbon, and tungsten. In this work, an innovative colorimetry-based method was developed to measure the thickness of the deposited film on the actual QUEST wall. Because the optical constants of the deposited film on the PFW were position-dependent and the extinction coefficient k 1 was about 1.0-2.0, which made the probing light not penetrate through some thick deposited films, the colorimetry method developed can only provide a rough value range of thickness of the metal-containing film deposited on the actual PFW in QUEST. However, the use of colorimetry is of great benefit to large-area inspections and to radioactive materials in future fusion devices that will be strictly prohibited from being taken out of the limited area.

  11. Electrodeposition of diamond-like carbon films on titanium alloy using organic liquids: Corrosion and wear resistance

    International Nuclear Information System (INIS)

    Falcade, Tiago; Shmitzhaus, Tobias Eduardo; Gomes dos Reis, Otávio; Vargas, André Luis Marin; Hübler, Roberto; Müller, Iduvirges Lourdes; Fraga Malfatti, Célia de

    2012-01-01

    Highlights: ► The electrodeposition may be conducted at room temperature. ► The DLC films have good resistance to corrosion in saline environments. ► The films have lower coefficient of friction than the uncoated substrate. ► The abrasive wear protection is evident in coated systems. - Abstract: Diamond-like carbon (DLC) films have been studied as coatings for corrosion protection and wear resistance because they have excellent chemical inertness in traditional corrosive environments, besides presenting a significant reduction in coefficient of friction. Diamond-like carbon (DLC) films obtained by electrochemical deposition techniques have attracted a lot of interest, regarding their potential in relation to the vapor phase deposition techniques. The electrochemical deposition techniques are carried out at room temperature and do not need vacuum system, making easier this way the technological transfer. At high electric fields, the organic molecules polarize and react on the electrode surface, forming carbon films. The aim of this work was to obtain DLC films onto Ti6Al4V substrate using as electrolyte: acetonitrile (ACN) and N,N-dimethylformamide (DMF). The films were characterized by atomic force microscopy (AFM), scanning electron microscopy (SEM), Raman spectroscopy, potentiodynamic polarization and wear tests. The results show that these films can improve, significantly, the corrosion resistance of titanium and its alloys and their wear resistance.

  12. Microwave plasma induced surface modification of diamond-like carbon films

    Science.gov (United States)

    Rao Polaki, Shyamala; Kumar, Niranjan; Gopala Krishna, Nanda; Madapu, Kishore; Kamruddin, Mohamed; Dash, Sitaram; Tyagi, Ashok Kumar

    2017-12-01

    Tailoring the surface of diamond-like carbon (DLC) film is technically relevant for altering the physical and chemical properties, desirable for useful applications. A physically smooth and sp3 dominated DLC film with tetrahedral coordination was prepared by plasma-enhanced chemical vapor deposition technique. The surface of the DLC film was exposed to hydrogen, oxygen and nitrogen plasma for physical and chemical modifications. The surface modification was based on the concept of adsorption-desorption of plasma species and surface entities of films. Energetic chemical species of microwave plasma are adsorbed, leading to desorbtion of the surface carbon atoms due to energy and momentum exchange. The interaction of such reactive species with DLC films enhanced the roughness, surface defects and dangling bonds of carbon atoms. Adsorbed hydrogen, oxygen and nitrogen formed a covalent network while saturating the dangling carbon bonds around the tetrahedral sp3 valency. The modified surface chemical affinity depends upon the charge carriers and electron covalency of the adsorbed atoms. The contact angle of chemically reconstructed surface increases when a water droplet interacts either through hydrogen or van dear Waals bonding. These weak interactions influenced the wetting property of the DLC surface to a great extent.

  13. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    International Nuclear Information System (INIS)

    Ray, Sekhar C.; Pong, W.F.; Papakonstantinou, P.

    2016-01-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp"3 network to sp"2 as evidenced by an increase of the sp"2 cluster and I_D/I_G ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp"2 cluster and I_D/I_G ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp"3-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp"3 and sp"2 contents are estimated from C K-edge XANES and VB-PES measurements.

  14. Voltage-Controlled Spray Deposition of Multiwalled Carbon Nanotubes on Semiconducting and Insulating Substrates

    Science.gov (United States)

    Maulik, Subhodip; Sarkar, Anirban; Basu, Srismrita; Daniels-Race, Theda

    2018-05-01

    A facile, cost-effective, voltage-controlled, "single-step" method for spray deposition of surfactant-assisted dispersed carbon nanotube (CNT) thin films on semiconducting and insulating substrates has been developed. The fabrication strategy enables direct deposition and adhesion of CNT films on target samples, eliminating the need for substrate surface functionalization with organosilane binder agents or metal layer coatings. Spray coating experiments on four types of sample [bare silicon (Si), microscopy-grade glass samples, silicon dioxide (SiO2), and polymethyl methacrylate (PMMA)] under optimized control parameters produced films with thickness ranging from 40 nm to 6 μm with substantial surface coverage and packing density. These unique deposition results on both semiconducting and insulator target samples suggest potential applications of this technique in CNT thin-film transistors with different gate dielectrics, bendable electronics, and novel CNT-based sensing devices, and bodes well for further investigation into thin-film coatings of various inorganic, organic, and hybrid nanomaterials on different types of substrate.

  15. Structure and giant magnetoresistance of carbon-based amorphous films prepared by magnetron sputtering

    International Nuclear Information System (INIS)

    Ma, L.; He, M.F.; Liu, Z.W.; Zeng, D.C.; Gu, Z.F.; Cheng, G.

    2014-01-01

    Pure amorphous carbon (a-C) and Co-doped Co x C 1−x films were prepared on n-Si(100) substrates by dc magnetron sputtering. In Co–C films, the nano-sized amorphous Co particles were homogeneously dispersed in the amorphous cross-linked carbon matrix. The structures of a-C and Co x C 1−x films were investigated by X-ray photoelectron spectroscopy and Raman spectroscopy. The results showed that the a-C films were diamond-like carbon (DLC) films. After doping cobalt into DLC film, the sp 3 -hybridized carbon content in DLC composite films almost had no change. The as-deposited Co x C 1−x granular films had larger value of magnetoresistance (MR) than the amorphous carbon film. A very high positive MR, up to 15.5% at magnetic field B = 0.8 T and x = 2.5 at.% was observed in a Co x C 1−x granular film with thickness of 80 nm at room temperature when the external magnetic field was perpendicular to the electric current and the film surface. With increase of the film thickness and Co-doped content, the MR decreased gradually. It remains a challenge to well explain the observed MR effect in the Co x C 1−x granular films. - Highlights: • The amorphous carbon films were diamond-like carbon films. • No carbide appearing, the Co–C composite films form a good metal/insulator system. • A high positive magnetoresistance, up to 15.5% at B = 0.8 T was observed in Co–C films

  16. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  17. Incidence Angle Effect of Energetic Carbon Ions on Deposition Rate, Topography, and Structure of Ultrathin Amorphous Carbon Films Deposited by Filtered Cathodic Vacuum Arc

    KAUST Repository

    Wang, N.; Komvopoulos, K.

    2012-01-01

    The effect of the incidence angle of energetic carbon ions on the thickness, topography, and structure of ultrathin amorphous carbon (a-C) films synthesized by filtered cathodic vacuum arc (FCVA) was examined in the context of numerical

  18. Spectroscopic properties of nitrogen doped hydrogenated amorphous carbon films grown by radio frequency plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hayashi, Y.; Yu, G.; Rahman, M. M.; Krishna, K. M.; Soga, T.; Jimbo, T.; Umeno, M.

    2001-01-01

    Nitrogen doped hydrogenated amorphous carbon thin films have been deposited by rf plasma-enhanced chemical vapor deposition using CH 4 as the source of carbon and with different nitrogen flow rates (N 2 /CH 4 gas ratios between 0 and 3), at 300 K. The dependence modifications of the optical and the structural properties on nitrogen incorporation were investigated using different spectroscopic techniques, such as, Raman spectroscopy, Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, ultraviolet-visible (UV-VIS) spectroscopy, electron spin resonance (ESR), photoluminescence (PL) and spectroscopic ellipsometry (SE). Raman spectroscopy and IR absorption reveal an increase in sp 2 -bonded carbon or a change in sp 2 domain size with increasing nitrogen flow rate. It is found that the configuration of nitrogen atoms incorporated into an amorphous carbon network gradually changes from nitrogen atoms surrounded by three (σ bonded) to two (π bonded) neighboring carbons with increasing nitrogen flow rate. Tauc optical gap is reduced from 2.6 to 2.0 eV, and the ESR spin density and the peak-to-peak linewidth increase sharply with increasing nitrogen flow rate. Excellent agreement has been found between the measured SE data and modeled spectra, in which an empirical dielectric function of amorphous materials and a linear void distribution along the thickness have been assumed. The influence of nitrogen on the electronic density of states is explained based on the optical properties measured by UV-VIS and PL including nitrogen lone pair band. [copyright] 2001 American Institute of Physics

  19. Laser cleaning of pulsed laser deposited rhodium films for fusion diagnostic mirrors

    International Nuclear Information System (INIS)

    Uccello, A.; Maffini, A.; Dellasega, D.; Passoni, M.

    2013-01-01

    Highlights: ► Pulsed laser deposition is exploited to produce Rh films for first mirrors. ► Pulsed laser deposition is exploited to produce tokamak-like C contaminants. ► Rh laser damage threshold has been evaluated for infrared pulses. ► Laser cleaning of C contaminated Rh films gives promising results. -- Abstract: In this paper an experimental investigation on the laser cleaning process of rhodium films, potentially candidates to be used as tokamak first mirrors (FMs), from redeposited carbon contaminants is presented. A relevant issue that lowers mirror's performance during tokamak operations is the redeposition of sputtered material from the first wall on their surface. Among all the possible techniques, laser cleaning, in which a train of laser pulses is launched to the surface that has to be treated, is a method to potentially mitigate this problem. The same laser system (Q-switched Nd:YAG laser with a fundamental wavelength of 1064-nm and 7-ns pulses) has been employed with three aims: (i) production by pulsed laser deposition (PLD) of Rh film mirrors, (ii) production by PLD of C deposits with controlled morphology, and (iii) investigation of the laser cleaning method onto C contaminated Rh samples. The evaluation of Rh films laser damage threshold, as a function of fluence and number of pulses, is discussed. Then, the C/Rh films have been cleaned by the laser beam. The exposed zones have been characterized by visual inspection and scanning electron microscopy (SEM), showing promising results

  20. The effects of two thick film deposition methods on tin dioxide gas sensor performance.

    Science.gov (United States)

    Bakrania, Smitesh D; Wooldridge, Margaret S

    2009-01-01

    This work demonstrates the variability in performance between SnO(2) thick film gas sensors prepared using two types of film deposition methods. SnO(2) powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 - 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis.

  1. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    Directory of Open Access Journals (Sweden)

    Smitesh D. Bakrania

    2009-08-01

    Full Text Available This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 ºC for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 – 20, often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0 at an operating temperature of 330 ºC, low standard deviation to the sensor response (±0.35 and no signal hysteresis.

  2. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  3. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    Science.gov (United States)

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  4. Characterization of chemical vapour deposited diamond films: correlation between hydrogen incorporation and film morphology and quality

    International Nuclear Information System (INIS)

    Tang, C J; Neves, A J; Carmo, M C

    2005-01-01

    In order to tailor diamond synthesized through chemical vapour deposition (CVD) for different applications, many diamond films of different colours and variable quality were deposited by a 5 kW microwave plasma CVD reactor under different growth conditions. The morphology, quality and hydrogen incorporation of these films were characterized using scanning electron microscopy (SEM), Raman and Fourier-transform infrared (FTIR) spectroscopy, respectively. From this study, a general trend between hydrogen incorporation and film colour, morphology and quality was found. That is, as the films sorted by colour gradually become darker, ranging from white through grey to black, high magnification SEM images illustrate that the smoothness of the well defined crystalline facet gradually decreases and second nucleation starts to appear on it, indicating gradual degradation of the crystalline quality. Correspondingly, Raman spectra evidence that the diamond Raman peak at 1332 cm -1 becomes broader and the non-diamond carbon band around 1500 cm -1 starts to appear and becomes stronger, confirming increase of the non-diamond component and decrease of the phase purity of the film, while FTIR spectra show that the CH stretching band and the two CVD diamond specific peaks around 2830 cm -1 rise rapidly, and this indicates that the total amount of hydrogen incorporated into the film increases significantly

  5. Formation of hydrogenated amorphous carbon films of controlled hardness from a methane plasma

    International Nuclear Information System (INIS)

    Vandentop, G.J.; Kawasaki, M.; Nix, R.M.; Brown, I.G.; Salmeron, M.; Somorjai, G.A.; Department of Chemistry, University of California at Berkeley, Berkeley, California 94720)

    1990-01-01

    Studies of amorphous hydrogenated carbon (a-C:H) film deposition revealed that methyl radicals are the precursor species responsible for the bulk mass deposition of the films, while the ions act to improve the mechanical properties. The films were deposited on Si(100) substrates both on the powered (negatively self-biased) and on the grounded electrodes from a methane rf plasma (13.56 MHz) at 68 to 70 mTorr and 300 to 370 K. The films produced on the powered electrode exhibited superior mechanical properties, such as high hardness. A mass spectrometer was used to identify neutral species and positive ions incident on the electrodes from the plasma, and also to measure ion energies. Methyl radicals were incident on the electrode surface with an estimated flux of 10 16 cm -2 s -1 , for a rf power of 50 W. Methyl radicals appear to be the dominant intermediates in the growth of the soft carbon polymer, and there is a remarkable decrease in deposition rate due to the introduction of NO, a radical scavenger. A novel pulsed biasing technique was used so that the role of ions in the plasma could be studied separately. It was found that the hardness of the films depends on the power supplied by the ions to the growing film surface (the time averaged difference between the plasma potential and the electrode potential), but not on the energy of individual ions. The pulsed biasing technique offers an efficient method to adjust the film hardness by independent control of the neutral radical and ion fluxes to the surface

  6. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  7. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  8. High throughput deposition of hydrogenated amorphous carbon coatings on rubber with expanding thermal plasma

    NARCIS (Netherlands)

    Pei, Y.T.; Eivani, A.R.; Zaharia, T.; Kazantis, A.V.; Sanden, van de M.C.M.; De Hosson, J.T.M.

    2014-01-01

    Flexible hydrogenated amorphous carbon (a-C:H) thin film coated on rubbers has shown outstanding protection of rubber seals from friction and wear. This work concentrates on the potential advances of expanding thermal plasma (ETP) process for a high throughput deposition of a-C:H thin films in

  9. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  10. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  11. Electronic Power System Application of Diamond-Like Carbon Films

    Science.gov (United States)

    Wu, Richard L. C.; Kosai, H.; Fries-Carr, S.; Weimer, J.; Freeman, M.; Schwarze, G. E.

    2003-01-01

    A prototype manufacturing technology for producing high volume efficiency and high energy density diamond-like carbon (DLC) capacitors has been developed. Unique dual ion-beam deposition and web-handling systems have been designed and constructed to deposit high quality DLC films simultaneously on both sides of capacitor grade aluminum foil and aluminum-coated polymer films. An optimized process, using inductively coupled RF ion sources, has been used to synthesize electrically robust DLC films. DLC films are amorphous and highly flexible, making them suitable for the production of wound capacitors. DLC capacitors are reliable and stable over a wide range of AC frequencies from 20 Hz to 1 MHz, and over a temperature range from .500 C to 3000 C. The compact DLC capacitors offer at least a 50% decrease in weight and volume and a greater than 50% increase in temperature handling capability over equal value capacitors built with existing technologies. The DLC capacitors will be suitable for high temperature, high voltage, pulsed power and filter applications.

  12. Kinetic study on hot-wire-assisted atomic layer deposition of nickel thin films

    International Nuclear Information System (INIS)

    Yuan, Guangjie; Shimizu, Hideharu; Momose, Takeshi; Shimogaki, Yukihiro

    2014-01-01

    High-purity Ni films were deposited using hot-wire-assisted atomic layer deposition (HW-ALD) at deposition temperatures of 175, 250, and 350 °C. Negligible amount of nitrogen or carbon contamination was detected, even though the authors used NH 2 radical as the reducing agent and nickelocene as the precursor. NH 2 radicals were generated by the thermal decomposition of NH 3 with the assist of HW and used to reduce the adsorbed metal growth precursors. To understand and improve the deposition process, the kinetics of HW-ALD were analyzed using a Langmuir-type model. Unlike remote-plasma-enhanced atomic layer deposition, HW-ALD does not lead to plasma-induced damage. This is a significant advantage, because the authors can supply sufficient NH 2 radicals to deposit high-purity metallic films by adjusting the distance between the hot wire and the substrate. NH 2 radicals have a short lifetime, and it was important to use a short distance between the radical generation site and substrate. Furthermore, the impurity content of the nickel films was independent of the deposition temperature, which is evidence of the temperature-independent nature of the NH 2 radical flux and the reactivity of the NH 2 radicals

  13. Study of sterilization-treatment in pure and N- doped carbon thin films synthesized by inductively coupled plasma assisted pulsed-DC magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Javid, Amjed [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Textile Processing, National Textile University, Faisalabad 37610 (Pakistan); Kumar, Manish, E-mail: manishk@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Han, Jeon Geon, E-mail: hanjg@skku.edu [Center for Advanced Plasma Surface Technology (CAPST), NU-SKKU Joint Institute for Plasma Nano-Materials (IPNM), Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2017-01-15

    Highlights: • Pure and N-doped nanocrystallie carbon films are synthesized by ICP assisted pulsed DC plasma process. • ICP power induces the increase in average graphitic crystallite size from 4.86 nm to 6.42 nm. • Beneficial role of ICP source assistance to achieve high sputtering throughput (deposition rate ∼55 nm/min). • Post-sterilization electron-transport study shows N-doped carbon films having promising stability. - Abstract: Electrically-conductive nanocrystalline carbon films, having non-toxic and non-immunogenic characteristics, are promising candidates for reusable medical devices. Here, the pure and N- doped nanocrystalline carbon films are deposited by the assistance of inductively coupled plasma (ICP) in an unbalanced facing target pulsed-DC magnetron sputtering process. Through the optical emission spectroscopy study, the role of ICP assistance and N-doping on the reactive components/radicals during the synthesis is presented. The N-doping enhances the three fold bonding configurations by increasing the ionization and energies of the plasma species. Whereas, the ICP addition increases the plasma density to control the deposition rate and film structure. As a result, sputtering-throughput (deposition rate: 31–55 nm/min), electrical resistivity (4–72 Ωcm) and water contact angle (45.12°–54°) are significantly tailored. Electric transport study across the surface microchannel confirms the superiority of N-doped carbon films for sterilization stability over the undoped carbon films.

  14. Large-scale and patternable graphene: direct transformation of amorphous carbon film into graphene/graphite on insulators via Cu mediation engineering and its application to all-carbon based devices

    Science.gov (United States)

    Chen, Yu-Ze; Medina, Henry; Lin, Hung-Chiao; Tsai, Hung-Wei; Su, Teng-Yu; Chueh, Yu-Lun

    2015-01-01

    Chemical vapour deposition of graphene was the preferred way to synthesize graphene for multiple applications. However, several problems related to transfer processes, such as wrinkles, cleanness and scratches, have limited its application at the industrial scale. Intense research was triggered into developing alternative synthesis methods to directly deposit graphene on insulators at low cost with high uniformity and large area. In this work, we demonstrate a new concept to directly achieve growth of graphene on non-metal substrates. By exposing an amorphous carbon (a-C) film in Cu gaseous molecules after annealing at 850 °C, the carbon (a-C) film surprisingly undergoes a noticeable transformation to crystalline graphene. Furthermore, the thickness of graphene could be controlled, depending on the thickness of the pre-deposited a-C film. The transformation mechanism was investigated and explained in detail. This approach enables development of a one-step process to fabricate electrical devices made of all carbon material, highlighting the uniqueness of the novel approach for developing graphene electronic devices. Interestingly, the carbon electrodes made directly on the graphene layer by our approach offer a good ohmic contact compared with the Schottky barriers usually observed on graphene devices using metals as electrodes.Chemical vapour deposition of graphene was the preferred way to synthesize graphene for multiple applications. However, several problems related to transfer processes, such as wrinkles, cleanness and scratches, have limited its application at the industrial scale. Intense research was triggered into developing alternative synthesis methods to directly deposit graphene on insulators at low cost with high uniformity and large area. In this work, we demonstrate a new concept to directly achieve growth of graphene on non-metal substrates. By exposing an amorphous carbon (a-C) film in Cu gaseous molecules after annealing at 850 °C, the carbon (a

  15. Simulation study of depositing the carbon film on nanoparticles in the magnetized methane plasma

    Science.gov (United States)

    Mohammadzadeh, Hosein; Pourali, Nima; Ebadi, Zahra

    2018-03-01

    Plasma coating of nanoparticles in low-temperature magnetized methane plasma is studied by a simulation approach. To this end, by using the global model, the electron temperature and concentration of different species considered in this plasma are determined in the center of a capacitively coupled discharge. Then, the plasma-wall transition region in the presence of an oblique magnetic field is simulated by the multi-component fluid description. Nanoparticles with different radii are injected into the transition region and surface deposition and heating models, as well as dynamics and charging models, are employed to examine the coating process. The results of the simulation show that the non-spherical growth of nanoparticles is affected by the presence of the magnetic field, as with passing time, an oscillating increase is seen in the thickness of the film deposited on nanoparticles. Also, it is shown that the uniformity of the deposited film is dependent on the rotation velocity of nanoparticles. Generally, the obtained results imply that the sphericity of nanoparticles and uniformity of the film coated on them are controllable by the magnitude and orientation of the magnetic field.

  16. The microstructure, mechanical and friction properties of protective diamond like carbon films on magnesium alloy

    Science.gov (United States)

    Zou, Y. S.; Wu, Y. F.; Yang, H.; Cang, K.; Song, G. H.; Li, Z. X.; Zhou, K.

    2011-12-01

    Protective hard coatings deposited on magnesium alloys are believed to be effective for overcoming their poor wear properties. In this work, diamond-like carbon (DLC) films as hard protective films were deposited on AZ91 magnesium alloy by arc ion plating under negative pulse bias voltages ranging from 0 to -200 V. The microstructure, composition and mechanical properties of the DLC films were analyzed by scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and nanoindentation. The tribological behavior of uncoated and coated AZ91 magnesium alloy was investigated using a ball-on-disk tribotester. The results show that the negative pulse bias voltage used for film deposition has a significant effect on the sp3 carbon content and mechanical properties of the deposited DLC films. A maximum sp3 content of 33.3% was obtained at -100 V, resulting in a high hardness of 28.6 GPa and elastic modulus of 300.0 GPa. The DLC films showed very good adhesion to the AZ91 magnesium alloy with no observable cracks and delamination even during friction testing. Compared with the uncoated AZ91 magnesium alloy, the magnesium alloy coated with DLC films exhibits a low friction coefficient and a narrow, shallow wear track. The wear resistance and surface hardness of AZ91 magnesium alloy can be significantly improved by coating a layer of DLC protective film due to its high hardness and low friction coefficient.

  17. The microstructure, mechanical and friction properties of protective diamond like carbon films on magnesium alloy

    International Nuclear Information System (INIS)

    Zou, Y.S.; Wu, Y.F.; Yang, H.; Cang, K.; Song, G.H.; Li, Z.X.; Zhou, K.

    2011-01-01

    Protective hard coatings deposited on magnesium alloys are believed to be effective for overcoming their poor wear properties. In this work, diamond-like carbon (DLC) films as hard protective films were deposited on AZ91 magnesium alloy by arc ion plating under negative pulse bias voltages ranging from 0 to -200 V. The microstructure, composition and mechanical properties of the DLC films were analyzed by scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and nanoindentation. The tribological behavior of uncoated and coated AZ91 magnesium alloy was investigated using a ball-on-disk tribotester. The results show that the negative pulse bias voltage used for film deposition has a significant effect on the sp 3 carbon content and mechanical properties of the deposited DLC films. A maximum sp 3 content of 33.3% was obtained at -100 V, resulting in a high hardness of 28.6 GPa and elastic modulus of 300.0 GPa. The DLC films showed very good adhesion to the AZ91 magnesium alloy with no observable cracks and delamination even during friction testing. Compared with the uncoated AZ91 magnesium alloy, the magnesium alloy coated with DLC films exhibits a low friction coefficient and a narrow, shallow wear track. The wear resistance and surface hardness of AZ91 magnesium alloy can be significantly improved by coating a layer of DLC protective film due to its high hardness and low friction coefficient.

  18. The microstructure, mechanical and friction properties of protective diamond like carbon films on magnesium alloy

    Energy Technology Data Exchange (ETDEWEB)

    Zou, Y.S., E-mail: yshzou75@gmail.com [School of Materials Science and Engineering, Nanjing University of Science and Technology, Nanjing, Jiangsu, 210094 (China); Wu, Y.F.; Yang, H.; Cang, K. [School of Materials Science and Engineering, Nanjing University of Science and Technology, Nanjing, Jiangsu, 210094 (China); Song, G.H. [School of Materials Science and Engineering, Shenyang University of Technology, Shenyang, Liaoning, 110178 (China); Li, Z.X.; Zhou, K. [School of Materials Science and Engineering, Nanjing University of Science and Technology, Nanjing, Jiangsu, 210094 (China)

    2011-12-01

    Protective hard coatings deposited on magnesium alloys are believed to be effective for overcoming their poor wear properties. In this work, diamond-like carbon (DLC) films as hard protective films were deposited on AZ91 magnesium alloy by arc ion plating under negative pulse bias voltages ranging from 0 to -200 V. The microstructure, composition and mechanical properties of the DLC films were analyzed by scanning electron microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and nanoindentation. The tribological behavior of uncoated and coated AZ91 magnesium alloy was investigated using a ball-on-disk tribotester. The results show that the negative pulse bias voltage used for film deposition has a significant effect on the sp{sup 3} carbon content and mechanical properties of the deposited DLC films. A maximum sp{sup 3} content of 33.3% was obtained at -100 V, resulting in a high hardness of 28.6 GPa and elastic modulus of 300.0 GPa. The DLC films showed very good adhesion to the AZ91 magnesium alloy with no observable cracks and delamination even during friction testing. Compared with the uncoated AZ91 magnesium alloy, the magnesium alloy coated with DLC films exhibits a low friction coefficient and a narrow, shallow wear track. The wear resistance and surface hardness of AZ91 magnesium alloy can be significantly improved by coating a layer of DLC protective film due to its high hardness and low friction coefficient.

  19. Structure and Properties of Diamond-Like Carbon Films Deposited by PACVD Technique on Light Alloys

    Directory of Open Access Journals (Sweden)

    Tański T.

    2016-09-01

    Full Text Available The investigations presented in this paper describe surface treatment performed on samples of heat-treated cast magnesium and aluminium alloy. The structure and chemical composition as well as the functional and mechanical properties of the obtained gradient/monolithic films were analysed by high resolution transmission electron microscopy and scanning electron microscopy, Raman spectroscopy, the ball-on-disk tribotester and scratch testing. Moreover, investigation of the electrochemical corrosion behaviour of the samples was carried out by means of potentiodynamic polarisation curves in 1-M NaCl solution. The coatings produced by chemical vapour deposition did not reveal any delamination or defects and they adhere closely to the substrate. The coating thickness was in a range of up to 2.5 microns. Investigations using Raman spectra of the DLC films confirmed a multiphase character of the diamond-like carbon layer, revealing the sp2 and sp3 electron hybridisation responsible for both the hardness and the friction coefficient. The best wear resistance test results were obtained for the magnesium alloy substrate - AZ61, for which the measured value of the friction path length was equal to 630 m.

  20. Structure and photoluminescence of films composed of carbon nanoflakes

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Yi, E-mail: wangyi@cqut.edu.cn [College of Mechanical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054, P R China (China); Li, Lin [College of Chemistry, Chongqing Normal University, Chongqing 401331, P R China (China); Cheng, Qijin [School of Energy Research, Xiamen University, Xiamen 361005, P R China (China); He, Chunlin [Liaoning Provincial Key Laboratory of Advanced Materials, Shenyang University, Shenyang 110044, P R China (China)

    2015-05-15

    Carbon nanoflake films (CNFFs) were directly synthesized by plasma-enhanced hot filament chemical vapor deposition. The results of field emission scanning electron microscope, transmission electron microscope, micro-Raman spectroscope, X-ray photoelectron spectroscope and Fourier transform infrared spectroscope indicate that the CNFFs are composed of bending carbon nanoflakes with the hydrocarbon and hydroxyl functional groups, and the carbon nanoflakes become thin in a long deposition time. The structural change of carbon nanoflakes is related to the formation of structural units and the aggregation of hydrocarbon radicals near the carbon nanoflakes. Moreover, the photoluminescence (PL) properties of CNFFs were studied in a Ramalog system and a PL spectroscope. The PL results indicate that the PL intensity of CNFFs is lowered with the increase of thickness of CNFFs. The lowering of PL intensity for the thick CNFFs originates from the effect of more dangling bonds in the CNFFs. In addition, we studied the structural difference of carbon nanoflakes grown by different CVD systems and the PL difference of carbon nanoflakes in different measurement systems. The results achieved here are important to control the growth and structure of graphene-based materials and fabricate the optoelectronic devices related to carbon-based materials. - Highlights: • Carbon nanoflake films (CNFFs) were synthesized by PEHFCVD. • The structure of CNFFs is related to the aggregation of carbon hydrocarbon radicals. • The PL intensity of CNFFs is lowered with the thickness increase of CNFFs. • The change of PL intensity of CNFFs is due to the dangling bonds in CNFFs. • The widening of PL bands of CNFFs results from the diversity of carbon nanofalkes.

  1. Deposit of thin films of TiN, a-C, Ti/TiN/a-C by laser ablation

    International Nuclear Information System (INIS)

    Mejia, I.S.; Escobar A, L.; Camps, E.; Romero, S.; Muhl, S.

    2006-01-01

    Thin films of titanium nitride (TiN), amorphous carbon (a-C), as well as bilayers of Ti/TiN/a-C were deposited by means of the laser ablation technique. It was investigated the effect that it has the laser fluence used to ablation the targets in the structure and mechanical properties of the TiN deposited films. The TiN obtained films have a preferential orientation in the direction (200). The results show that the hardness of this material is influenced by the laser fluence. It is observed that the hardness is increased in an approximately lineal way with the increment of the fluence up to 19 J/cm 2 . The films of amorphous carbon present hardness of the order of 11.2 GPa. Likewise it was found that the multilayers of Ti/TiN/aC presented a bigger hardness that of its individual components. (Author)

  2. The resistance changes of carbon and metal oxide film resistors by irradiation of 60Co γ rays

    International Nuclear Information System (INIS)

    Okamoto, Shinichi; Fujino, Takahiro; Furuta, Junichiro; Yoshida, Toshio

    1979-01-01

    The resistance changes of glass-sealed deposited-carbon-film and carbon-coated-film resitors and metal oxide glazed resistors made in USA were studied by gamma-ray irradiation. (1) The resistances of deposited-carbon-film resistors of 50, 100 and 200 megohm did not change by irradiation of gamma rays up to 1.9 x 10 9 R. (2) The carbon-coated-film resistors of 100, 1000, 10000 and 100000 megohm had negative resistance changes by irradiation of gamma rays up to 9.9 x 10 8 R. (3) The resistances of metal oxide glazed resistors of 100, 1000 and 10000 megohm did not change by irradiation of gamma rays up to 8.8 x 10 8 R. When radiation monitoring instruments with hi-meg resistors are used in a gamma field with high intensity, the resistors must not be exposed to gamma rays with high doses, or the resistors which do not change by gamma-ray irradiation must be selected. (author)

  3. Iron, nitrogen and silicon doped diamond like carbon (DLC) thin films: A comparative study

    Energy Technology Data Exchange (ETDEWEB)

    Ray, Sekhar C., E-mail: Raysc@unisa.ac.za [Department of Physics, College of Science, Engineering and Technology, University of South Africa, Private Bag X6, Florida, 1710, Science Campus, Christiaan de Wet and Pioneer Avenue, Florida Park, Johannesburg (South Africa); Pong, W.F. [Department of Physics, Tamkang University, Tamsui 251, New Taipei City, Taiwan (China); Papakonstantinou, P. [Nanotechnology and Integrated Bio-Engineering Centre, University of Ulster, Shore Road, Newtownabbey BT37 0QB (United Kingdom)

    2016-07-01

    The X-ray absorption near edge structure (XANES), X-ray photoelectron spectroscopy (XPS), valence band photoemission (VB-PES) and Raman spectroscopy results show that the incorporation of nitrogen in pulsed laser deposited diamond like carbon (DLC) thin films, reverts the sp{sup 3} network to sp{sup 2} as evidenced by an increase of the sp{sup 2} cluster and I{sub D}/I{sub G} ratio in C K-edge XANES and Raman spectra respectively which reduces the hardness/Young's modulus into the film network. Si-doped DLC film deposited in a plasma enhanced chemical vapour deposition process reduces the sp{sup 2} cluster and I{sub D}/I{sub G} ratio that causes the decrease of hardness/Young's modulus of the film structure. The Fe-doped DLC films deposited by dip coating technique increase the hardness/Young's modulus with an increase of sp{sup 3}-content in DLC film structure. - Highlights: • Fe, N and Si doped DLC films deposited by dip, PLD and PECVD methods respectively • DLC:Fe thin films have higher hardness/Young's modulus than DLC:N(:Si) thin films. • sp{sup 3} and sp{sup 2} contents are estimated from C K-edge XANES and VB-PES measurements.

  4. Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films

    Directory of Open Access Journals (Sweden)

    Jörg Haeberle

    2013-11-01

    Full Text Available We report on results on the preparation of thin (2O3 films on silicon substrates using thermal atomic layer deposition (T-ALD and plasma enhanced atomic layer deposition (PE-ALD in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.

  5. Metal (Ag/Ti)-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics.

    Science.gov (United States)

    Constantinou, Marios; Nikolaou, Petros; Koutsokeras, Loukas; Avgeropoulos, Apostolos; Moschovas, Dimitrios; Varotsis, Constantinos; Patsalas, Panos; Kelires, Pantelis; Constantinides, Georgios

    2018-03-30

    This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a-C:H:Me) of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD) and Physical Vapor Deposition (PVD) technologies. The a-C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF) plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC) technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti). The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR), Raman spectroscopy, Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM) and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a-C:H:Ag and a-C:H:Ti) exhibited enhanced nanoscratch resistance (up to +50%) and low values of friction coefficient (<0.05), properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  6. Hydrogen content and density in nanocrystalline carbon films of a predominant diamond character

    International Nuclear Information System (INIS)

    Hoffman, A.; Heiman, A.; Akhvlediani, R.; Lakin, E.; Zolotoyabko, E.; Cyterman, C.

    2003-01-01

    Nanocrystalline carbon films possessing a prevailing diamond or graphite character, depending on substrate temperature, can be deposited from a methane hydrogen mixture by the direct current glow discharge plasma chemical vapor deposition method. While at a temperature of ∼880 deg. C, following the formation of a thin precursor graphitic film, diamond nucleation occurs and a nanodiamond film grows, at higher and lower deposition temperatures the films maintain their graphitic character. In this study the hydrogen content, density and nanocrystalline phase composition of films deposited at various temperatures are investigated. We aim to elucidate the role of hydrogen in nanocrystalline films with a predominant diamond character. Secondary ion mass spectroscopy revealed a considerable increase of the hydrogen concentration in the films that accompanies the growth of nanodiamond. It correlates with near edge x-ray adsorption spectroscopy measurements, that showed an appearance of spectroscopic features associated with the diamond structure, and with a substantial increase of the film density detected by x-ray reflectivity. Electron energy loss spectroscopy showed that nanocrystalline diamond films can be deposited from a CH 4 /H 2 mixture with hydrogen concentration in the 80%-95% range. For a deposition temperature of 880 deg. C, the highest diamond character of the films was found for a hydrogen concentration of 91% of H 2 . The deposition temperature plays an important role in diamond formation, strongly influencing the content of adsorbed hydrogen with an optimum at 880 deg. C. It is suggested that diamond nucleation and growth of the nanodiamond phase is driven by densification of the deposited graphitic films which results in high local compressive stresses. Nanodiamond formation is accompanied by an increase of hydrogen concentration in the films. It is suggested that hydrogen retention is critical for stabilization of nanodiamond crystallites. At lower

  7. Titanyl phthalocyanine ambipolar thin film transistors making use of carbon nanotube electrodes

    Science.gov (United States)

    Coppedè, Nicola; Valitova, Irina; Mahvash, Farzaneh; Tarabella, Giuseppe; Ranzieri, Paolo; Iannotta, Salvatore; Santato, Clara; Martel, Richard; Cicoira, Fabio

    2014-12-01

    The capability of efficiently injecting charge carriers into organic films and finely tuning their morphology and structure is crucial to improve the performance of organic thin film transistors (OTFTs). In this work, we investigate OTFTs employing carbon nanotubes (CNTs) as the source-drain electrodes and, as the organic semiconductor, thin films of titanyl phthalocyanine (TiOPc) grown by supersonic molecular beam deposition (SuMBD). While CNT electrodes have shown an unprecedented ability to improve charge injection in OTFTs, SuMBD is an effective technique to tune film morphology and structure. Varying the substrate temperature during deposition, we were able to grow both amorphous (low substrate temperature) and polycrystalline (high substrate temperature) films of TiOPc. Regardless of the film morphology and structure, CNT electrodes led to superior charge injection and transport performance with respect to benchmark Au electrodes. Vacuum annealing of polycrystalline TiOPc films with CNT electrodes yielded ambipolar OTFTs.

  8. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  9. Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition

    International Nuclear Information System (INIS)

    Usami, Kenji; Ishijima, Tatsuo; Toyoda, Hirotaka

    2012-01-01

    To improve adhesion at the interface between Cupper (Cu) and polyimide (PI) layers, a PI film surface was treated with a microwave-excited plasma. The Ar/N 2 plasma treatment improved the Cu adhesion force to 10 N/cm even for PI substrates with absorbed water. A dramatic improvement of the adhesion durability was achieved by depositing a thin carbon film (C) on the PI substrate as an interlayer between PI and Cu using a microwave plasma followed by treatment with the Ar/N 2 plasma prior to the Cu deposition. After a 20-h accelerated aging test, the reduction of the adhesion force for the resulting Cu/C/PI sample was only 10%, whereas that for the Cu/PI sample was 55%. To gain insight into the film properties, the interface between the Cu and PI film was investigated by X-ray photoelectron spectroscopy.

  10. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  11. Deposition and Characterization of Hermetic, Biocompatible Thin Film Coatings for Implantable, Electrically Active Devices

    Science.gov (United States)

    Sweitzer, Robyn K.

    Retinal prostheses may be used to support patients suffering from Age-related macular degeneration or retinitis pigmentosa. A hermetic encapsulation of the poly(imide )-based prosthesis is important in order to prevent the leakage of water and ions into the electric circuitry embedded in the poly(imide) matrix. The deposition of amorphous aluminum oxide (by sputtering) and diamond like carbon (by pulsed laser ablation and vacuum arc vapor deposition) were studied for the application in retinal prostheses. The resulting thin films were characterized for composition, thickness, adhesion and smoothness by scanning electron microscopy-energy dispersive spectroscopy, atomic force microscopy, profilometry and light microscopy. Electrical stability was evaluated and found to be good. The as-deposited films prevented incursion of salinated fluids into the implant over two (2) three month trials soaking in normal saline at body temperature, Biocompatibility was tested in vivo by implanting coated specimen subretinally in the eye of Yucatan pigs. While amorphous aluminum oxide is more readily deposited with sufficient adhesion quality, biocompatibility studies showed a superior behavior of diamond-like carbon. Amorphous aluminum oxide had more adverse effects and caused more severe damage to the retinal tissue.

  12. Investigation of thin film deposition on stainless steel 304 substrates under different operating conditions

    International Nuclear Information System (INIS)

    Chowdhury, M A; Nuruzzaman, D M

    2016-01-01

    In recent times, friction and wear in relation to the deposited carbon films on the steel substrates are important issues for industrial applications. In this research study, solid thin films were deposited on the stainless steel 304 (SS 304) substrates under different operating conditions. In the experiments, natural gas (97.14% methane) was used as a precursor gas in a hot filament thermal chemical vapor deposition (CVD) reactor. Deposition rates on SS 304 substrates were investigated under gas flow rates 0.5 - 3.0 l/min, pressure 20 - 50 torr, gap between activation heater and substrate 3.0 - 6.0 mm and deposition duration 30 - 120 minutes. The obtained results show that there are significant effects of these parameters on the deposition rates on SS 304 within the observed range. Friction coefficient of SS 304 sliding against SS 314 was also investigated under normal loads 5 - 10 N and sliding velocities 0.5 - m/s before and after deposition. The experimental results reveal that in general, frictional values are lower after deposition than that of before deposition. (paper)

  13. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  14. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  15. MEMS sensor material based on polypyrrole carbon nanotube nanocomposite: film deposition and characterization

    Science.gov (United States)

    Teh, Kwok-Siong; Lin, Liwei

    2005-11-01

    Conductive polymer-based nanocomposite has been utilized as a MEMS sensing material via a one-step, selective on-chip deposition process at room temperature. A doped polypyrrole (PPy) variant synthesized by incorporating multi-walled carbon nanotube (MWCNT) into electropolymerized PPy has been shown to improve the sensing performance utilizing a two-terminal, micro-gap chemiresistor architecture. The dodecylbenzenesulfonate (DBS)-doped PPy-MWCNT nanocomposites are found to be responsive to oxidants, such as hydrogen peroxide (H2O2), and this effect can be extended to glucose detection using H2O2 as a proxy material. The oxidant sensing effect is demonstrated by subjecting a glucose oxidase (GOx)-laden PPy-MWCNT nanocomposite film to various concentrations of glucose solution. Such PPy-MWCNT nanocomposite, when applied in a chemiresistor configuration, obviates the need for reference electrode and electron mediators, by measuring the direct and reversible, oxidation-reduction induced conductivity change. Experimentally, GOx-laden, doped PPy-MWCNT is tested to be sensitive to glucose concentration up to 20 mM, which covers the physiologically important range for diabetics of 0-20 mM.

  16. Formation of carbon nanotubes on an amorphous Ni{sub 25}Ta{sub 58}N{sub 17} alloy film by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gromov, D. G.; Dubkov, S. V., E-mail: sv.dubkov@gmail.com [National Research University of Electronic Technology MIET (Russian Federation); Pavlov, A. A. [Russian Academy of Sciences, Institute of Nanotechnologies of Microelectronics (Russian Federation); Skorik, S. N. [Technological Center Research and Production Complex (Russian Federation); Trifonov, A. Yu. [Lukin Scientific Research Institute of Physical Problems (Russian Federation); Kirilenko, E. P.; Shulyat’ev, A. S. [National Research University of Electronic Technology MIET (Russian Federation); Shaman, Yu. P. [Technological Center Research and Production Complex (Russian Federation); Rygalin, B. N. [National Research University of Electronic Technology MIET (Russian Federation)

    2016-12-15

    It is shown that it is possible to grow carbon nanotubes on the surface of an amorphous Ni–Ta–N metal alloy film with a low Ni content (~25 at %) by chemical deposition from acetylene at temperature 400–800°C. It is established that the addition of nitrogen into the Ni–Ta alloy composition is favorable for the formation of tantalum nitride and the expulsion of Ni clusters, which act as a catalyst of the growth of carbon nanotubes, onto the surface. From Raman spectroscopy studies, it is found that, as the temperature of synthesis is raised, the quality of nanotubes is improved.

  17. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    International Nuclear Information System (INIS)

    Zhang, C.Z.; Tang, Y.; Li, Y.S.; Yang, Q.

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking

  18. Adhesion enhancement of diamond-like carbon thin films on Ti alloys by incorporation of nanodiamond particles

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, C.Z.; Tang, Y. [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada); Li, Y.S. [Plasma Physics Laboratory, University of Saskatchewan, 116 Science Place, Saskatoon, SK, Canada S7N 5E2 (Canada); Yang, Q., E-mail: qiaoqin.yang@usask.ca [Department of Mechanical Engineering, University of Saskatchewan, 57 Campus Drive, Saskatoon, SK, Canada S7N 5A9 (Canada)

    2013-01-01

    Coating adherent diamond-like carbon (DLC) thin films directly on Ti alloys is technologically difficult. This research incorporates nanodiamond particles to form a diamond/DLC composite interlayer to enhance the adhesion of DLC thin films on Ti6Al4V substrates. Initially, nanodiamond particles were deposited on Ti6Al4V substrates by microwave plasma enhanced chemical vapor deposition from a methane–hydrogen gas mixture. A DLC thin film was then deposited, on top of the nanodiamond particles, by direct ion beam deposition. Scanning electron microscopy, Atomic force microscopy, X-ray Diffraction and Raman spectroscopy were used to characterize the microstructure and chemical bonding of the deposited particles and films, and Rockwell indentation testing was used to evaluate the adhesion of the deposited films. The results indicate that the pre-deposited nanodiamond particles significantly enhance the interfacial adhesion between the DLC thin film and the Ti6Al4V substrate, possibly by enhanced interfacial bonding, mechanical interlocking, and stress relief. - Highlights: ► Nanodiamond particles were deposited on Ti6Al4V before DLC deposition. ► Diamond/DLC composite film was formed by incorporation of nanodiamond particles. ► Greatly enhanced adhesion of diamond/DLC composite film on Ti6Al4V was achieved. ► Enhanced adhesion is by increased interfacial bonding and mechanical interlocking.

  19. Electrical properties of multilayer (DLC-TiC) films produced by pulsed laser deposition

    Science.gov (United States)

    Alawajji, Raad A.; Kannarpady, Ganesh K.; Nima, Zeid A.; Kelly, Nigel; Watanabe, Fumiya; Biris, Alexandru S.

    2018-04-01

    In this work, pulsed laser deposition was used to produce a multilayer diamond like carbon (ML (DLC-TiC)) thin film. The ML (DLC-TiC) films were deposited on Si (100) and glass substrates at various substrate temperatures in the range of 20-450 °C. Raman spectroscopy, x-ray photoelectron spectroscopy (XPS), and atomic force microscopy were utilized to characterize the prepared films. Raman analysis revealed that as the substrate temperature increased, the G-peak position shifted to a higher raman shift and the full width at half maximum of the G and D bands decreased. XPS analysis indicated a decrease in sp3/sp2 ratio and an increase in Ti-C bond intensity when the substrate temperature was increased. Additionally, the surface roughness of ML (DLC-TiC) filmswas affected by the type and temperature of the substrate. The electrical measurement results indicated that the electrical resistivity of the ML (DLC-TiC) film deposited on Si and glass substrates showed the same behavior-the resistivity decreased when substrate temperature increased. Furthermore, the ML (DLC-TiC) films deposited on silicon showed lower electrical resistivity, dropping from 8.39E-4 Ω-cm to 5.00E-4 Ω-cm, and, similarly, the films on the glass substrate displayed a drop in electrical resistivity from 1.8E-2 Ω-cm to 1.2E-3 Ω-cm. These enhanced electrical properties indicate that the ML (DLC-TiC) films have widespread potential as transducers for biosensors in biological research; electrochemical electrodes, because these films can be chemically modified; biocompatible coatings for medicals tools; and more.

  20. Study of PECVD films containing flourine and carbon and diamond like carbon films for ultra low dielectric constant interlayer dielectric applications

    Science.gov (United States)

    Sundaram, Nandini Ganapathy

    precursors. Pre and post-anneal structural properties of the deposited thin film were studied using laser excitation of 633 nm in a Jobin Yvon Labram high-resolution micro-Raman spectrometer. The film was further characterized using AFM, FTIR, XRD, goniometry and electrical testing. Average film roughness as measured by AFM was less than 1 nm, the k-value was 2.5, and the contact angle with water was 42°. Lastly, layered dielectric films comprising of Diamond like Carbon (DLC) and Amorphous Fluorocarbon (a:C-F) were generated using three different stack configurations and subsequently evaluated. Seven unique process conditions generated promising stacks with k-values between 1.69 and 1.95. Of these, only one film exhibited very low shrinkage rates acceptable for semiconductor device processing. Annealed a:C-F films with DLC top coat are similar in bonding structure to as deposited FC films proving that DLC deposition significantly modified the bonding structure of the underlying annealed a:C-F film. Stacks comprised of a:C-F films with higher oxygen content, deposited using high FRRs exhibited both macro and microbuckling to a larger degree and extent. Film integrity was preserved by annealing the Fluorocarbon component or by providing a DLC base coat.

  1. Titanyl phthalocyanine ambipolar thin film transistors making use of carbon nanotube electrodes

    International Nuclear Information System (INIS)

    Coppedè, Nicola; Tarabella, Giuseppe; Ranzieri, Paolo; Iannotta, Salvatore; Valitova, Irina; Cicoira, Fabio; Mahvash, Farzaneh; Santato, Clara; Martel, Richard

    2014-01-01

    The capability of efficiently injecting charge carriers into organic films and finely tuning their morphology and structure is crucial to improve the performance of organic thin film transistors (OTFTs). In this work, we investigate OTFTs employing carbon nanotubes (CNTs) as the source-drain electrodes and, as the organic semiconductor, thin films of titanyl phthalocyanine (TiOPc) grown by supersonic molecular beam deposition (SuMBD). While CNT electrodes have shown an unprecedented ability to improve charge injection in OTFTs, SuMBD is an effective technique to tune film morphology and structure. Varying the substrate temperature during deposition, we were able to grow both amorphous (low substrate temperature) and polycrystalline (high substrate temperature) films of TiOPc. Regardless of the film morphology and structure, CNT electrodes led to superior charge injection and transport performance with respect to benchmark Au electrodes. Vacuum annealing of polycrystalline TiOPc films with CNT electrodes yielded ambipolar OTFTs. (paper)

  2. Improvement in the degradation resistance of silicon nanostructures by the deposition of diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Klyui, N. I., E-mail: klyui@isp.kiev.ua; Semenenko, M. A.; Khatsevich, I. M.; Makarov, A. V.; Kabaldin, A. N. [National Academy of Sciences of Ukraine, Lashkarev Institute of Semiconductor Physics (Ukraine); Fomovskii, F. V. [Kremenchug National University (Ukraine); Han, Wei [Jilin University, College of Physics (China)

    2015-08-15

    It is established that the deposition of a diamond-like film onto a structure with silicon nanoclusters in a silicon dioxide matrix yields an increase in the long-wavelength photoluminescence intensity of silicon nanoclusters due to the passivation of active-recombination centers with hydrogen and a shift of the photoluminescence peak to the region of higher photosensitivity of silicon-based solar cells. It is also shown that, due to the deposited diamond-like film, the resistance of such a structure to degradation upon exposure to γ radiation is improved, which is also defined by the effect of the passivation of radiation-induced activerecombination centers by hydrogen that is released from the films during treatment.

  3. Metal (Ag/Ti-Containing Hydrogenated Amorphous Carbon Nanocomposite Films with Enhanced Nanoscratch Resistance: Hybrid PECVD/PVD System and Microstructural Characteristics

    Directory of Open Access Journals (Sweden)

    Marios Constantinou

    2018-03-01

    Full Text Available This study aimed to develop hydrogenated amorphous carbon thin films with embedded metallic nanoparticles (a–C:H:Me of controlled size and concentration. Towards this end, a novel hybrid deposition system is presented that uses a combination of Plasma Enhanced Chemical Vapor Deposition (PECVD and Physical Vapor Deposition (PVD technologies. The a–C:H matrix was deposited through the acceleration of carbon ions generated through a radio-frequency (RF plasma source by cracking methane, whereas metallic nanoparticles were generated and deposited using terminated gas condensation (TGC technology. The resulting material was a hydrogenated amorphous carbon film with controlled physical properties and evenly dispersed metallic nanoparticles (here Ag or Ti. The physical, chemical, morphological and mechanical characteristics of the films were investigated through X-ray reflectivity (XRR, Raman spectroscopy, Scanning Electron Microscopy (SEM, Atomic Force Microscopy (AFM, Transmission Electron Microscopy (TEM and nanoscratch testing. The resulting amorphous carbon metal nanocomposite films (a–C:H:Ag and a–C:H:Ti exhibited enhanced nanoscratch resistance (up to +50% and low values of friction coefficient (<0.05, properties desirable for protective coatings and/or solid lubricant applications. The ability to form nanocomposite structures with tunable coating performance by potentially controlling the carbon bonding, hydrogen content, and the type/size/percent of metallic nanoparticles opens new avenues for a broad range of applications in which mechanical, physical, biological and/or combinatorial properties are required.

  4. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  5. A flexible angle sensor made from MWNT/CuO/Cu{sub 2}O nanocomposite films deposited by an electrophoretic co-deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Toboonsung, Buppachat, E-mail: buppachattt@yahoo.co.th [Physics and General Science Program, Faculty of Science and Technology, Nakhon Ratchasima Rajabhat University, Nakhon Ratchasima 30000 (Thailand); Singjai, Pisith, E-mail: singjai@hotmail.com [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai, 50200 (Thailand)

    2012-08-25

    Highlights: Black-Right-Pointing-Pointer MWNT/CuO/Cu{sub 2}Onanocomposite films were coated on a PET sheet. Black-Right-Pointing-Pointer The film resistance and application as angle sensor were investigated. Black-Right-Pointing-Pointer Thesensor showed a linear relation between the film resistance and the bending angle. Black-Right-Pointing-Pointer A minimum loop area and a high stability in sensitivity over a thousand bending cycles were obtained. - Abstract: A flexible angle sensor was prepared using an electrophoretic co-deposition process to form nanocomposite networks of multi-wall carbon nanotube/cupric oxide/cuprous oxide (MWNT/CuO/Cu{sub 2}O) on a polyethylene terephthalate (PET) sheet. The deposition method used copper and stainless steel electrodes, and the effects of varying of electrode separation, MWNT concentration in deionized water, voltage and deposition time were studied. The film resistance of the as-deposited samples decreased with increasing the MWNT concentration up to 0.3 mg/ml. The angle sensor showed a linear relation between the film resistance and the bending angle, a relationship that was illustrated with loop area and sensitivity data. The best angle sensor was successfully made with an electrode separation of 8 mm, a concentration of 0.3 mg/ml, a voltage of 10 V and a deposition time of 3 h, parameters that resulted in a minimum loop area and the most stability in sensitivity over a thousand bending cycles.

  6. Photophysical and photochemical investigations of fullerene presence in amorphous hydrogenated carbon films

    Science.gov (United States)

    Chen, J. Q.; Meeker, D. L.; Barashkov, N. N.

    1997-07-01

    The plasma-enhanced chemical vapor deposition system was used to grow amorphous hydrogenated carbon films deposited on silicon substrates. Extracts of the films were obtained by treatment with boiling cyclohexane solvent. The absorption spectra of these extracts showed the existence of small quantities of fullerenes. Using the molar extinction coefficient of C60 in cyclohexane, the mass of fullerenes in the films was estimated to be about 0.019 mg. C60 induced fluorescence quenching of anthracene was also observed. Additional evidence for the presence of fullerenes was based on their capability to accelerate the photo-oxidation of anthracene through the generation of singlet oxygen with a high quantum yield under ultraviolet irradiation.

  7. Influence of duration time of CVD process on emissive properties of carbon nanotubes films

    Directory of Open Access Journals (Sweden)

    Stępinska Izabela

    2015-03-01

    Full Text Available In this paper various types of films made of carbon nanotubes (CNTs are presented. These films were prepared on different substrates (Al2O3, Si n-type by the two-step method. The two-step method consists of physical vapor deposition step, followed by chemical vapor deposition step (PVD/CVD. Parameters of PVD process were the same for all initial films, while the duration times of the second step - the CVD process, were different (15, 30 min.. Prepared films were characterized by scanning electron microscopy (SEM, transmission electron microscopy (TEM and field emission (FE measurements. The I-E and F-N characteristics of electron emission were discussed in terms of various forms of CNT films. The value of threshold electric field ranged from few V/μm (for CNT dispersed rarely on the surface of the film deposited on Si up to ~20 V/μm (for Al2O3 substrate.

  8. Wet catalyst-support films for production of vertically aligned carbon nanotubes.

    Science.gov (United States)

    Alvarez, Noe T; Hamilton, Christopher E; Pint, Cary L; Orbaek, Alvin; Yao, Jun; Frosinini, Aldo L; Barron, Andrew R; Tour, James M; Hauge, Robert H

    2010-07-01

    A procedure for vertically aligned carbon nanotube (VA-CNT) production has been developed through liquid-phase deposition of alumoxanes (aluminum oxide hydroxides, boehmite) as a catalyst support. Through a simple spin-coating of alumoxane nanoparticles, uniform centimer-square thin film surfaces were coated and used as supports for subsequent deposition of metal catalyst. Uniform VA-CNTs are observed to grow from this film following deposition of both conventional evaporated Fe catalyst, as well as premade Fe nanoparticles drop-dried from the liquid phase. The quality and uniformity of the VA-CNTs are comparable to growth from conventional evaporated layers of Al(2)O(3). The combined use of alumoxane and Fe nanoparticles to coat surfaces represents an inexpensive and scalable approach to large-scale VA-CNT production that makes chemical vapor deposition significantly more competitive when compared to other CNT production techniques.

  9. Effects of annealing on the properties of atomic layer deposited Ru thin films deposited by NH{sub 3} and H{sub 2} as reactants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung-Joon; Kim, Soo-Hyun, E-mail: soohyun@ynu.ac.kr

    2016-08-01

    Atomic layer deposition (ALD) of Ru using a non-oxidizing reactant is indispensable considering its application as a seed layer for Cu electroplating and a bottom electrode for dynamic random access memory capacitors. In this study, ALD-Ru films were deposited using a sequential supply of dicarbonyl-bis(5-methyl-2,4-hexanediketonato) Ru(II) (C{sub 16}H{sub 22}O{sub 6}Ru) and potential non-oxidizing reducing agents, NH{sub 3} or H{sub 2}, as the reactants at a substrate temperature of 250 °C, and the effects of post-annealing in a H{sub 2} ambient on the film properties were investigated. The highly conformal deposition of Ru films was possible using the present reaction scheme but its resistivity was as high as ~ 750 μΩ-cm due to carbon incorporation into the film and the formation of an amorphous structure. Low temperature annealing at 300 °C at H{sub 2} ambient after deposition was found to improve the properties significantly in terms of the resistivity, impurities contents and crystallinity. For example, the film resistivity was decreased drastically to ~ 40 μΩ-cm with both the release of C in the film and crystallization after annealing based on secondary ion mass spectrometry and transmission electron microscopy, whereas perfect step coverage at a very small-sized dual trench (aspect ratio: ~ 3, the top opening size of 45 nm and bottom size of 20 nm) was maintained after annealing. - Highlights: • Ru thin films were deposited by atomic layer deposition (ALD) using NH{sub 3} and H{sub 2} molecules. • Effects of low temperature (300 °C) post-annealing on the film properties were investigated. • Post annealing improved the properties of ALD-Ru films. • Perfect step coverage of ALD-Ru was confirmed at trench structure (top opening width: 45 nm).

  10. Deposition and Tribological Properties of Sulfur-Doped DLC Films Deposited by PBII Method

    Directory of Open Access Journals (Sweden)

    Nutthanun Moolsradoo

    2010-01-01

    Full Text Available Sulfur-doped diamond-like carbon films (S-DLC fabricated from C2H2 and SF6 mixtures were used to study the effects of sulfur content and negative pulse bias voltage on the deposition and tribological properties of films prepared by plasma-based ion implantation (PBII. The structure and relative concentration of the films were analyzed by Raman spectroscopy and Auger electron spectroscopy. Hardness and elastic modulus of films were measured by nanoindentation hardness testing. Tribological characteristics of films were performed using a ball-on-disk friction tester. The results indicate that with the increasing sulfur content, the hardness and elastic modulus decrease. Additionally, by changing the negative pulse bias voltage from 0 kV to −5 kV, the hardness and elastic modulus increase, while the friction coefficient and specific wear rate tends to decrease. Moreover, at a negative pulse bias voltage of −5 kV and flow-rate ratio of 1 : 2, there is considerable improvement in friction coefficient of 0.05 under ambient air is due to the formation of a transfer films on the interface. The decrease in the friction coefficient of films doped with 4.9 at.% sulfur is greater under high vacuum (0.03 than under ambient air (>0.1.

  11. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  12. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  13. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  14. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  15. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  16. Effect of helium gas pressure on dc conduction mechanism and EMI shielding properties of nanocrystalline carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rawal, Ishpal, E-mail: rawalishpal@gmail.com [Department of Physics, Kirori Mal College, University of Delhi, Delhi 110007 (India); Panwar, O.S., E-mail: ospanwar@mail.nplindia.ernet.in [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India); Tripathi, R.K. [Polymorphic Carbon Thin Films Group, Physics of Energy Harvesting Division, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India); Singh, Avanish Pratap; Dhawan, S.K. [Polymeric and Soft Materials Group, Physics Engineering of Carbon, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India); Srivastava, A.K. [Electron and Ion Microscopy, Sophisticated and Analytical Instruments, CSIR-National Physical Laboratory, Dr. K. S. Krishnan Road, New Delhi 110012 (India)

    2015-05-05

    This paper reports the effect of helium partial pressures ∼1.2 × 10{sup −5} (base pressure), 1.4 × 10{sup −4}, 8.6 × 10{sup −3} and 0.1 mbar on the variable range hopping conduction in nanocrystalline carbon thin films deposited by filtered cathodic jet carbon arc technique. High resolution transmission electron microscopy studies suggest the random distribution of nanocrystallites (∼3–7 nm) in the amorphous matrix. The DC conduction behavior of the deposited nanocrystalline films has been studied in the light of Mott's variable range hopping (VRH) model and found to obey three dimensional VRH conduction. The randomly distributed nanocrystallites in amorphous matrix may lead to change in the distribution of density of states near Fermi level and hence, the conduction behavior. The enhanced electrical conductivity of the deposited films due to the helium environment makes them suitable for electromagnetic interference shielding applications. The sample deposited at a helium partial pressure of 0.1 mbar has a value of shielding effectiveness ∼7.84 dB at 18 GHz frequency. - Highlights: • Nanocrystalline carbon thin films (NCTF) has been deposited by FCJCA technique. • Effect of helium gas pressure has been studied on the properties of NCTF. • Investigation of EMI shielding properties of NCTF has been carried out.

  17. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    Energy Technology Data Exchange (ETDEWEB)

    Durrant, Steven F. [Laboratorio de Plasmas Tecnologicos, Campus Experimental de Sorocaba, Universidade Estadual Paulista-UNESP, Avenida Tres de Marco, 511, Alto da Boa Vista, 18087-180, Soracaba, SP (Brazil)], E-mail: steve@sorocaba.unesp.br; Rouxinol, Francisco P.M.; Gelamo, Rogerio V. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Trasferetti, B. Claudio [Present address: Superintendencia Regional da Policia Federal em Sao Paulo, Setor Tecnico-Cientifico, Rua Hugo d' Antola 95/10o Andar, Lapa de Baixo, 05038-090 Sao Paulo, SP (Brazil); Davanzo, C.U. [Instituto de Quimica, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil); Bica de Moraes, Mario A. [Instituto de Fisica Gleb Wataghin, Universidade Estadual de Campinas, 13083-970, Campinas, SP (Brazil)

    2008-01-15

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V{sub S}) and of the proportion of TEOS in the mixture (X{sub T}) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V{sub S} and X{sub T} are presented.

  18. Characterization of Si:O:C:H films fabricated using electron emission enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Durrant, Steven F.; Rouxinol, Francisco P.M.; Gelamo, Rogerio V.; Trasferetti, B. Claudio; Davanzo, C.U.; Bica de Moraes, Mario A.

    2008-01-01

    Silicon-based polymers and oxides may be formed when vapours of oxygen-containing organosilicone compounds are exposed to energetic electrons drawn from a hot filament by a bias potential applied to a second electrode in a controlled atmosphere in a vacuum chamber. As little deposition occurs in the absence of the bias potential, electron impact fragmentation is the key mechanism in film fabrication using electron-emission enhanced chemical vapour deposition (EEECVD). The feasibility of depositing amorphous hydrogenated carbon films also containing silicon from plasmas of tetramethylsilane or hexamethyldisiloxane has already been shown. In this work, we report the deposition of diverse films from plasmas of tetraethoxysilane (TEOS)-argon mixtures and the characterization of the materials obtained. The effects of changes in the substrate holder bias (V S ) and of the proportion of TEOS in the mixture (X T ) on the chemical structure of the films are examined by infrared-reflection absorption spectroscopy (IRRAS) at near-normal and oblique incidence using unpolarised and p-polarised, light, respectively. The latter is particularly useful in detecting vibrational modes not observed when using conventional near-normal incidence. Elemental analyses of the film were carried out by X-ray photoelectron spectroscopy (XPS), which was also useful in complementary structural investigations. In addition, the dependencies of the deposition rate on V S and X T are presented

  19. Controlling thin film structure for the dewetting of catalyst nanoparticle arrays for subsequent carbon nanofiber growth

    International Nuclear Information System (INIS)

    Randolph, S J; Fowlkes, J D; Melechko, A V; Klein, K L; III, H M Meyer; Simpson, M L; Rack, P D

    2007-01-01

    Vertically aligned carbon nanofiber (CNF) growth is a catalytic chemical vapor deposition process in which structure and functionality is controlled by the plasma conditions and the properties of the catalyst nanoparticles that template the fiber growth. We have found that the resultant catalyst nanoparticle network that forms by the dewetting of a continuous catalyst thin film is dependent on the initial properties of the thin film. Here we report the ability to tailor the crystallographic texture and composition of the nickel catalyst film and subsequently the nanoparticle template by varying the rf magnetron sputter deposition conditions. After sputtering the Ni catalyst thin films, the films are heated and exposed to an ammonia dc plasma, to chemically reduce the native oxide on the films and induce dewetting of the film to form nanoparticles. Subsequent nanoparticle treatment in an acetylene plasma at high substrate temperature results in CNF growth. Evidence is presented that the texture and composition of the nickel thin film has a significant impact on the structure and composition of the formed nanoparticle, as well as the resultant CNF morphology. Nickel films with a preferred (111) or (100) texture were produced and conditions favoring interfacial silicidation reactions were identified and investigated. Both compositional and structural analysis of the films and nanoparticles indicate that the properties of the as-deposited Ni catalyst film influences the subsequent nanoparticle formation and ultimately the catalytic growth of the carbon nanofibers

  20. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  1. Gas desorption during friction of amorphous carbon films

    International Nuclear Information System (INIS)

    Rusanov, A; Fontaine, J; Martin, J-M; Mogne, T L; Nevshupa, R

    2008-01-01

    Gas desorption induced by friction of solids, i.e. tribodesorption, is one of the numerous physical and chemical phenomena, which arise during friction as result of thermal and structural activation of material in a friction zone. Tribodesorption of carbon oxides, hydrocarbons, and water vapours may lead to significant deterioration of ultra high vacuum conditions in modern technological equipment in electronic, optoelectronic industries. Therefore, knowledge of tribodesorption is crucial for the performance and lifetime of vacuum tribosystems. Diamond-like carbon (DLC) coatings are interesting materials for vacuum tribological systems due to their high wear resistance and low friction. Highly hydrogenated amorphous carbon (a-C:H) films are known to exhibit extremely low friction coefficient under high vacuum or inert environment, known as 'superlubricity' or 'superlow friction'. However, the superlow friction period is not always stable and then tends to spontaneous transition to high friction. It is supposed that hydrogen supply from the bulk to the surface is crucial for establishing and maintaining superlow friction. Thus, tribodesorption can serve also as a new technique to determine the role of gases in superlow friction mechanisms. Desorption of various a-C:H films, deposited by PECVD, ion-beam deposition and deposition using diode system, has been studied by means of ultra-high vacuum tribometer equipped with a mass spectrometer. It was found that in superlow friction period desorption rate was below the detection limit in the 0-85 mass range. However, transition from superlow friction to high friction was accompanied by desorption of various gases, mainly of H 2 and CH 4 . During friction transition, surfaces were heavily damaged. In experiments with DLC films with low hydrogen content tribodesorption was significant during the whole experiment, while low friction was not observed. From estimation of maximum surface temperature during sliding contact it

  2. Plasma-enhanced atomic layer deposition of silicon dioxide films using plasma-activated triisopropylsilane as a precursor

    International Nuclear Information System (INIS)

    Jeon, Ki-Moon; Shin, Jae-Su; Yun, Ju-Young; Jun Lee, Sang; Kang, Sang-Woo

    2014-01-01

    The plasma-enhanced atomic layer deposition (PEALD) process was developed as a growth technique of SiO 2 thin films using a plasma-activated triisopropylsilane [TIPS, ((iPr) 3 SiH)] precursor. TIPS was activated by an argon plasma at the precursor injection stage of the process. Using the activated TIPS, it was possible to control the growth rate per cycle of the deposited films by adjusting the plasma ignition time. The PEALD technique allowed deposition of SiO 2 films at temperatures as low as 50 °C without carbon impurities. In addition, films obtained with plasma ignition times of 3 s and 10 s had similar values of root-mean-square surface roughness. In order to evaluate the suitability of TIPS as a precursor for low-temperature deposition of SiO 2 films, the vapor pressure of TIPS was measured. The thermal stability and the reactivity of the gas-phase TIPS with respect to water vapor were also investigated by analyzing the intensity changes of the C–H and Si–H peaks in the Fourier-transform infrared spectrum of TIPS

  3. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  4. Rapid fabrication of transparent conductive films with controllable sheet resistance on glass substrates by laser annealing of diamond-like carbon films

    International Nuclear Information System (INIS)

    Lee, Keunhee; Ki, Hyungson

    2016-01-01

    We report a laser-based method for directly fabricating large-area, transparent conductive films with customizable electrical resistance on glass. In this method, a diamond-like carbon (DLC) film is deposited first on a glass substrate by pulsed laser deposition, which is then annealed in a helium shielding environment by a 2 kW continuous-wave fiber laser with a wavelength of 1070 nm, which is transparent to glass but is absorbed by DLC to transform the amorphous carbons to graphene. When a 510 nm thick film was annealed at a scanning speed of 1 m/s by a 200 μm top-hat laser beam, the sp 3 fraction was decreased from 43.1% to 8.1% after the annealing process, and the transformed film showed a transparency of ∼80% (at 550 nm) and a sheet resistance of ∼2050 Ω/sq. We also showed that sheet resistance and transparency can be controlled by changing processing parameters. To show the scalability of the method, a 15 mm wide line beam was used to produce a 15 mm × 15 mm film. This method is simple, fully scalable, transfer-free and catalyst-free, and we believe that the fabricated films can have many applications with further research, such as transparent heating films, electromagnetic shielding films, and transparent electrodes.

  5. Correlation of sp{sup 3} and sp{sup 2} fraction of carbon with electrical, optical and nano-mechanical properties of argon-diluted diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Dwivedi, Neeraj [Physics of Energy Harvesting Division, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi (India); Department of Physics, Indian Institute of Technology Delhi, New Delhi 110016 (India); Kumar, Sushil, E-mail: skumar@nplindia.org [Physics of Energy Harvesting Division, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi (India); Malik, H.K. [Department of Physics, Indian Institute of Technology Delhi, New Delhi 110016 (India); Govind [Surface Physics and Nano Structures Group, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi 110012 (India); Rauthan, C.M.S.; Panwar, O.S. [Physics of Energy Harvesting Division, National Physical Laboratory (CSIR), Dr. K.S. Krishnan Road, New Delhi (India)

    2011-05-15

    In the present work the correlation of electrical, optical and nano-mechanical properties of argon-diluted diamond-like carbon (Ar-DLC) thin films with sp{sup 3} and sp{sup 2} fractions of carbon have been explored. These Ar-DLC thin films have been deposited, under varying C{sub 2}H{sub 2} gas pressures from 25 to 75 mTorr, by radio frequency-plasma enhanced chemical vapor deposition technique. X-ray photoelectron spectroscopy studies are performed to estimate the sp{sup 3} and sp{sup 2} fractions of carbon by deconvoluting C 1s core level spectra. Various electrical, optical and nano-mechanical parameters such as conductivity, I-V characteristics, optical band gap, stress, hardness, elastic modulus, plastic resistance parameter, elastic recovery and plastic deformation energy have been estimated and then correlated with calculated sp{sup 3} and sp{sup 2} fractions of carbon and sp{sup 3}/sp{sup 2} ratios. Observed tremendous electrical, optical and nano-mechanical properties in Ar-DLC films deposited under high base pressure conditions made it a cost effective material for not only hard and protective coating applications but also for electronic and optoelectronic applications.

  6. The study of adhesion and nanomechanical properties of DLC films deposited on tool steels

    International Nuclear Information System (INIS)

    Chen, Kuan-Wei; Lin, Jen-Fin

    2009-01-01

    In this study, thin diamond-like carbon (DLC) films were deposited onto a steel substrate. By using the plasma immersion ion implantation (PIII) technique, a nitrogen layer was formed on the steel surface before depositing the DLC films. This PIII formed nitrogen layer, which acts as the buffer layer, has apparently increased the adhesion between the DLC film and the steel substrate. The microstructures, the nanomechanical properties, and the adhesion of the DLC were investigated by the techniques of X-ray diffraction (XRD), transmission electron microscopy (TEM), nanoindentation, and nanoscratch. Results show that the hardness and Young's modulus were significantly improved, up to 2 to 9 times; while the implantation depth and the microstructure of the nitrogen layers vary with nitrogen/hydrogen ratio (N:H = 1:0, 1:1, 1:3). Raman analyses indicate that the I(D)/I(G) ratio increases with the thickness of DLC film. By using the PIII technique in the steel substrate, the adhesion of the DLC film onto the substrate is greatly enhanced, and wear resistance is elevated if the DLC film is sufficiently thick.

  7. Physical and optical characterisation of carbon-silicon layers produced by rapid thermal chemical vapour deposition

    International Nuclear Information System (INIS)

    McBride, G.M.

    1994-04-01

    The Quplas II reactor is a novel chemical vapour deposition (CVD) system, which was recently designed and built at The Queen's University of Belfast. The system was intended to produce layers of Silicon (Si) for application in advanced bipolar transistor manufacture. It became clear that the system was capable of depositing novel materials such as Silicon-Carbon (Si-C) films which could have application as the emitter material in heterojunction bipolar transistors (HBT's) formed on silicon substrates. This work focuses mainly on the development of analytical techniques to allow characterisation of the deposited layers of Si-C and permit optimisation of both the process conditions and the deposition system. The techniques that were developed to characterise the Si-C films in terms of their physical and optical properties included: Secondary Ion Mass Spectroscopy (SIMS), X-Ray Diffractometry (XRD), Transmission and Scanning Electron Microscopy (TEM and SEM), Near Infrared (NIR) and Ultraviolet/Visible/Near Infrared (UV/VIS/NIR) Spectroscopy. From assessing the data obtained from the analysis of the samples using the techniques mentioned above, it was possible to characterise the Si-C films in terms of: stoichiometry, crystallinity, degree of oxygen contamination, thickness, optical roughness of the film/air and film/substrate interfaces, and energy bandgap. In the fabrication of Si-C films it was found to be necessary to use low process pressures in order to ensure that the film deposition was slow enough to allow for a more ordered growth process. This led to the formation of polycrystalline Si-C films which had greatly reduced levels of oxygen compared to earlier amorphous films. In addition the polycrystalline Si-C films tended to have optically rough film/air and film/substrate interfaces. For most samples it was possible to obtain the thickness of their Si-C films from their SIMS profiles. Based on the method of interferometry, the thickness of the Si-C films

  8. Synthesis and optical properties of BCxNy films deposited from N-triethylborazine and hydrogen mixture

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Rumyantsev, Yurii M.; Kesler, Valerii G.; Kosinova, Marina L.

    2015-01-01

    BC x N y films were obtained by plasma enhanced chemical vapor deposition method using a single-source precursor N-triethylborazine and hydrogen as plasma activating gas. The effect of synthesis temperature on the chemical composition and properties of the BC x N y films was investigated. The BC x N y films were examined by scanning electron microscopy, Fourier transform infrared and Raman spectroscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, and ellipsometry and spectrophotometry techniques. These experimental results indicated that the BC x N y films produced at low temperatures (T dep ≤ 673 K) are the polymer-like hydrogenated films with high transparency up to 93% in the visible part of the spectrum. BC x N y films produced at high temperatures (> 673 K) contain additional phase of disordered carbon which has dramatically reduce transparency. The band gap of the films varied from 0.6 to 4.5 eV, with variation in deposition temperature. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-triethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • Optical band gap of the BC x N y layers varied from 0.6 to 4.5 eV

  9. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  10. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  11. Chemical vapour deposition growth and Raman characterization of graphene layers and carbon nanotubes

    Science.gov (United States)

    Lai, Y.-C.; Rafailov, P. M.; Vlaikova, E.; Marinova, V.; Lin, S. H.; Yu, P.; Yu, S.-C.; Chi, G. C.; Dimitrov, D.; Sveshtarov, P.; Mehandjiev, V.; Gospodinov, M. M.

    2016-02-01

    Single-layer graphene films were grown by chemical vapour deposition (CVD) on Cu foil. The CVD process was complemented by plasma enhancement to grow also vertically aligned multiwalled carbon nanotubes using Ni nanoparticles as catalyst. The obtained samples were characterized by Raman spectroscopy analysis. Nature of defects in the samples and optimal growth conditions leading to achieve high quality of graphene and carbon nanotubes are discussed.

  12. Biomimetic Cationic Nanoparticles Based on Silica: Optimizing Bilayer Deposition from Lipid Films

    Directory of Open Access Journals (Sweden)

    Rodrigo T. Ribeiro

    2017-10-01

    Full Text Available The optimization of bilayer coverage on particles is important for a variety of biomedical applications, such as drug, vaccine, and genetic material delivery. This work aims at optimizing the deposition of cationic bilayers on silica over a range of experimental conditions for the intervening medium and two different assemblies for the cationic lipid, namely, lipid films or pre-formed lipid bilayer fragments. The lipid adsorption on silica in situ over a range of added lipid concentrations was determined from elemental analysis of carbon, hydrogen, and nitrogen and related to the colloidal stability, sizing, zeta potential, and polydispersity of the silica/lipid nanoparticles. Superior bilayer deposition took place from lipid films, whereas adsorption from pre-formed bilayer fragments yielded limiting adsorption below the levels expected for bilayer adsorption.

  13. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  14. Effect of the ions energy in the physical properties of thin films of CNx deposited by laser ablation

    International Nuclear Information System (INIS)

    Arrieta C, A.; Escobar A, L.; Camps C, E.; Romero H, S.; Mejia H, J.A.; Gonzalez, P.R.; Camacho L, M.A.

    2004-01-01

    Thin films of carbon nitride were deposited using the laser ablation technique starting from a carbon target in atmosphere of N 2 , varying the fluence of the laser and maintaining fixed the distance target-substrate. It was diagnosed the formed plasma, being determined the average kinetic energy of the ions present in the plasma, as well as their density. The characterization of the deposited films includes composition, optical gap, chemical structure and microstructure. They were related the properties of the layers with the plasma parameters with the purpose of clarifying that paper plays in the growth of the layer. Additionally it was studied their thermoluminescent response to being excited with UV radiation. (Author)

  15. Chemical structural analysis of diamondlike carbon films: I. Surface growth model

    Science.gov (United States)

    Takabayashi, Susumu; Ješko, Radek; Shinohara, Masanori; Hayashi, Hiroyuki; Sugimoto, Rintaro; Ogawa, Shuichi; Takakuwa, Yuji

    2018-02-01

    The surface growth mechanisms of diamondlike carbon (DLC) films has been clarified. DLC films were synthesized in atmospheres with a fixed methane-to-argon ratio at different temperatures up to 700 °C by the photoemission-assisted glow discharge of photoemission-assisted plasma-enhanced chemical vapor deposition. The electrical resistivity of the films decreased logarithmically as the synthesis temperature was increased. Conversely, the dielectric constant of the films increased and became divergent at high temperature. However, the very high electrical resistivity of the film synthesized at 150 °C was retained even after post-annealing treatments at temperatures up to 500 °C, and divergence of the dielectric constant was not observed. Such films exhibited excellent thermal stability and retained large amounts of hydrogen, even after post-annealing treatments. These results suggest that numerous hydrogen atoms were incorporated into the DLC films during synthesis at low temperatures. Hydrogen atoms terminate carbon dangling bonds in the films to restrict π-conjugated growth. During synthesis at high temperature, hydrogen was desorbed from the interior of the growing films and π-conjugated conductive films were formed. Moreover, hydrogen radicals were chemisorbed by carbon atoms at the growing DLC surface, leading to removal of carbon atoms from the surface as methane gas. The methane molecules decomposed into hydrocarbons and hydrogen radicals through the attack of electrons above the surface. Hydrogen radicals contributed to the etching reaction cycle of the film; the hydrocarbon radicals were polymerized by reacting with other radicals and the methane source. The polymer radicals remained above the film, preventing the supply of the methane source and disrupting the action of argon ions. At high temperatures, the resultant DLC films were rough and thin.

  16. Photophysical and photochemical investigations of fullerene presence in amorphous hydrogenated carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Chen, J.Q.; Meeker, D.L. [The Physics Program, University of Texas at Dallas, Richardson, Texas 75083 (United States); Barashkov, N.N. [Department of Chemistry, University of Texas at Dallas, Richardson, Texas 75083 (United States)

    1997-07-01

    The plasma-enhanced chemical vapor deposition system was used to grow amorphous hydrogenated carbon films deposited on silicon substrates. Extracts of the films were obtained by treatment with boiling cyclohexane solvent. The absorption spectra of these extracts showed the existence of small quantities of fullerenes. Using the molar extinction coefficient of C{sub 60} in cyclohexane, the mass of fullerenes in the films was estimated to be about 0.019 mg. C{sub 60} induced fluorescence quenching of anthracene was also observed. Additional evidence for the presence of fullerenes was based on their capability to accelerate the photo-oxidation of anthracene through the generation of singlet oxygen with a high quantum yield under ultraviolet irradiation. {copyright} {ital 1997 American Institute of Physics.}

  17. Surface energy of amorphous carbon films containing iron

    International Nuclear Information System (INIS)

    Chen, J. S.; Lau, S. P.; Tay, B. K.; Chen, G. Y.; Sun, Z.; Tan, Y. Y.; Tan, G.; Chai, J. W.

    2001-01-01

    Iron containing diamond-like amorphous carbon (a-C:Fe) films were deposited by filtered cathodic vacuum arc technique. The influences of Fe content and substrate bias on the surface energy of the films were investigated. The surface energy of a-C:Fe films was determined by the contact angle measurement. Atomic force microscopy, Raman spectroscopy, and x-ray induced photoelectron spectroscopy were employed to analyze the origin of the variation of surface energy with various Fe content and substrate bias. It is found that the contact angle for water increases significantly after incorporating Fe into the films and the films become hydrophobic. The roughness of these films has no effect on the contact angle. The surface energy is reduced from 42.8 to 25 dyne/cm after incorporating Fe into the a-C film (10% Fe in the target), which is due to the reduction of both dispersive and polar component. The reduction in dispersive component is ascribed to the decrease of atomic density of the a-C:Fe films due to the increase in sp 2 bonded carbon. When sp 2 content increases to some extent, the atomic density remains constant and hence dispersive component does not change. The absorption of oxygen on the surface plays an important role in the reduction of the polar component for the a-C:Fe films. It is proposed that such network as (C n - O - Fe) - O - (Fe - O - C n ) may be formed and responsible for the reduction of polar component. [copyright] 2001 American Institute of Physics

  18. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  19. Micro-supercapacitors from carbide derived carbon (CDC) films on silicon chips

    Science.gov (United States)

    Huang, Peihua; Heon, Min; Pech, David; Brunet, Magali; Taberna, Pierre-Louis; Gogotsi, Yury; Lofland, Samuel; Hettinger, Jeffrey D.; Simon, Patrice

    2013-03-01

    Interdigitated on-chip micro-supercapacitors based on Carbide Derived Carbon (CDC) films were fabricated and tested. A titanium carbide (TiC) film was patterned and treated with chlorine to obtain a TiC derived carbon (TiC-CDC) film, followed by the deposition of two types of current collectors (Ti/Au and Al) using standard micro-fabrication processes. CDC based micro-supercapacitors were electrochemically characterized by cyclic voltammetry and impedance spectroscopy using a 1 M tetraethylammonium tetrafluoroborate, NEt4BF4, in propylene carbonate (PC) electrolyte. A capacitance of 0.78 mF for the device and 1.5 mF cm-2 as the specific capacitance for the footprint of the device was measured for a 2 V potential range at 100 mV s-1. A specific energy of 3.0 mJ cm-2 and a specific power of 84 mW cm-2 were calculated for the devices. These devices provide a pathway for fabricating pure carbon-based micro-supercapacitors by micro-fabrication, and can be used for powering micro-electromechanical systems (MEMS) and electronic devices.

  20. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  1. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  2. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuanyong; Chu, Paul K.; Ding Chuanxian

    2007-01-01

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans

  3. Formation of apatite on hydrogenated amorphous silicon (a-Si:H) film deposited by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Liu Xuanyong [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China) and Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: xyliu@mail.sic.ac.cn; Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)]. E-mail: paul.chu@cityu.edu.hk; Ding Chuanxian [Shanghai Institute of Ceramics, Chinese Academy of Sciences, 1295 Dingxi Road, Shanghai 200050 (China)

    2007-01-15

    Hydrogenated amorphous silicon films were fabricated on p-type, 100 mm diameter <1 0 0> silicon wafers by plasma-enhanced chemical vapor deposition (PECVD) using silane and hydrogen. The structure and composition of the hydrogenated amorphous silicon films were investigated using micro-Raman spectroscopy and cross-sectional transmission electron microscopy (XTEM). The hydrogenated amorphous silicon films were subsequently soaked in simulated body fluids to evaluate apatite formation. Carbonate-containing hydroxyapatite (bone-like apatite) was formed on the surface suggesting good bone conductivity. The amorphous structure and presence of surface Si-H bonds are believed to induce apatite formation on the surface of the hydrogenated amorphous silicon film. A good understanding of the surface bioactivity of silicon-based materials and means to produce a bioactive surface is important to the development of silicon-based biosensors and micro-devices that are implanted inside humans.

  4. Tensile test of a silicon microstructure fully coated with submicrometer-thick diamond like carbon film using plasma enhanced chemical vapor deposition method

    Science.gov (United States)

    Zhang, Wenlei; Uesugi, Akio; Hirai, Yoshikazu; Tsuchiya, Toshiyuki; Tabata, Osamu

    2017-06-01

    This paper reports the tensile properties of single-crystal silicon (SCS) microstructures fully coated with sub-micrometer thick diamond like carbon (DLC) film using plasma enhanced chemical vapor deposition (PECVD). To minimize the deformations or damages caused by non-uniform coating of DLC, which has high compression residual stress, released SCS specimens with the dimensions of 120 µm long, 4 µm wide, and 5 µm thick were coated from the top and bottom side simultaneously. The thickness of DLC coating is around 150 nm and three different bias voltages were used for deposition. The tensile strength improved from 13.4 to 53.5% with the increasing of negative bias voltage. In addition, the deviation in strength also reduced significantly compared to bare SCS sample.

  5. Modeling and simulation of NiO dissolution and Ni deposition in molten carbonate fuel cells

    Energy Technology Data Exchange (ETDEWEB)

    Nam, Suk Woo; Choi, Hyung-Joon; Lim, Tae Hoon [Korea Institute of Science & Technology, Seoul (Korea, Republic of)] [and others

    1996-12-31

    Dissolution of NiO cathode into the electrolyte matrix is an important phenomena limiting the lifetime of molten carbonate fuel cell (MCFC). The dissolved nickel diffuses into the matrix and is reduced by dissolved hydrogen leading to the formation of metallic nickel films in the pores of the matrix. The growth of Ni films in the electrolyte matrix during the continuous cell operation results eventually in shorting between cathode and anode. Various mathematical and empirical models have been developed to describe the NiO dissolution and Ni deposition processes, and these models have some success in estimating the lifetime of MCFC by correlating the amount of Ni deposited in the matrix with shorting time. Since the exact mechanism of Ni deposition was not well understood, deposition reaction was assumed to be very fast in most of the models and the Ni deposition region was limited around a point in the matrix. In fact, formation of Ni films takes place in a rather broad region in the matrix, the location and thickness of the film depending on operating conditions as well as matrix properties. In this study, we assumed simple reaction kinetics for Ni deposition and developed a mathematical model to get the distribution of nickel in the matrix.

  6. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  7. Silver nanoparticle–carbon nanotube hybrid films: Preparation and electrochemical sensing

    International Nuclear Information System (INIS)

    Yu Aimin; Wang, Qingxia; Yong, Jiawey; Mahon, Peter J.; Malherbe, Francois; Wang Feng; Zhang Haili; Wang, James

    2012-01-01

    Multi-walled carbon nanotube (MWCNT) multilayer thin films with controlled thickness were pre-assembled on electrodes by alternatively depositing MWCNT and poly(diallyldimethylammonium chloride) (PDDA) via a layer-by-layer self-assembly technique. Silver nanoparticles (Ag NPs) were then electro-deposited on the MWCNT surface from AgNO 3 solution using a potentiostatic double pulse technique. The size, density and morphology of silver nanoparticles that electrodeposited on MWCNT were controlled by the pulse parameters. When a voltage pulse of −600 mV was used to nucleate silver nanoparticles and a growth pulse of −105 mV was applied to grow the particles, silver particles of 10–500 nm with varied density could be electro-generated on MWCNT surface. The formation of Ag NPs and the morphology of the MWCNT/Ag NP composite films were characterized by scanning electron microscopy (SEM). The MWCNT/Ag NP composite films exhibited excellent electrocatalytic activity to the reduction of hydrogen peroxide which was also shown to be slightly affected by the size and density of Ag NPs on the film.

  8. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  9. Gold nanoparticle formation in diamond-like carbon using two different methods: Gold ion implantation and co-deposition of gold and carbon

    International Nuclear Information System (INIS)

    Salvadori, M. C.; Teixeira, F. S.; Araújo, W. W. R.; Sgubin, L. G.; Cattani, M.; Spirin, R. E.; Brown, I. G.

    2012-01-01

    We describe work in which gold nanoparticles were formed in diamond-like carbon (DLC), thereby generating a Au-DLC nanocomposite. A high-quality, hydrogen-free DLC thin film was formed by filtered vacuum arc plasma deposition, into which gold nanoparticles were introduced using two different methods. The first method was gold ion implantation into the DLC film at a number of decreasing ion energies, distributing the gold over a controllable depth range within the DLC. The second method was co-deposition of gold and carbon, using two separate vacuum arc plasma guns with suitably interleaved repetitive pulsing. Transmission electron microscope images show that the size of the gold nanoparticles obtained by ion implantation is 3-5 nm. For the Au-DLC composite obtained by co-deposition, there were two different nanoparticle sizes, most about 2 nm with some 6-7 nm. Raman spectroscopy indicates that the implanted sample contains a smaller fraction of sp 3 bonding for the DLC, demonstrating that some sp 3 bonds are destroyed by the gold implantation.

  10. Influence of thermal heating on diamond-like carbon film properties prepared by filtered cathodic arc

    International Nuclear Information System (INIS)

    Khamnualthong, N.; Siangchaew, K.; Limsuwan, P.

    2013-01-01

    Tetrahedral amorphous diamond-like carbon (ta-DLC) films were deposited on magnetic recording heads using the filtered cathodic arc method. The deposited film thickness was on the order of several nanometers. The DLC films were then annealed to 100 °C–300 °C for 30 and 60 min, and the structure of the ta-DLC films was investigated using Raman spectroscopy, where the gross changes were observed in the Raman D and G peaks. Detailed interpretation concluded that there was sp 2 clustering as a function of temperature, and there was no sp 3 -to-sp 2 conversion after heating up to 300 °C. Furthermore, X-ray photoelectron spectroscopy suggested that oxidation of both the ta-DLC film and the adhesion layer occurs at 300 °C. Additionally, more film wear was observed with heating as measured by a nanoindenter. - Highlights: • Tetrahedral-amorphous diamond-like carbon (ta-DLC) by filtered cathodic arc • ta-DLC used in magnetic recording head as head overcoat • ta-DLC thickness range of less than 2 nm • ta-DLC property dependence on heating • Temperature effect range of up to 300 °C

  11. Carbon contaminant in the ion processing of aluminum oxide film

    International Nuclear Information System (INIS)

    Chaug, Y.; Roy, N.

    1989-01-01

    Ion processing can induce contamination on the bombarded surface. However, this process is essential for the microelectronics device fabrication. Auger electron spectroscopy has been used to study the simultaneous deposition of carbon impurity during ion bombardment of magnetron rf-sputtering deposited aluminum oxide film. Ion bombardment on aluminum oxide results in a preferential removal of surface oxygen and a formation of a metastable state of aluminum suboxide. Cosputtered implanted carbon contaminant appears to have formed a new state of stoichiometry on the surface of the ion bombarded aluminum oxide and existed as an aluminum carbide. This phase has formed due to the interaction of the implanted carbon and the aluminum suboxide. The Ar + ion sputter etching rate is reduced for the carbon contaminated oxide. The electrical resistance of the aluminum oxide between two gold strips has been measured. It is found that the electrical resistance is also reduced due to the formation of the new stoichiometry on the surface

  12. Gas barrier properties of titanium oxynitride films deposited on polyethylene terephthalate substrates by reactive magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lin, M.-C. [Department of Materials Science and Engineering, National ChungHsin University, 250, Kuo-Kung Road, 40227 Taichung, Taiwan (China); Chang, L.-S. [Department of Materials Science and Engineering, National ChungHsin University, 250, Kuo-Kung Road, 40227 Taichung, Taiwan (China)], E-mail: lschang@dragon.nchu.edu.tw; Lin, H.C. [Department of Materials Science and Engineering, National Taiwan University, 1, Roosevelt Road, Sec. 4, 106 Taipei, Taiwan (China)

    2008-03-30

    Titanium oxynitride (TiN{sub x}O{sub y}) films were deposited on polyethylene terephthalate (PET) substrates by means of a reactive radio frequency (RF) magnetron sputtering system in which the power density and substrate bias were the varied parameters. Experimental results show that the deposited TiN{sub x}O{sub y} films exhibited an amorphous or a columnar structure with fine crystalline dependent on power density. The deposition rate increases significantly in conjunction as the power density increases from 2 W/cm{sup 2} to 7 W/cm{sup 2}. The maximum deposition rate occurs, as the substrate bias is -40 V at a certain power densities chosen in this study. The film's roughness slightly decreases with increasing substrate bias. The TiN{sub x}O{sub y} films deposited at power densities above 4 W/cm{sup 2} show a steady Ti:N:O ratio of about 1:1:0.8. The water vapor and oxygen transmission rates of the TiN{sub x}O{sub y} films reach values as low as 0.98 g/m{sup 2}-day-atm and 0.60 cm{sup 3}/m{sup 2}-day-atm which are about 6 and 47 times lower than those of the uncoated PET substrate, respectively. These transmission rates are comparable to those of DLC, carbon-based and Al{sub 2}O{sub 3} barrier films. Therefore, TiN{sub x}O{sub y} films are potential candidates to be used as a gas permeation barrier for PET substrate.

  13. Heating effect of substrate of pulsed laser ablation deposition technique towards the orientation of carbon microstructure

    International Nuclear Information System (INIS)

    Choy, L.S.; Irmawati Ramli; Noorhana Yahya; Abdul Halim Shaari

    2009-01-01

    Full text: Carbon thin film has been successfully deposited by second harmonic Nd:YAG pulsed laser ablation deposition, PLAD. The topology and morphology of the deposited layers was studied by scanning electron microscopy (SEM) whereas emission dispersion X-ray (EDX) was used to determine the existence of elements that constitutes the microstructure. Substrate heated at 500 degree Celsius during the laser ablation showed the most homogenous lollipop microstructure as compared to mainly pillars of microstructure ablated at lower substrate temperature. It is found that this also avoid further diffusion of carbon into catalyst in forming iron carbide. (author)

  14. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  15. Electrochemical preparation of carbon films with a Mo{sub 2}C interlayer in LiCl-NaCl-Na{sub 2}CO{sub 3} melts

    Energy Technology Data Exchange (ETDEWEB)

    Ge, Jianbang; Wang, Shuai; Zhang, Feng; Zhang, Long; Jiao, Handong [State Key Laboratory of Advanced Metallurgy, University of Science and Technology Beijing, Beijing, 100083 (China); Zhu, Hongmin [Department of Metallurgy, Materials Science, and Materials Processing, Tohoku University, Sendai 980-8579 (Japan); Jiao, Shuqiang, E-mail: sjiao@ustb.edu.cn [State Key Laboratory of Advanced Metallurgy, University of Science and Technology Beijing, Beijing, 100083 (China)

    2015-08-30

    Highlights: • The electrodeposition of carbon films with a Mo{sub 2}C interlayer. • Carbon diffusion engenders the formation of Mo{sub 2}C interlayer. • The Mo{sub 2}C interlayer has a good adhesion. - Abstract: The electrodeposition of carbon films with a Mo{sub 2}C interlayer was investigated in LiCl-NaCl-Na{sub 2}CO{sub 3} melts at 900 °C. Cyclic voltammetry was applied to study the electrochemical reaction mechanism on Mo and Pt electrodes, indicating that, two reduction reactions including carbon deposition and carbon monoxide evolution, may take place on the two electrodes simultaneously during the cathodic sweep. Carbon films with a continuous Mo{sub 2}C interlayer were prepared by constant voltage electrolysis, showing a good adhesion between Mo substrate and carbon films. The carbon films with a Mo{sub 2}C interlayer were characterized using X-ray diffraction measurement, Raman spectroscopy, scanning electron microscopy and transmission electron microscopy. The results reveal that carbon materials deposited on the electrodes are mainly composed of graphite and carbon diffusion in Mo (or Mo{sub 2}C) leads to the formation and growth of Mo{sub 2}C interlayer.

  16. Optical and electrochromic properties of sol-gel deposited Ti- doped vanadium oxide films

    International Nuclear Information System (INIS)

    Oezer, N.; Sabuncu, S.

    1997-01-01

    Because of the yellowish color, vanadium oxide films in the as deposited state is not as favorable as transparent coatings for most elector chromic devices. an interesting possibility to alter the yellowish colours is the doping with other non-absorbing metal oxides. Ti doped vanadium oxide films with various amounts of titanium were synthesized and investigated as transparent counter electrodes for electrochromic transmissive device application. Electrochromic titanium doped vanadium pentoxide (V sub 2 O 5) coatings were prepared by the sol-gel dip coating technique. The coating solutions were synthesized from vanadium tri(isopropoxide) precursors. X-ray diffraction (XRD) studies showed that the sol-gel deposited doped films heat treated at temperatures below 350 degree centigrade, were amorphous, whereas hose heat treated at higher temperatures were slight y crystalline. The optical and electrochemical properties of the Ti doped vanadium oxide films has been investigated in 0.1 m LiClO sub 4 propylene carbonate solution color changes by dropping were noted for all investigated films exhibits good electrochemical cycling (CV) measurements also showed that Ti doped V sub 2 O sub 5 films exhibits good electrochemical cycling reversibility, 'in situ' optical measurement revealed that those films exhibits good electrochemical cycling the spectra range 300 < lambda < 800 nm and change color between yellow and light green. The change in visible transmittance was 25 % for 5% Ti doped film. (author)

  17. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  18. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  19. Carbon films produced from ionic liquid carbon precursors

    Science.gov (United States)

    Dai, Sheng; Luo, Huimin; Lee, Je Seung

    2013-11-05

    The invention is directed to a method for producing a film of porous carbon, the method comprising carbonizing a film of an ionic liquid, wherein the ionic liquid has the general formula (X.sup.+a).sub.x(Y.sup.-b).sub.y, wherein the variables a and b are, independently, non-zero integers, and the subscript variables x and y are, independently, non-zero integers, such that ax=by, and at least one of X.sup.+ and Y.sup.- possesses at least one carbon-nitrogen unsaturated bond. The invention is also directed to a composition comprising a porous carbon film possessing a nitrogen content of at least 10 atom %.

  20. Electrochemical deposition of gold nanoparticles on carbon nanotube coated glassy carbon electrode for the improved sensing of tinidazole

    International Nuclear Information System (INIS)

    Shahrokhian, Saeed; Rastgar, Shokoufeh

    2012-01-01

    The electrochemical reduction of tinidazole (TNZ) is studied on gold-nanoparticle/carbon-nanotubes (AuNP/CNT) modified glassy carbon electrodes using the linear sweep voltammetry. An electrochemical procedure was used for the deposition of gold nanoparticles onto the carbon nanotube film pre-cast on a glassy carbon electrode surface. The resulting nanoparticles were characterized by scanning electron microscopy and cyclic voltammetry. The effect of the electrodeposition conditions, e.g., salt concentration and deposition time on the response of the electrode was studied. Also, the effect of experimental parameters, e.g., potential and time of accumulation, pH of the buffered solutions and the potential sweep rate on the response is examined. Under the optimal conditions, the modified electrode showed a wide linear response toward the concentration of TNZ in the range of 0.1–50 μM with a detection limit of 10 nM. The prepared electrode was successfully applied for the determination of TNZ in pharmaceutical and clinical samples.

  1. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  2. Carbon deposition and hydrogen retention in tokamak

    International Nuclear Information System (INIS)

    Tanabe, Tetsuo

    2006-01-01

    The results of measurements on co-deposition of hydrogen isotopes and wall materials, hydrogen retention, redeposition of carbon and deposition of hydrogen on PMI of JT-60U are described. From above results, selection of plasma facing material and ability of carbon wall is discussed. Selection of plasma facing materials in fusion reactor, characteristics of carbon materials as the plasma facing materials, erosion, transport and deposition of carbon impurity, deposition of tritium in JET, results of PMI in JT-60, application of carbon materials to PFM of ITER, and future problems are stated. Tritium co-deposition in ITER, erosion and transport of carbon in tokamak, distribution of tritium deposition on graphite tile used as bumper limiter of TFTR, and measurement results of deposition of tritium on the Mark-IIA divertor tile and comparison between them are described. (S.Y.)

  3. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  4. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  5. Laser Raman microprobe spectroscopy as a diagnostic for the characterisation of diamond and diamond like carbon (DLC) thin films

    International Nuclear Information System (INIS)

    Johnston, C.

    1990-10-01

    Invariably when manufacturing an artificial diamond film a mixture of carbon is deposited - tetragonally bonded (diamond), trigonally bonded (graphite) and other allotropic crystalline forms and amorphous carbons. This imposes a need for careful analysis to determine exactly what carbon types constitute the films. Raman spectroscopy is particularly sensitive to crystal and atomic structure and has a number of advantages which make it one of the most useful techniques for interrogating diamond and DLC thin films. Although Raman spectroscopy alone cannot fully characterise the film, it can give more information than simply what particular form of carbon or other impurities are present in the film. It can be used to determine the ratio of sp 2 to sp 3 bonding within the film, and to some extent the crystallite or domain size and the internal stress of the film. The use of laser Raman microprobe spectroscopy as a diagnostic tool in the analysis of diamond and DLC thin films is demonstrated for a variety of carbon films on various substrates and the characterisation of these films is discussed. (author)

  6. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  7. ELECTROPHORETIC DEPOSITION OF TIO2-MULTI-WALLED CARBON NANOTUBE COMPOSITE COATINGS: MORPHOLOGICAL STUDY

    Directory of Open Access Journals (Sweden)

    M. S. MAHMOUDI JOZEE

    2016-09-01

    Full Text Available A homogenous TiO2 / multi-walled carbon nanotubes(MWCNTs composite film were prepared by electrophoretic co-deposition from organic suspension on a stainless steel substrate.  In this study, MWCNTs was incorporated to the coating because of their long structure and their capability to be functionalized by different inorganic groups on the surface. FTIR spectroscopy showed the existence of carboxylic groups on the modified carbon nanotubes surface. The effect of applied electrical fields, deposition time and concentration of nanoparticulates on coatings morphology were investigated by scanning electron microscopy. It was found that combination of MWCNTs within TiO2 matrix eliminating micro cracks presented on TiO2 coating. Also, by increasing the deposition voltages, micro cracks were increased. SEM observation of the coatings revealed that TiO2/multi-walled carbon nanotubes coatings produced from optimized electric field was uniform and had good adhesive to the substrate.

  8. Plasma effects in aligned carbon nanoflake growth by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Wang, B.B. [College of Chemistry and Chemical Engineering, Chongqing University of Technology, 69 Hongguang Rd, Lijiatuo, Banan District, Chongqing 400054 (China); Zheng, K. [Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Cheng, Q.J., E-mail: qijin.cheng@xmu.edu.cn [School of Energy Research, Xiamen University, Xiamen 361005 (China); Ostrikov, K. [Plasma Nanoscience Center Australia (PNCA), Manufacturing Flagship, Commonwealth Scientific and Industrial Research Organization, PO Box 218, Lindfield 2070, NSW (Australia); Institute for Future Environments and School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane 4000, QLD (Australia); Plasma Nanoscience, School of Physics, The University of Sydney, Sydney 2006, NSW (Australia)

    2015-01-15

    Highlights: • Plasma-specific effects in the growth of carbon nanoflakes (CNFs) are studied. • Electic field in the plasma sheath promotes separation of CNFs from the substrate. • The orentention of GNFs is related to the combined electic force and growth effects. • The high growth grates of aligned GNFs are plasma-related. - Abstract: Carbon nanofilms are directly grown on silicon substrates by plasma-enhanced hot filament chemical vapor deposition in methane environment. It is shown that the nanofilms are composed of aligned carbon nanoflakes by extensive investigation of experimental results of field emission scanning electron microscopy, micro-Raman spectroscopy and transmission electron microscopy. In comparison with the graphene-like films grown without plasmas, the carbon nanoflakes grow in an alignment mode and the growth rate of the films is increased. The effects of the plasma on the growth of the carbon nanofilms are studied. The plasma plays three main effects of (1) promoting the separation of the carbon nanoflakes from the silicon substrate, (2) accelerating the motion of hydrocarbon radicals, and (3) enhancing the deposition of hydrocarbon ions onto the substrate surface. Due to these plasma-specific effects, the carbon nanofilms can be formed from the aligned carbon nanoflakes with a high rate. These results advance our knowledge on the synthesis, properties and applications of graphene-based materials.

  9. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  10. Comparison between radical- and energetic ion-induced growth of SiCxNy films in plasma immersion ion implantation and deposition

    International Nuclear Information System (INIS)

    Afanasyev-Charkin, I.V.; Nastasi, M.

    2004-01-01

    Ternary SiC x N y compounds are materials with some remarkable properties such as high oxidation resistance and high hardness. In this work we compare the properties of SiC x N y films obtained using radio-frequency (rf) and pulsed glow discharge (PGD) plasmas with combinations of SiH 4 , C 2 H 2 , N 2 , and Ar source gases. The pulsed voltage used for the rf deposition was 200 V and for the PGD deposition it was 4 kV. During the rf growth, the growth takes place mostly by attaching neutral radicals to form chemical bonds. In the PGD method, the deposition takes place by subplantation and surface activation by energetic ions. We show that in the case of low-energy RF deposition, a high relative number of C-N bonds with sp 3 hybridization is formed and very few Si-C bonds can be observed. Apparently the growth of carbon nitride and silicon nitride networks takes place independently. This indicates that SiH 3 radicals attach to the dangling bonds of silicon and nitrogen, whereas C 2 H radicals attach to the dangling bonds of carbon and nitrogen. During pulsed glow discharge deposition, bonds between all three components are formed apparently by means of subplantation and damage-induced disorder. The hardness of the PGD films exceed that of the RF films, showing that to form a dense SiC x N y film one has to either supply energy during the growth of the films by heating the substrate, as in the case of chemical vapor deposition or by using energetic ions

  11. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  12. Evaluation of optical properties of the amorphous carbon film on fused silica

    International Nuclear Information System (INIS)

    Baydogan, Nilguen Dogan

    2004-01-01

    Deposition was done using a pulsed filtered cathodic arc with a graphite cathode. The carbon plasma is fully ionised and condenses on the substrate, forming diamond-like material but with amorphous structure. Optical properties of amorphous carbon films on fused-silica glass were investigated and the curves of optical density have a characteristic band at approximately 950 nm. Changes of the colourimetric quantities were evaluated and compared to uncoated fused silica glass. These changes were investigated as a function of the applied substrate bias voltage using the CIE and CIELAB colour systems. It is suggested that the mechanism of absorption is related to an allowed direct transition at the amorphous carbon films on fused silica glass. The optical energy gap of the amorphous carbon film depends on the bias voltage applied to the substrate holder. The optical colour parameters and optical band gap indicated that there is a relation between the dominant wavelength of the reflectance in the visible range and the wavelength of the optical band gap

  13. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  14. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  15. Characteristics of diamond – like carbon(DLC film deposited by PACVD process

    Directory of Open Access Journals (Sweden)

    Krzysztof Lukaszkowicz

    2016-10-01

    Full Text Available Diamond – like carbon (DLC film is promising materials for many technical and engineering applications. DLC films are used in many different industries for example: in medicine, in electronics, in optics and the automotive industry. They have excellent tribological properties (low friction coefficient, chemical inertness and high mechanical hardness. This paper provides an analysis of the microstructure, mechanical and tribological properties of DLC films. In the study of the coating used several surface sensitive techniques and methods, i.e. High Resolution Transmission Electron Microscopy (HRTEM, Scanning Electron Microscopy (SEM, Raman spectroscopy and tribological tests like ball-on-disc. HRTEM investigation shows an amorphous character of DLC layer. In sliding dry friction conditions the friction coefficient for the investigated elements is set in the range between 0.02-0.03. The investigated coating reveals high wear resistance. The coating demonstrated a good adhesion to the substrate.

  16. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  17. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  18. Influence of humidity on the growth characteristics and properties of chemical bath-deposited ZnS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yi-Cheng; Chao, Yen-Tai [Department of Mechatronics Engineering, National Changhua University of Education, Changhua 50007, Taiwan (China); Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw [Department of Materials Science and Engineering, Da-Yeh University, Dacun, Changhua 51591, Taiwan (China)

    2014-07-01

    In this study, the effect of humidity on the growth characteristics and properties of chemical bath-deposited ZnS thin films was systematically investigated. All deposition was conducted by an open CBD system under various relative humidity levels (RH) or by a hermetic CBD system as a comparison. It shows, for films deposited by an open system, the ambient humidity plays an important role in the quality of the resultant films. Damp environments lead to powdery films. Generally, all films prepared in this study using NH{sub 3} and hydrazine hydrate as the complexing agents were amorphous or poorly crystalline. For an open system, the [H{sup +}] from the dissolved carbon dioxide in the air competes with the ammonium ions in the bath solution. According to Le Châtelier's principle, more ammonia was consumed, which favors the free [Zn{sup +2}] in the solution, facilitating the homogeneous precipitation of Zn(OH){sub 2} and giving rise to a powdery film. The x-ray photoelectron spectrum shows, for an open system, the content of Zn–O compounds in the form of Zn(OH){sub 2} and ZnO, etc., is increased by the relative humidity of the environment. The visible transmittance is reduced by RH. The higher optical band gap of the as-deposited films could be attributed to the quantum confinement effects due to the small grain size of the polycrystalline ZnS films over the substrates.

  19. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  20. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  1. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  2. A new thin film deposition process by cathodic plasma electrolysis

    International Nuclear Information System (INIS)

    Paulmier, T.; Kiriakos, E.; Bell, J.; Fredericks, P.

    2004-01-01

    Full text: A new technique, called atmospheric pressure plasma deposition (APPD), has been developed since a few years for the deposition of carbon and DLC, Titanium or Silicon films on metal and metal alloys substrates. A high voltage (2kV) is applied in a liquid electrolytic solution between an anode and a cathode, both electrodes being cylindrical: a glow discharge is then produced and confined at the vicinity of the cathode. The physic of the plasma in the electrolytic solution near the cathode is very different form the other techniques of plasma deposition since the pressure is here close to the atmospheric pressure. We describe here the different physico-chemical processes occurring during the process. In this cathodic process, the anodic area is significantly larger than the cathode area. In a first step, the electrolytic solution is heated by Joule effect induced by the high voltage between the electrodes. Due to the high current density, the vaporization of the solution occurs near the cathode: a large amount of bubbles are produced which are stabilized at the electrode by hydrodynamic and electromagnetic forces, forming a vapour sheath. The electric field and voltage drop are then concentrated in this gas envelope, inducing the ionization of the gas and the ignition of a glow discharge at the surface of the material. This plasma induces the formation of ionized and reactive species which diffuse and are accelerated toward the cathode. These excited species are the precursors for the formation of the deposition material. At the same time, the glow discharge interacts with the electrolyte solution inducing also ionization, convection and polymerization processes in the liquid: the solution is therefore a second source of the deposition material. A wide range of films have been deposited with a thickness up to 10 micrometers. These films have been analyzed by SEM and Raman spectroscopy. The electrolytic solution has been characterized by GC-MS and the

  3. Effect of Thermal Annealing on Carbon in In-situ Phosphorous-Doped Si1-xCx films

    International Nuclear Information System (INIS)

    Adam, Thomas; Loubet, Nicolas; Reznicek, Alexander; Paruchuri, Vamsi; Sampson, Ron; Sadana, Devendra

    2012-01-01

    The effect of thermal heat treatment on carbon in in-situ phosphorous-doped silicon-carbon is studied as a function of annealing temperature and type. Films of 0 to 2% carbon were deposited using cyclic chemical vapor deposition at reduced pressures. Secondary ion-mass spectroscopy and high-resolution X-ray diffraction were employed to extract the total and substitutional carbon concentration in samples with phosphorous levels of mid-10 20 cm -3 . It was found that millisecond laser annealing drastically improves substitutionality while high thermal budget treatments (furnace, rapid-thermal, or spike annealing) resulted in an almost complete loss of substitutional carbon, independent of preceding or subsequent laser heat treatments.

  4. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  5. Reactive magnetron sputtering of N-doped carbon thin films on quartz glass for transmission photocathode applications

    Science.gov (United States)

    Balalykin, N. I.; Huran, J.; Nozdrin, M. A.; Feshchenko, A. A.; Kobzev, A. P.; Sasinková, V.; Boháček, P.; Arbet, J.

    2018-03-01

    N-doped carbon thin films were deposited on a silicon substrate and quartz glass by RF reactive magnetron sputtering using a carbon target and an Ar+N2 gas mixture. During the magnetron sputtering, the substrate holder temperatures was kept at 800 °C. The carbon film thickness on the silicon substrate was about 70 nm, while on the quartz glass it was in the range 15 nm – 60 nm. The elemental concentration in the films was determined by RBS and ERD. Raman spectroscopy was used to evaluate the intensity ratios I D/I G of the D and G peaks of the carbon films. The transmission photocathodes prepared were placed in the hollow-cathode assembly of a Pierce-structure DC gun to produce photoelectrons. The quantum efficiency (QE) was calculated from the laser energy and cathode charge measured. The properties of the transmission photocathodes based on semitransparent N-doped carbon thin films on quartz glass and their potential for application in DC gun technology are discussed.

  6. Short-pulse-laser-induced optical damage and fracto-emission of amorphous, diamond-like carbon films

    Science.gov (United States)

    Sokolowski-Tinten, Klaus; Ziegler, Wolfgang; von der Linde, Dietrich; Siegal, Michael P.; Overmyer, D. L.

    2005-03-01

    Short-pulse-laser-induced damage and ablation of thin films of amorphous, diamond-like carbon have been investigated. Material removal and damage are caused by fracture of the film and ejection of large fragments. The fragments exhibit a delayed, intense and broadband emission of microsecond duration. Both fracture and emission are attributed to the laser-initiated relaxation of the high internal stresses of the pulse laser deposition-grown films.

  7. High energy ion beam induced modifications in diamond and diamond like carbon thin films

    International Nuclear Information System (INIS)

    Dilawar, N.; Sah, S.; Mehta, B.R.; Vankar, V.D.

    1996-01-01

    Diamond and DLC films deposited using hot-filament chemical vapour deposition technique at various parameters were irradiated with 50 MeV Si 4+ ions. The resulting microstructural changes were studied using X-ray diffraction and scanning electron microscopy. All the samples showed the development of β-SiC and hexagonal carbon phases at the expense of the diamond/DLC phase. The ERD analysis was carried out to determine the hydrogen concentration and its distribution in DLC films. The absolute hydrogen concentration in DLC samples is of the order of 10 22 atoms/cm 3 which gets depleted on irradiation. The DLC samples show a clear dependence of hydrogen content on the deposition parameters. (author)

  8. Fabrication and Corrosion Resistance of Superhydrophobic Hydroxide Zinc Carbonate Film on Aluminum Substrates

    Directory of Open Access Journals (Sweden)

    Jin Liang

    2013-01-01

    Full Text Available Superhydrophobic hydroxide zinc carbonate (HZC films were fabricated on aluminum substrate through a convenient in situ deposition process. Firstly, HZC films with different morphologies were deposited on aluminum substrates through immersing the aluminum substrates perpendicularly into aqueous solution containing zinc nitrate hexahydrate and urea. Secondly, the films were then modified with fluoroalkylsilane (FAS: CH3(CF26(CH23Si(OCH33 molecules by immersing in absolute ethanol solution containing FAS. The morphologies, hydrophobicity, chemical compositions, and bonding states of the films were analyzed by scanning electron microscopy (SEM, water contact angle measurement (CA, Fourier transform infrared spectrometer (FTIR, and X-ray photoelectron spectroscopy (XPS, respectively. It was shown by surface morphological observation that HZC films displayed different microstructures such as microporous structure, rose petal-like structure, block-shaped structure, and pinecone-like structure by altering the deposition condition. A highest water contact angle of 156.2° was obtained after FAS modification. Moreover, the corrosion resistance of the superhydrophobic surface on aluminum substrate was investigated using electrochemical impedance spectroscopy (EIS measurements. The EIS measurements’ results revealed that the superhydrophobic surface considerably improved the corrosion resistance of aluminum.

  9. Platinum containing amorphous hydrogenated carbon (a-C:H/Pt) thin films as selective solar absorbers

    International Nuclear Information System (INIS)

    Lan, Yung-Hsiang; Brahma, Sanjaya; Tzeng, Y.H.; Ting, Jyh-Ming

    2014-01-01

    We have investigated a double-cermet structured thin film in which an a-C:H thin film was used as an anti-reflective (AR) layer and two platinum-containing amorphous hydrogenated carbon (a-C:H/Pt) thin films were used as the double cermet layers. A reactive co-sputter deposition method was used to prepare both the anti-reflective and cermet layers. Effects of the target power and heat treatment were studied. The obtained films were characterized using X-ray diffraction, scanning electron microscopy, high-resolution transmission electron microscopy. The optical absorptance and emittance of the as deposited and annealed films were determined using UV–vis-NIR spectroscopy. We show that the optical absorptance of the resulting double-cermet structured thin film is as high as 96% and remains to be 91% after heat treatment at 400 °C, indicating the thermal stability of the film

  10. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  11. UV laser deposition of metal films by photogenerated free radicals

    Science.gov (United States)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  12. Enhancement of Ti-containing hydrogenated carbon (Ti-C:H) films by high-power plasma-sputtering

    International Nuclear Information System (INIS)

    Gwo, Jyh; Chu, Chun-Lin; Tsai, Ming-Jui; Lee, Shyong

    2012-01-01

    Ti-containing amorphous hydrogenated carbon (Ti-C:H) thin films were deposited on stainless steel SS304 substrates by high-power pulsed magnetron sputtering (HPPMS) in an atmosphere of mixed Ar and C 2 H 2 gases using titanium metal as the cathodic material. The multilayer structure of the deposited film had a Ti-TiC-DLC gradient to improve adhesion and reduce residual stress. This study investigates the effects of substrate bias and target-to-substrate distance on the mechanical properties of Ti-C:H films. Film properties, including composition, morphology, microstructure, mechanical, and tribology, were examined by glow discharge spectroscopy (GDS), scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, and a nanoindenter and a pin-on-disk tribometer. Experiments revealed impressive results.

  13. Enhancement of Ti-containing hydrogenated carbon (Tisbnd C:H) films by high-power plasma-sputtering

    Science.gov (United States)

    Gwo, Jyh; Chu, Chun-Lin; Tsai, Ming-Jui; Lee, Shyong

    2012-02-01

    Ti-containing amorphous hydrogenated carbon (Tisbnd C:H) thin films were deposited on stainless steel SS304 substrates by high-power pulsed magnetron sputtering (HPPMS) in an atmosphere of mixed Ar and C2H2 gases using titanium metal as the cathodic material. The multilayer structure of the deposited film had a Tisbnd TiCsbnd DLC gradient to improve adhesion and reduce residual stress. This study investigates the effects of substrate bias and target-to-substrate distance on the mechanical properties of Tisbnd C:H films. Film properties, including composition, morphology, microstructure, mechanical, and tribology, were examined by glow discharge spectroscopy (GDS), scanning electron microscopy (SEM), X-ray diffraction (XRD), Raman spectroscopy, and a nanoindenter and a pin-on-disk tribometer. Experiments revealed impressive results.

  14. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  15. Optical characterization of hydrogen-free CeO2 doped DLC films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Zhang Zhenyu; Zhou Hongxiu; Guo Dongming; Gao Hang; Kang Renke

    2008-01-01

    A novel kind of hydrogen-free CeO 2 doped diamond-like carbon (DLC) films with thickness of 180-200 nm were deposited on silicon by unbalanced magnetron sputtering. Reduced reflectance and increased lifetime are expected with respect to pure DLC films, making these coatings good candidates as optical protective coatings for IR windows and solar cells. X-ray photoelectron spectroscopy confirms that CeO 2 is formed within the DLC films. Auger electron spectroscopy exhibits that the C, O, and Ce elements distribute uniformly across the film thickness, and C element diffuses into the Si substrate at the interface between the substrate and film. AFM shows that nanoparticles with diameter of around 50 nm are formed on the surface of deposited films, whose surface roughness is in the range of 1.3-2.3 nm. Raman spectra show the CeO 2 doped DLC films are amorphous DLC films, and both the G frequency and relative intensity ratio I D /I G are higher than those of pure DLC films. The photoluminescence of CeO 2 doped DLC films is obviously more intense than that of a pure DLC film, which indicates a promising potential as optical protective films for solar cells and IR window

  16. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  17. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  18. Elegant Face-Down Liquid-Space-Restricted Deposition of CsPbBr3 Films for Efficient Carbon-Based All-Inorganic Planar Perovskite Solar Cells.

    Science.gov (United States)

    Teng, Pengpeng; Han, Xiaopeng; Li, Jiawei; Xu, Ya; Kang, Lei; Wang, Yangrunqian; Yang, Ying; Yu, Tao

    2018-03-21

    It is a great challenge to obtain the uniform films of bromide-rich perovskites such as CsPbBr 3 in the two-step sequential solution process (two-step method), which was mainly due to the decomposition of the precursor films in solution. Herein, we demonstrated a novel and elegant face-down liquid-space-restricted deposition to inhibit the decomposition and fabricate high-quality CsPbBr 3 perovskite films. This method is highly reproducible, and the surface of the films was smooth and uniform with an average grain size of 860 nm. As a consequence, the planar perovskite solar cells (PSCs) without the hole-transport layer based on CsPbBr 3 and carbon electrodes exhibit enhanced power conversion efficiency (PCE) along with high open circuit voltage ( V OC ). The champion device has achieved a PCE of 5.86% with a V OC of 1.34 V, which to our knowledge is the highest performing CsPbBr 3 PSC in planar structure. Our results suggest an efficient and low-cost route to fabricate the high-quality planar all-inorganic PSCs.

  19. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  20. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  1. Effect of titanium incorporation on the structural, mechanical and biocompatible properties of DLC thin films prepared by reactive-biased target ion beam deposition method

    International Nuclear Information System (INIS)

    Bharathy, P. Vijai; Nataraj, D.; Chu, Paul K.; Wang, Huaiyu; Yang, Q.; Kiran, M.S.R.N.; Silvestre-Albero, J.; Mangalaraj, D.

    2010-01-01

    Amorphous diamond like carbon (DLC) and titanium incorporated diamond like carbon (Ti-DLC) thin films were deposited by using reactive-biased target ion beam deposition method. The effects of Ti incorporation and target bias voltage on the microstructure and mechanical properties of the as-deposited films were investigated by means of X-ray photoelectron spectroscopy, Raman spectroscopy, transmission electron microscopy and nano-indentation. It was found that the Ti content in Ti-DLC films gets increased with increasing target bias voltage. At about 4.2 at.% of Ti, uniform sized well dispersed nanocrystals were seen in the DLC matrix. Using FFT analysis, a facility available in the TEM, it was found that the nanocrystals are in cubic TiC phase. Though at the core, the incorporated Ti atoms react with carbon to form cubic TiC; most of the surface exposed Ti atoms were found to react with the atmospheric oxygen to form weakly bonded Ti-O. The presence of TiC nanocrystals greatly modified the sp 3 /sp 2 hybridized bonding ratio and is reflected in mechanical hardness of Ti-DLC films. These films were then tested for their biocompatibility by an invitro cell culturing test. Morphological observation and the cell proliferation test have demonstrated that the human osteoblast cells well attach and proliferate on the surface of Ti incorporated DLC films, suggesting possible applications in bone related implant coatings.

  2. Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer assembly deposition.

    Science.gov (United States)

    Hong, Jinkee; Kang, Sang Wook

    2011-09-01

    We performed a comparative surface analysis of all-carbon nano-objects (multiwall carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings prepared using three widely used nanofilm fabrication methods: dip-, spin-, and spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a marked difference in their growth mechanisms and surface morphologies. Various carbon decorative coatings were synthesized with different surface roughness values, despite identical preparation conditions. In particular, smooth to highly rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and scanning electron microscopy (SEM), were readily obtained by manipulating the LbL deposition methods. As was confirmed by the AFM and SEM analyses, this finding indicated the fundamental morphological evolution of one-dimensional nano-objects (MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness through the deposition method. Therefore, an analysis of the three LbL-assembly methods presented herein may offer useful information about the industrial use of carbon decorative coatings and provide an insight into ways to control the structures of multilayer coatings by tuning the morphologies of carbon nano-objects.

  3. Investigation of corrosion behavior of nitrogen doped and platinum/ruthenium doped diamond-like carbon thin films in Hank's solution

    International Nuclear Information System (INIS)

    Khun, N.W.; Liu, E.

    2011-01-01

    Undoped (DLC), nitrogen-doped (N-DLC) and platinum/ruthenium doped diamond-like carbon (PtRu-DLC) thin films were deposited on p-Si (100) substrates using a DC magnetron sputtering deposition system. The chemical composition, bonding structure, surface morphology and adhesion strength of the films were characterized using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM) and micro-scratch test, respectively. The corrosion behavior of the films in a Hank's solution was investigated using potentiodynamic polarization test. The corrosion results revealed that the PtRu-DLC film had the highest corrosion potential among the films used in this study. Highlights: → DLC thin films were deposited on Si substrates via dc magnetron sputtering. → Some DLC films were doped with N and/or Pt/Ru. → The film corrosion behavior was studied in a Hank solution with polarization test. → The PtRu-DLC film showed the highest corrosion potential among the films studied.

  4. Structural Analysis of Planar sp3 and sp2 Films: Diamond-Like Carbon and Graphene Overlayers

    KAUST Repository

    Mansour, Ahmed

    2011-07-07

    The special electronic configuration of carbon enables the existence of wide ranging allotropes taking all possible dimensionalities. The allotropes of carbon are characterized by the type of hybridized bonding forming its structure, ranging from pure sp2 as in graphene, carbon nanotubes and fullerenes, to pure sp3 as in diamond. Amorphous and diamond-like carbon consists of a mixture of both hybridizations. This variation in hybridization in carbon materials enables a wide spectrum of properties, ranging from high bulk mechanical hardness, tribological properties and chemical inertness made possible by moving towards pure sp3 bonding to the extraordinary electrical conductivity, optical properties and in-plane mechanical strength resulting from pure sp2 bonding. Two allotropes at the extremes of this spectrum, diamond like carbon (DLC) and graphene, are investigated in this thesis; the former is investigated as a protective coating in hard drive applications, while the latter is investigated in the context of chemically derived graphene as material for transparent conducting electrode applications. DLC thin films are a main component in computer hard drives, acting as a protective coating against corrosion and mechanical wear of the magnetic layer and read-write head. The thickness of DLC films greatly affects the storage density in such devices, as larger separation between the read/write head and the magnetic layer decreases the storage density. A targeted DLC thickness of 2 nm would increase the storage density towards 1 Tbits/inch2. However, difficulty achieving continuous films at such thicknesses by commonly used sputtering methods challenges the industry to investigate alternative methods. Filtered cathodic vacuum arc (FCVA) has been proposed as an efficient technique to provide continuous, smooth and ultra-thin DLC films. We investigate the influence of deposition angle, deposition time, and substrate biasing to define the optimum process window to obtain

  5. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  6. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  7. Abutment Coating With Diamond-Like Carbon Films to Reduce Implant-Abutment Bacterial Leakage.

    Science.gov (United States)

    Cardoso, Mayra; Sangalli, Jorgiana; Koga-Ito, Cristiane Yumi; Ferreira, Leandro Lameirão; da Silva Sobrinho, Argemiro Soares; Nogueira, Lafayette

    2016-02-01

    The influence of diamond-like carbon (DLC) films on bacterial leakage through the interface between abutments and dental implants of external hexagon (EH) and internal hexagon (IH) designs was evaluated. Film deposition was performed by plasma-enhanced chemical vapor deposition. Sets of implants and abutments (n = 30 per group, sets of 180 implants) were divided according to connection design and treatment of the abutment base: 1) no treatment (control); 2) DLC film deposition; and 3) Ag-DLC film deposition. Under sterile conditions, 1 μL Enterococcus faecalis was inoculated inside the implants, and abutments were tightened. The sets were tested for immediate external contamination, suspended in test tubes containing sterile culture broth, and followed for 5 days. Turbidity of the broth indicated bacterial leakage. At the end of the period, the abutments were removed and the internal content of the implants was collected with paper points and plated in Petri dishes. After 24-hour incubation, they were assessed for bacterial viability and colony-forming unit counting. Bacterial leakage was analyzed by χ(2) and Fisher exact tests (α = 5%). The percentage of bacterial leakage was 16.09% for EH implants and 80.71% for IH implants (P DLC and Ag-DLC films do not significantly reduce the frequency of bacterial leakage and bacteria load inside the implants.

  8. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  9. Synthesis of diamondlike carbon films with superlow friction and wear properties

    Energy Technology Data Exchange (ETDEWEB)

    Erdemir, A. [Energy Technology Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Eryilmaz, O. L. [Energy Technology Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States); Fenske, G. [Energy Technology Division, Argonne National Laboratory, Argonne, Illinois 60439 (United States)

    2000-07-01

    In this study, we introduce a new diamondlike carbon (DLC) film providing a friction coefficient of 0.001 and wear rates of 10{sup -9}-10{sup -10} mm{sup 3}/N m in inert-gas environments (e.g., dry nitrogen and argon). The film was grown on steel and sapphire substrates in a plasma enhanced chemical vapor deposition system that uses a hydrogen-rich plasma. Employing a combination of surface and structure analytical techniques, we explored the structural chemistry of the resultant DLC films and correlated these findings with the friction and wear mechanisms of the films. The results of tribological tests under a 10 N load (creating initial peak Hertz pressures of 1 and 2.2 GPa on steel and sapphire test pairs, respectively) and at 0.2 to 0.5 m/s sliding velocities indicated that a close correlation exists between the friction and wear coefficients of DLC films and the source gas chemistry. Specifically, films grown in source gases with higher hydrogen-to-carbon ratios had the lowest friction coefficients and the highest wear resistance. The lowest friction coefficient (0.001) was achieved with a film on sapphire substrates produced in a gas discharge plasma consisting of 25% methane and 75% hydrogen. (c) 2000 American Vacuum Society.

  10. The Barrier Properties of PET Coated DLC Film Deposited by Microwave Surface-Wave PECVD

    Science.gov (United States)

    Yin, Lianhua; Chen, Qiang

    2017-12-01

    In this paper we report the investigation of diamond-like carbon (DLC) deposited by microwave surface-wave plasma enhanced chemical vapor deposition (PECVD) on the polyethylene terephthalate (PET) web for the purpose of the barrier property improvement. In order to characterize the properties of DLC coatings, we used several substrates, silicon wafer, glass, and PET web and KBr tablet. The deposition rate was obtained by surface profiler based on the DLC deposited on glass substrates; Fourier transform infrared spectroscope (FTIR) was carried out on KBr tablets to investigate chemical composition and bonding structure; the morphology of the DLC coating was analyzed by atomic force microscope (AFM) on Si substrates. For the barrier properties of PET webs, we measured the oxygen transmission rate (OTR) and water vapor transmission rate (WVTR) after coated with DLC films. We addressed the film barrier property related to process parameters, such as microwave power and pulse parameter in this work. The results show that the DLC coatings can greatly improve the barrier properties of PET webs.

  11. Hydroxyapatite-diamondlike carbon nanocomposite films

    International Nuclear Information System (INIS)

    Narayan, Roger J.

    2005-01-01

    Hydroxyapatite is a bioactive ceramic that mimics the mineral composition of natural bone. Conventional plasma-sprayed hydroxyapatite coatings demonstrate poor adhesion and poor mechanical integrity. We have developed hydroxyapatite-diamondlike carbon bilayer film. The diamondlike carbon interlayer serves to prevent metal ion release and improve adhesion of the hydroxyapatite film. These films were characterized using X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, X-ray diffraction, Raman spectroscopy, nanoindentation, and microscratch adhesion testing. Based on the results of this study, hydroxyapatite-diamondlike carbon bilayers demonstrate promise for use in several orthopedic implants

  12. Hydroxyapatite-diamondlike carbon nanocomposite films

    Energy Technology Data Exchange (ETDEWEB)

    Narayan, Roger J. [School of Materials Science and Engineering, Georgia Institute of Technology, Atlanta, GA 30332-0245 (United States)]. E-mail: roger.narayan@mse.gatech.edu

    2005-05-15

    Hydroxyapatite is a bioactive ceramic that mimics the mineral composition of natural bone. Conventional plasma-sprayed hydroxyapatite coatings demonstrate poor adhesion and poor mechanical integrity. We have developed hydroxyapatite-diamondlike carbon bilayer film. The diamondlike carbon interlayer serves to prevent metal ion release and improve adhesion of the hydroxyapatite film. These films were characterized using X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, X-ray diffraction, Raman spectroscopy, nanoindentation, and microscratch adhesion testing. Based on the results of this study, hydroxyapatite-diamondlike carbon bilayers demonstrate promise for use in several orthopedic implants.

  13. Immobilization and stretching of 5'-pyrene-terminated DNA on carbon film deposited on electron microscope grid.

    Science.gov (United States)

    Loukanov, Alexandre; Filipov, Chavdar; Lecheva, Marta; Emin, Saim

    2015-11-01

    The immobilization and stretching of randomly coiled DNA molecules on hydrophobic carbon film is a challenging microscopic technique, which possess various applications, especially for genome sequencing. In this report the pyrenyl nucleus is used as an anchor moiety to acquire higher affinity of double stranded DNA to the graphite surface. DNA and pyrene are joined through a linker composed of four aliphatic methylene groups. For the preparation of pyrene-terminated DNA a multifunctional phosphoramidite monomer compound was designed. It contains pyrenylbutoxy group as an anchor moiety for π-stacking attachment to the carbon film, 2-cyanoethyloxy, and diisopropylamino as coupling groups for conjugation to activated oligonucleotide chain or DNA molecule. This monomer derivative was suitable for incorporation into automated solid-phase DNA synthesis and was attached to the 5' terminus of the DNA chain through a phosphodiester linkage. The successful immobilization and stretching of pyrene-terminated DNA was demonstrated by conventional 100 kV transmission electron microscope. The microscopic analysis confirmed the stretched shape of the negatively charged nucleic acid pieces on the hydrophobic carbon film. © 2015 Wiley Periodicals, Inc.

  14. Carbon film electrodes for super capacitor applications

    Science.gov (United States)

    Tan, Ming X.

    1999-01-01

    A microporous carbon film for use as electrodes in energy strorage devices is disclosed, which is made by the process comprising the steps of: (1) heating a polymer film material consisting essentially of a copolymer of polyvinylidene chloride and polyvinyl chloride in an inert atmosphere to form a carbon film; and (2) activating said carbon film to form said microporous carbon film having a density between about 0.7 g/cm.sup.2 and 1 g/cm.sup.2 and a gravimetric capacitance of about between 120 F/g and 315 F/g.

  15. Preparation and analysis of amorphous carbon films deposited from (C{sub 6}H{sub 12})/Ar/He chemistry for application as the dry etch hard mask in the semiconductor manufacturing process

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seungmoo [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Won, Jaihyung; Choi, Jongsik [TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Jang, Samseok [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jee, Yeonhong; Lee, Hyeondeok [TC Technology Team, Samsung Electronics Co. Ltd., Gyeounggi-Do, 446-711 (Korea, Republic of); Byun, Dongjin, E-mail: dbyun@korea.ac.kr [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2011-08-01

    Amorphous carbon layers (ACL) were deposited on Si (100) wafers by plasma enhanced chemical vapor deposition (PECVD) by using 1-hexene (C{sub 6}H{sub 12}) as a carbon source for dry etch hard mask of semiconductor devices manufacturing process. The deposition characteristics and film properties were investigated by means of ellipsometry, Raman spectroscopy, X-ray photo electron spectroscopy (XPS) and stress analysis. Hardness, Young's modulus, and surface roughness of ACL deposited at 550 deg. C were investigated by using nano-indentation and AFM. The deposition rate was decreased from 5050 A/min to 2160 A/min, and dry etch rate was decreased from 2090 A/min to 1770 A/min, and extinction coefficient was increased from 0.1 to 0.5. Raman analysis revealed a higher shift of the G-peak and a lower shift of the D-peak and the increase of I(D)/I(G) ratio as the deposition temperature was increased from 350 deg. C to 550 deg. C. XPS results of ACL deposited at 550 deg. C revealed a carbon 1s binding energy of 284.4 eV. The compressive film stress was decreased from 2.95 GPa to 1.28 GPa with increasing deposition temperature. The hardness and Young's modulus of ACL deposited at 550 deg. C were 5.8 GPa and 48.7 GPa respectively. The surface roughness RMS of ACL deposited at 550 deg. C was 2.24 A, and that after cleaning in diluted HF solution (H{sub 2}O:HF = 200:1), SC1 (NH{sub 4}OH:H{sub 2}O{sub 2}:H{sub 2}O = 1:4:20) solution, and sulfuric acid solution (H{sub 2}SO{sub 4}:H{sub 2}O{sub 2} = 6:1) was 2.28 A, 2.30 A and 7.34 A, respectively. The removal amount of ACL deposited at 550 deg. C in diluted HF solution, SC1 solution and sulfuric acid solution was 6 A, 36 A and 110 A, respectively. These results demonstrated the viability of ACL deposited by PECVD from C{sub 6}H{sub 12} at 550 deg. C for application as the dry etch hard mask in fabrication of semiconductor devices.

  16. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  17. Lanthanoid titanate film structure deposited at different temperatures in vacuum

    International Nuclear Information System (INIS)

    Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.

    1991-01-01

    Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films

  18. Ultrahard carbon nanocomposite films

    Energy Technology Data Exchange (ETDEWEB)

    SIEGAL,MICHAEL P.; TALLANT,DAVID R.; PROVENCIO,PAULA P.; OVERMYER,DONALD L.; SIMPSON,REGINA L.; MARTINEZ-MIRANDA,L.J.

    2000-01-27

    Modest thermal annealing to 600 C of diamondlike amorphous-carbon (a-C) films grown at room temperature results in the formation of carbon nanocomposites with hardness similar to diamond. These nanocomposite films consist of nanometer-sized regions of high density a-C embedded in an a-C matrix with a reduced density of 5--10%. The authors report on the evolution of density and bonding topologies as a function of annealing temperature. Despite a decrease in density, film hardness actually increases {approximately} 15% due to the development of the nanocomposite structure.

  19. Fabrication of High Transparency Diamond-Like Carbon Film Coating on D263T Glass at Room Temperature as an Antireflection Layer

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2013-01-01

    Full Text Available This study intends to deposit high transmittance diamond-like carbon (DLC thin films on D263T glass substrate at room temperature via a diamond powder target using the radio frequency (RF magnetron sputtering technique. Moreover, various process parameters were used to tune the properties of the thin films by using the Taguchi method. Experimental results show that the content of sp3 bonded carbon decreases in accordance with the effect of the substrate temperature. In addition, the hardness of all as-deposited single-layer DLC films ranges from 13.2 to 22.5 GPa, and the RMS surface roughness was improved significantly with the decrease in sputtering pressure. The water repellent of the deposited DLC films improved significantly with the increase of the sp3 content, and its contact angle was larger than that of the noncoated one by 1.45 times. Furthermore, the refraction index (n of all as-deposited DLC films ranges from 1.95 to 2.1 at λ = 600 nm. These results demonstrate that the thickness increased as the reflectance increased. DLC film under an RF power of 150 W possesses high transmissive ability (>81% and low average reflectance ability (<9.5% in the visible wavelengths (at λ = 400–700 nm.

  20. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  1. Development surface modification technologies - A development of new nuclear materials by thin film deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Won Jong; Lee, Min Goo; Kim, Hyun Ho; Kim, Yong Il; Kwang, Hee Soo [Korea Advanced Institute of Scienec and Technology, Taejon (Korea, Republic of)

    1995-08-01

    Pitting corrosion of TiN-coted Inconel 600 in hightemperature chloride solution was studied. To improve the pitting resistance of Inconel 600 by depositing TiN thin film, TiN must have the thickness greater than a critical value at which the characteristics of the film itself appear. E{sub np}s of the TiN-coated sample were higher than those of the bare Inconel 600 at all the solution temperature implying that the TiN film improved the pitting resistance. The heavy defects on the surface of the substrate which were incompletely covered by TiN film served as the active sites for the pit nucleation. Fine polishing reduced those defects and improved the pitting resistance of the TiN-coated Inconel 600. The pit densities of the TiN-coated samples were much lower than those of the bare Inconel 600 at low chloride concentrations. However, at high chloride concentrations the TiN film failed to improve the pitting resistance of the Inconel. The TiN film deposited by ion-plating on Stellite was studied. The X-ray analysis shows that the deposited films were only in .delta.-TiN phase and the texture was changed from (111) to (200) with the increase of N{sub 2}/Ar ratio. The impurities in TiN films were carbon and oxygen. The amounts of these impurities were decreased greatly when the substrate bias, -200 V, was applied compared to no bias. 40 refs., 4 tabs., 20 figs. (author)

  2. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  3. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  4. Thin films of hydrogenated amorphous carbon (a-C:H) obtained through chemical vapor deposition assisted by plasma; Peliculas delgadas de carbono amorfo hidrogenado (a-C:H) obtenidas mediante deposito quimico de vapores asistido por plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mejia H, J.A.; Camps C, E.E.; Escobar A, L.; Romero H, S.; Chirino O, S. [ININ, 52045 Ocoyoacac, Estado de Mexico (Mexico); Muhl S, S. [IIM-UNAM, 04510 Mexico D.F. (Mexico)

    2004-07-01

    Films of hydrogenated amorphous carbon (a-C:H) were deposited using one source of microwave plasma with magnetic field (type ECR), using mixtures of H{sub 2}/CH{sub 4} in relationship of 80/20 and 95/05 as precursory gases, with work pressures of 4X10{sup -4} to 6x10{sup -4} Torr and an incident power of the discharge of microwaves with a constant value of 400 W. It was analyzed the influence among the properties of the films, as the deposit rate, the composition and the bonding types, and the deposit conditions, such as the flow rates of the precursory gases and the polarization voltage of the sample holders. (Author)

  5. Growth of Hexagonal Columnar Nanograin Structured SiC Thin Films on Silicon Substrates with Graphene–Graphitic Carbon Nanoflakes Templates from Solid Carbon Sources

    Directory of Open Access Journals (Sweden)

    Wanshun Zhao

    2013-04-01

    Full Text Available We report a new method for growing hexagonal columnar nanograin structured silicon carbide (SiC thin films on silicon substrates by using graphene–graphitic carbon nanoflakes (GGNs templates from solid carbon sources. The growth was carried out in a conventional low pressure chemical vapor deposition system (LPCVD. The GGNs are small plates with lateral sizes of around 100 nm and overlap each other, and are made up of nanosized multilayer graphene and graphitic carbon matrix (GCM. Long and straight SiC nanograins with hexagonal shapes, and with lateral sizes of around 200–400 nm are synthesized on the GGNs, which form compact SiC thin films.

  6. Structural surprises in friction-deposited films of poly(tetrafluoroethylene)

    DEFF Research Database (Denmark)

    Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver

    2005-01-01

    Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...

  7. Observation of structure transition as a function of temperature in depositing hydrogenated sp2-rich carbon films

    Science.gov (United States)

    Wang, Yongfu; Gao, Kaixiong; Zhang, Junyan

    2018-05-01

    In this study, we carried out the transition experiments of graphite-like (GL) to fullerene-like (FL) structures by placing high temperature steel substrates in the depositing environment which can form FL hydrogenated carbon films. We investigated the changes of bond mixtures, H content, aromatic clusters and internal stress at the transition process, and proposed the transformation mechanism inferred from Raman, TEM cross-section, FTIR and XPS results. It was found that the size of aromatic clusters and accordingly graphene planes and the formation of edge dangling bonds were the key steps. H+ bombardment leaded to the splitting of large graphene planes (at GL stage) into more and smaller planes (at FL stage) and the formation of edge dangling bonds; Some of these dangling bonds were reduced by the formation of pentagons and subsequent curving of the smaller planes, which were an indicator of FL structures.

  8. Solid state de-wetting observed for vapor deposited copper films on carbon substrates

    International Nuclear Information System (INIS)

    Schrank, C.; Eisenmenger-Sittner, C.; Neubauer, E.; Bangert, H.; Bergauer, A.

    2004-01-01

    Copper-Carbon composites are a good example for novel materials consisting of components with extremely different physical and chemical properties. They have a high potential for an application as heat sinks for electronic components, but the joining of the two materials is a difficult task. To obtain reasonable mechanical and thermal contact between copper and carbon the following route was chosen. First glassy-carbon substrates were subjected to an RF-Nitrogen plasma treatment. Then 300 nm thick copper coatings were sputter-deposited on the plasma treated surface within the same vacuum chamber. Finally, the samples were removed from the deposition chamber and either investigated immediately or thermally annealed at 850 deg. C under high vacuum conditions (10 -4 Pa). While non-annealed copper-coatings were continuous and showed excellent adhesion values of approximately 700 N/cm 2 , the heat treated samples lose their continuity by a de-wetting process. At the beginning holes are formed, then a labyrinth-like morphology develops and finally the coating consists of isolated droplets. All these processes occur well below the melting temperature of copper and were observed by AFM and SEM. The mechanism of this solid-state de-wetting process is investigated in relation to the recent literature on de-wetting and its consequences on the manufacturing of copper-carbon composites are discussed

  9. Coating of diamond-like carbon nanofilm on alumina by microwave plasma enhanced chemical vapor deposition process.

    Science.gov (United States)

    Rattanasatien, Chotiwan; Tonanon, Nattaporn; Bhanthumnavin, Worawan; Paosawatyanyong, Boonchoat

    2012-01-01

    Diamond-like carbon (DLC) nanofilms with thickness varied from under one hundred to a few hundred nanometers have been successfully deposited on alumina substrates by microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. To obtain dense continuous DLC nanofilm coating over the entire sample surface, alumina substrates were pre-treated to enhance the nucleation density. Raman spectra of DLC films on samples showed distinct diamond peak at around 1332 cm(-1), and the broad band of amorphous carbon phase at around 1550 cm(-1). Full width at half maximum height (FWHM) values indicated good formation of diamond phase in all films. The result of nano-indentation test show that the hardness of alumina samples increase from 7.3 +/- 2.0 GPa in uncoated samples to 15.8 +/- 4.5-52.2 +/- 2.1 GPa in samples coated with DLC depending on the process conditions. It is observed that the hardness values are still in good range although the thickness of the films is less than a hundred nanometer.

  10. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  11. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  12. Effect of sputtering power on structure, adhesion strength and corrosion resistance of nitrogen doped diamond-like carbon thin films.

    Science.gov (United States)

    Khun, N W; Liu, E

    2011-06-01

    Nitrogen doped diamond-like carbon (DLC:N) thin films were deposited on highly conductive p-Si substrates using a DC magnetron sputtering deposition system. The DLC:N films were characterized using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM), contact angle measurement and micro-scratch test. The XPS and Raman results indicated that the sputtering power significantly influenced the properties of the films in terms of bonding configuration in the films. The corrosion performance of the DLC:N films was investigated in a 0.6 M NaCl solution by means of potentiodynamic polarization testing. It was found that the corrosion performance of the films could be enhanced by higher sputtering powers.

  13. Characterization of sp3 bond content of carbon films deposited by high power gas injection magnetron sputtering method by UV and VIS Raman spectroscopy

    Science.gov (United States)

    Zdunek, Krzysztof; Chodun, Rafał; Wicher, Bartosz; Nowakowska-Langier, Katarzyna; Okrasa, Sebastian

    2018-04-01

    This paper presents the results of investigations of carbon films deposited by a modified version of the magnetron sputtering method - HiPGIMS (High Power Gas Injection Magnetron Sputtering). In this experiment, the magnetron system with inversely polarized electrodes (sputtered cathode at ground potential and positively biased, spatially separated anode) was used. This arrangement allowed us to conduct the experiment using voltages ranging from 1 to 2 kV and a power supply system equipped with 25/50 μF capacitor battery. Carbon films were investigated by VIS/UV Raman spectroscopy. Sp3/sp2 bonding ratio was evaluated basing the elementary components of registered spectra. Our investigation showed that sp3 bond content increases with discharge power but up to specific value only. In extreme conditions of generating plasma impulses, we detected a reversed relation of the sp3/sp2 ratio. In our opinion, a energy of plasma pulse favors nucleation of a sp3 phase because of a relatively higher ionization state but in extreme cases the influence of energy is reversed.

  14. Characterization of sp3 bond content of carbon films deposited by high power gas injection magnetron sputtering method by UV and VIS Raman spectroscopy.

    Science.gov (United States)

    Zdunek, Krzysztof; Chodun, Rafał; Wicher, Bartosz; Nowakowska-Langier, Katarzyna; Okrasa, Sebastian

    2018-04-05

    This paper presents the results of investigations of carbon films deposited by a modified version of the magnetron sputtering method - HiPGIMS (High Power Gas Injection Magnetron Sputtering). In this experiment, the magnetron system with inversely polarized electrodes (sputtered cathode at ground potential and positively biased, spatially separated anode) was used. This arrangement allowed us to conduct the experiment using voltages ranging from 1 to 2kV and a power supply system equipped with 25/50μF capacitor battery. Carbon films were investigated by VIS/UV Raman spectroscopy. Sp 3 /sp 2 bonding ratio was evaluated basing the elementary components of registered spectra. Our investigation showed that sp 3 bond content increases with discharge power but up to specific value only. In extreme conditions of generating plasma impulses, we detected a reversed relation of the sp 3 /sp 2 ratio. In our opinion, a energy of plasma pulse favors nucleation of a sp 3 phase because of a relatively higher ionization state but in extreme cases the influence of energy is reversed. Copyright © 2018 Elsevier B.V. All rights reserved.

  15. Formation of electrically conducting, transparent films using silver nanoparticles connected by carbon nanotubes

    International Nuclear Information System (INIS)

    Hwang, Sunna; Noh, Sun Young; Kim, Heesuk; Park, Min; Lee, Hyunjung

    2014-01-01

    To achieve both optical transparency and electrical conductivity simultaneously, we fabricated a single-walled carbon nanotube (SWNT)/silver fiber-based transparent conductive film using silver fibers produced by the electrospinning method. Electrospun silver fibers provided a segregated structure with the silver nanoparticles within the fibrous microstructures as a framework. Additional deposition of SWNT/poly(3,4-ethylenedioxythiophene) doped with poly(styrenesulfonate) (PEDOT:PSS) layers resulted in a remarkable decrease in the surface resistance from very high value (> 3000 kΩ/sq) for the films of electrospun silver fibers, without affecting the optical transmittance at 550 nm. The surface resistance of the SWNT/silver film after the deposition of three layers decreased to 17 Ω/sq with 80% transmittance. Successive depositions of SWNT/PEDOT:PSS layers reduced the surface resistance to 2 Ω/sq without severe loss in optical transmittance (ca. 65%). The transparent conductive films exhibited a performance comparable to that of commercial indium tin oxide films. The individual silver nanoparticles within the electrospun fibers on the substrate were interconnected with SWNTs, which resulted in the efficient activation of a conductive network by bridging the gaps among separate silver nanoparticles. Such a construction of microscopically conductive networks with the minimum use of electrically conductive nanomaterials produced superior electrical conductivity, while maintaining the optical transparency. - Highlights: • Silver fibrous structures were produced by electrospinning method. • SWNTs/PEDOT:PSS was deposited on silver fibrous structures. • These films exhibited a low sheet resistance (∼ 17 Ω/sq) at ∼ 80% optical transparency. • Successive depositions of SWNT/PEDOT:PSS layers reduced the surface resistance to 2 Ω/sq

  16. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  17. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  18. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  19. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  20. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    Energy Technology Data Exchange (ETDEWEB)

    Wang Guigen, E-mail: wanggghit@yahoo.com [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Kuang Xuping; Zhang Huayu; Zhu Can [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Han Jiecai [Shenzhen Graduate School, Harbin Institute of Technology, Shenzhen 518055 (China); Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Zuo Hongbo [Center for Composite Materials, Harbin Institute of Technology, Harbin 150080 (China); Ma Hongtao [SAE Technologies Development (Dongguan) Co., Ltd., Dongguan 523087 (China)

    2011-12-15

    Highlights: Black-Right-Pointing-Pointer The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. Black-Right-Pointing-Pointer It highlighted the influences of Si-N underlayers. Black-Right-Pointing-Pointer The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of -150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of -150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  1. Silicon nitride gradient film as the underlayer of ultra-thin tetrahedral amorphous carbon overcoat for magnetic recording slider

    International Nuclear Information System (INIS)

    Wang Guigen; Kuang Xuping; Zhang Huayu; Zhu Can; Han Jiecai; Zuo Hongbo; Ma Hongtao

    2011-01-01

    Highlights: ► The ultra-thin carbon films with different silicon nitride (Si-N) film underlayers were prepared. ► It highlighted the influences of Si-N underlayers. ► The carbon films with Si-N underlayers obtained by nitriding especially at the substrate bias of −150 V, can exhibit better corrosion protection properties - Abstract: There are higher technical requirements for protection overcoat of magnetic recording slider used in high-density storage fields for the future. In this study, silicon nitride (Si-N) composition-gradient films were firstly prepared by nitriding of silicon thin films pre-sputtered on silicon wafers and magnetic recording sliders, using microwave electron cyclotron resonance plasma source. The ultra-thin tetrahedral amorphous carbon films were then deposited on the Si-N films by filtered cathodic vacuum arc method. Compared with amorphous carbon overcoats with conventional silicon underlayers, the overcoats with Si-N underlayers obtained by plasma nitriding especially at the substrate bias of −150 V, can provide better corrosion protection for high-density magnetic recording sliders.

  2. Multifractal characterization of single wall carbon nanotube thin films surface upon exposure to optical parametric oscillator laser irradiation

    International Nuclear Information System (INIS)

    Ţălu, Ştefan; Marković, Zoran; Stach, Sebastian; Todorović Marković, B.; Ţălu, Mihai

    2014-01-01

    This study presents a multifractal approach, obtained with atomic force microscopy analysis, to characterize the structural evolution of single wall carbon nanotube thin films upon exposure to optical parametric oscillator laser irradiation at wavelength of 430 nm. Microstructure and morphological changes of carbon nanotube films deposited on different substrates (mica and TGX grating) were recorded by atomic force microscope. A detailed methodology for surface multifractal characterization, which may be applied for atomic force microscopy data, was presented. Multifractal analysis of surface roughness revealed that carbon nanotube films surface has a multifractal geometry at various magnifications. The generalized dimension D q and the singularity spectrum f(α) provided quantitative values that characterize the local scale properties of carbon nanotube films surface morphology at nanometer scale. Multifractal analysis provides different yet complementary information to that offered by traditional surface statistical parameters.

  3. Deposition of organosilicone thin film from hexamethyldisiloxane (HMDSO) with 50 kHz/33 MHz dual-frequency atmospheric-pressure plasma jet

    Science.gov (United States)

    Li, Jiaojiao; Yuan, Qianghua; Chang, Xiaowei; Wang, Yong; Yin, Guiqin; Dong, Chenzhong

    2017-04-01

    The deposition of organosilicone thin films from hexamethyldisiloxane(HMDSO) by using a dual-frequency (50 kHz/33 MHz) atmospheric-pressure micro-plasma jet with an admixture of a small volume of HMDSO and Ar was investigated. The topography was measured by using scanning electron microscopy. The chemical bond and composition of these films were analyzed by Fourier transform infrared spectroscopy (FTIR) and x-ray photoelectron spectroscopy. The results indicated that the as-deposited film was constituted by silicon, carbon, and oxygen elements, and FTIR suggested the films are organosilicon with the organic component (-CH x ) and hydroxyl functional group(-OH) connected to the Si-O-Si backbone. Thin-film hardness was recorded by an MH-5-VM Digital Micro-Hardness Tester. Radio frequency power had a strong impact on film hardness and the hardness increased with increasing power.

  4. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  5. Fabrication and electrochemical properties of free-standing single-walled carbon nanotube film electrodes

    International Nuclear Information System (INIS)

    Niu Zhi-Qiang; Ma Wen-Jun; Dong Hai-Bo; Li Jin-Zhu; Zhou Wei-Ya

    2011-01-01

    An easily manipulative approach was presented to fabricate electrodes using free-standing single-walled carbon nanotube (SWCNT) films grown directly by chemical vapor deposition. Electrochemical properties of the electrodes were investigated. In comparison with the post-deposited SWCNT papers, the directly grown SWCNT film electrodes manifested enhanced electrochemical properties and sensitivity of sensors as well as excellent electrocatalytic activities. A transition from macroelectrode to nanoelectrode behaviours was observed with the increase of scan rate. The heat treatment of the SWCNT film electrodes increased the current signals of electrochemical analyser and background current, because the heat-treatment of the SWCNTs in air could create more oxide defects on the walls of the SWCNTs and make the surfaces of SWCNTs more hydrophilic. The excellent electrochemical properties of the directly grown and heat-treated free-standing SWCNT film electrodes show the potentials in biological and electrocatalytic applications. (cross-disciplinary physics and related areas of science and technology)

  6. Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study

    Directory of Open Access Journals (Sweden)

    Francesco Ruffino

    2018-03-01

    Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.

  7. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  8. Ultrahard carbon nanocomposite films

    Energy Technology Data Exchange (ETDEWEB)

    Siegal, M. P. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Tallant, D. R. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Provencio, P. N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Overmyer, D. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Simpson, R. L. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States); Martinez-Miranda, L. J. [Department of Materials and Nuclear Engineering, University of Maryland, College Park, Maryland 20742 (United States)

    2000-05-22

    Modest thermal annealing to 600 degree sign C of diamondlike amorphous-carbon (a-C) films grown at room temperature results in the formation of carbon nanocomposites with hardness similar to diamond. These nanocomposite films consist of nanometer-sized regions of high density a-C embedded in an a-C matrix with a reduced density of 5%-10%. We report on the evolution of density and bonding topologies as a function of annealing temperature. Despite a decrease in density, film hardness actually increases {approx}15% due to the development of the nanocomposite structure. (c) 2000 American Institute of Physics.

  9. Effect of titanium incorporation on the structural, mechanical and biocompatible properties of DLC thin films prepared by reactive-biased target ion beam deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Bharathy, P. Vijai [Thin Films and Nanomaterials Lab, School of Physical Sciences, Bharathiar University, Coimbatore 641046 (India); Department of Mechanical Engineering, University of Saskatchewan, Saskatoon (Canada); Nataraj, D., E-mail: de.natraj@gmail.com [Thin Films and Nanomaterials Lab, School of Physical Sciences, Bharathiar University, Coimbatore 641046 (India); Chu, Paul K.; Wang, Huaiyu [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon (Hong Kong); Yang, Q. [Department of Mechanical Engineering, University of Saskatchewan, Saskatoon (Canada); Kiran, M.S.R.N. [School of Physics, University of Hyderabad, Hyderabad, Andra Pradesh (India); Silvestre-Albero, J. [Laboratorio de Materiales Avanzados, Departmento de Quimica Inorganica, Universidad de Alicante, Ap 99, E-03080 Alicante (Spain); Mangalaraj, D. [Thin Films and Nanomaterials Lab, School of Physical Sciences, Bharathiar University, Coimbatore 641046 (India)

    2010-10-15

    Amorphous diamond like carbon (DLC) and titanium incorporated diamond like carbon (Ti-DLC) thin films were deposited by using reactive-biased target ion beam deposition method. The effects of Ti incorporation and target bias voltage on the microstructure and mechanical properties of the as-deposited films were investigated by means of X-ray photoelectron spectroscopy, Raman spectroscopy, transmission electron microscopy and nano-indentation. It was found that the Ti content in Ti-DLC films gets increased with increasing target bias voltage. At about 4.2 at.% of Ti, uniform sized well dispersed nanocrystals were seen in the DLC matrix. Using FFT analysis, a facility available in the TEM, it was found that the nanocrystals are in cubic TiC phase. Though at the core, the incorporated Ti atoms react with carbon to form cubic TiC; most of the surface exposed Ti atoms were found to react with the atmospheric oxygen to form weakly bonded Ti-O. The presence of TiC nanocrystals greatly modified the sp{sup 3}/sp{sup 2} hybridized bonding ratio and is reflected in mechanical hardness of Ti-DLC films. These films were then tested for their biocompatibility by an invitro cell culturing test. Morphological observation and the cell proliferation test have demonstrated that the human osteoblast cells well attach and proliferate on the surface of Ti incorporated DLC films, suggesting possible applications in bone related implant coatings.

  10. Dry And Ringer Solution Lubricated Tribology Of Thin Osseoconductive Metal Oxides And Diamond-Like Carbon Films

    Directory of Open Access Journals (Sweden)

    Waldhauser W.

    2015-09-01

    Full Text Available Achieving fast and strong adhesion to jawbone is essential for dental implants. Thin deposited films may improve osseointegration, but they are prone to cohesive and adhesive fracture due to high stresses while screwing the implant into the bone, leading to bared, less osteoconductive substrate surfaces and nano- and micro-particles in the bone. Aim of this work is the investigation of the cohesion and adhesion failure stresses of osteoconductive tantalum, titanium, silicon, zirconium and aluminium oxide and diamond-like carbon films. The tribological behaviour under dry and lubricated conditions (Ringer solution reveals best results for diamond-like carbon, while cohesion and adhesion of zirconium oxide films is highest.

  11. Mechanical properties and tribological behavior of fullerene-like hydrogenated carbon films prepared by changing the flow rates of argon gas

    Energy Technology Data Exchange (ETDEWEB)

    Guo, Junmeng [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences , Lanzhou 730000 (China); School of Petrochemical Engineering, Lanzhou University of Technology , Lanzhou 730000 (China); Wang, Yongfu; Liang, Hongyu; Liang, Aimin [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences , Lanzhou 730000 (China); Zhang, Junyan, E-mail: zhangjunyan@licp.cas.cn [State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences , Lanzhou 730000 (China)

    2016-02-28

    Graphical abstract: - Highlights: • We prepared hydrogenated carbon films with different content of the fullerene-like nanostructure. • There is a linear relationship between the fullerene-like content and the mechanical properties, tribological behavior of as-deposited FL-C:H films. • New fullerene-like nanostructure may serve as a self-lubrication without addition of any other lubricant during the friction process. • New fullerene-like nanostructure may originate from the rapid annealing and stress relaxation during friction process. - Abstract: Fullerene-like hydrogenated carbon (FL-C:H) films as carbon materials were prepared by direct current plasma enhanced chemical vapor deposition (dc-PECVD) technique. The content of FL nanostructure was confirmed by high-resolution transmission electron microscopy (HRTEM), visible Raman spectroscopy, and X-ray photoelectron spectroscopy (XPS). The effect of fullerene-like nanostructure on the friction behavior of the films was studied using a reciprocating ball-on-flat tribometer in humid environment. It is concluded that the curved FL nanostructure provide the film excellent mechanical properties and friction performance. Interestingly, combining with the results of Raman analyses of the wear debris, we find that new FL nanostructure form during the friction process. These new FL nanostructure may originate from the rapid annealing and stress relaxation of unstable carbon clusters.

  12. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  13. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  14. Plasma deposition of cubic boron nitride films from non-toxic material at low temperatures

    International Nuclear Information System (INIS)

    Karim, M.Z.; Cameron, D.C.; Murphy, M.J.; Hashmi, M.S.J.

    1991-01-01

    Boron nitride has become the focus of a considerable amount of interest because of its properties which relate closely to those of carbon. In particular, the cubic nitride phase has extreme hardness and very high thermal conductivity similar to the properties of diamond. The conventional methods of synthesis use the highly toxic and inflammable gas diborane (B 2 H 6 ) as the reactant material. A study has been made of the deposition of thin films of boron nitride (BN) using non-toxic material by the plasma-assisted chemical vapour deposition technique. The source material was borane-ammonia (BH 3 -NH 3 ) which is a crystalline solid at room temperature with a high vapour pressure. The BH 3 -NH 3 vapour was decomposed in a 13.56 MHz nitrogen plasma coupled either inductively or capacitively with the system. The composition of the films was assessed by measuring their IR absorption when deposited on silicon and KBr substrates. The hexagonal (graphitic) and cubic (diamond-like) allotropes can be distinguished by their characteristic absorption bands which occur at 1365 and 780 cm -1 (hexagonal) and 1070 cm -1 (cubic). We have deposited BN films consisting of a mixture of hexagonal and cubic phases; the relative content of the cubic phase was found to be directly dependent on r.f. power and substrate bias. (orig.)

  15. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  16. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  17. Gas barrier properties of hydrogenated amorphous carbon films coated on polyethylene terephthalate by plasma polymerization in argon/n-hexane gas mixture

    Energy Technology Data Exchange (ETDEWEB)

    Polonskyi, Oleksandr; Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Petr, Martin; Choukourov, Andrei; Hanuš, Jan; Biederman, Hynek

    2013-07-01

    Hydrogenated amorphous carbon thin films were deposited by RF plasma polymerization in argon/n-hexane gas mixture on polyethylene terephthalate (PET) foils. It was found that such deposited films may significantly improve the barrier properties of PET. It was demonstrated that the principal parameter that influences barrier properties of such deposited films towards oxygen and water vapor is the density of the coatings. Moreover, it was shown that for achieving good barrier properties it is advantageous to deposit coatings with very low thickness. According to the presented results, optimal thickness of the coating should not be higher than several tens of nm. - Highlights: • a-C:H films were prepared by plasma polymerization in Ar/n-hexane atmosphere. • Barrier properties of coatings are dependent on their density and thickness. • Highest barrier properties were observed for films with thickness 15 nm.

  18. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  19. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  20. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  1. Synthesis and characterization of boron carbon nitride films by radio frequency magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Z.F.; Bello, I.; Lei, M.K.; Lee, C.S.; Lee, S.T. [City Univ. of Hong Kong, Kowloon (Hong Kong). Dept. of Physics and Materials Science; Li, K.Y. [Department of Manufacturing Engineering and Engineering Management, City University of Hong Kong, Kowloon (Hong Kong)

    2000-06-01

    Boron carbon nitride (BCN) films were deposited on silicon substrates by radio frequency (r.f.) (13.56 MHz) magnetron sputtering from hexagonal boron nitride (h-BN) and graphite targets in an Ar-N{sub 2} gas mixture of a constant pressure of 1.0 Pa. During deposition, the substrates were maintained at a temperature of 400 C and negatively biased using a pulsed voltage with a frequency of 330 kHz. Different analysis techniques such as X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, X-ray diffraction (XRD) and scanning Auger electron microscopy (SAM) were used for characterization. In addition, the mechanical and tribological properties of the films were investigated by nano-indentation and micro-scratching. The carbon concentration in the films could be adjusted by the coverage area of a graphite sheet on the h-BN target, and decreased with increasing bias voltage. It was found that the ternary compound films within the B-C-N composition triangle possessed a less ordered structure. B--N, B--C and C--N chemical bonds were established in the films, and no phase separation of graphite and h-BN occurred. At zero bias voltage, amorphous BC{sub 2}N films with atomically smooth surface could be obtained, and the microfriction coefficient was 0.11 under a normal load of 1000 {mu}N. Hardness as determined by nano-indentation was usually in the range of 10-30 GPa, whereas the Young's modulus was within 100-200 GPa. (orig.)

  2. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  3. Growth of superconducting MgB2 films by pulsed-laser deposition using a Nd-YAG laser

    International Nuclear Information System (INIS)

    Badica, P; Togano, K; Awaji, S; Watanabe, K

    2006-01-01

    Thin films of MgB 2 on r-cut Al 2 O 3 substrates have been grown by pulsed-laser deposition (PLD) using a Nd-YAG laser (fourth harmonic-266 nm) instead of the popular KrF excimer laser. The growth window to obtain superconducting films is laser energy 350-450 mJ and vacuum pressure with Ar-buffer gas of 1-8/10 Pa (initial background vacuum 0.5-1 x 10 -3 Pa). Films were deposited at room temperature and post-annealed in situ and ex situ at temperatures of 500-780 0 C and up to 1 h. Films are randomly oriented with maximum critical temperature (offset of resistive transition) of 27 K. SEM/TEM/EDS investigations show that they are mainly composed of small sphere-like particles (≤20 nm), and contain oxygen and some carbon, uniformly distributed in the flat matrix, but the amount of Mg and/or oxygen is higher in the aggregates-droplets (100-1000 nm) observed on the surface of the film's matrix. Some aspects of the processing control and dependences on film characteristics are discussed. The technique is promising for future development of coated conductors

  4. The growth of the metallic ZrNx thin films on P-GaN substrate by pulsed laser deposition

    Science.gov (United States)

    Gu, Chengyan; Sui, Zhanpeng; Li, Yuxiong; Chu, Haoyu; Ding, Sunan; Zhao, Yanfei; Jiang, Chunping

    2018-03-01

    Although metal nitride thin films have attractive prospects in plasmonic applications due to its stable properties in harsh environments containing high temperatures, shock, and contaminants, the effect of deposition parameters on the properties of the metallic ZrN grown on III-N semiconductors by pulse laser deposition still lacks of detailed exploration. Here we have successfully prepared metallic ZrNx films on p-GaN substrate by pulsed laser deposition in N2 ambient of various pressures at a fixed substrate temperature (475 °C). It is found that the films exhibit quite smooth surfaces and (111) preferred orientation. The X-ray photoelectron spectroscopy measurements indicate that carbon contamination can be completely removed and oxygen contamination is significantly reduced on the film surfaces after cleaning using Ar+ sputtering. The N/Zr ratio increases from 0.64 to 0.75 when the N2 pressure increases from 0.5 Pa to 3 Pa. The optical reflectivity spectra measured by the UV-vis-NIR spectrophotometer show that the ZrNx is a typical and good metallic-like material and its metallic properties can be tuned with changing the film compositions.

  5. Influence of disorder on localization and density of states in amorphous carbon nitride thin films systems rich in π-bonded carbon atoms

    International Nuclear Information System (INIS)

    Alibart, F.; Lejeune, M.; Durand Drouhin, O.; Zellama, K.; Benlahsen, M.

    2010-01-01

    We discuss in this paper the evolution of both the density of states (DOS) located between the band-tail states and the DOS around the Fermi level N(E F ) in amorphous carbon nitride films (a-CN x ) as a function of the total nitrogen partial pressure ratio in the Ar/N 2 plasma mixture. The films were deposited by three different deposition techniques and their microstructure was characterized using a combination of infrared and Raman spectroscopy and optical transmission experiments, completed with electrical conductivity measurements, as a function of temperature. The observed changes in the optoelectronic properties are attributed to the modification in the atomic bonding structures, which were induced by N incorporation, accompanied by an increase in the sp 2 carbon bonding configurations and their relative disorder. The electrical conductivity variation was interpreted in terms of local effects on the nature and energy distribution of π and π* states.

  6. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  7. Photochemical deposition of NiCoO x thin films from Ni/Co heteronuclear triketonate complexes

    International Nuclear Information System (INIS)

    Buono-Core, G.E.; Tejos, M.; Cabello, G.; Guzman, N.; Hill, R.H.

    2006-01-01

    UV light irradiation of thin films of a polyketonate Ni/Co heteronuclear complex, NiCo(DBA) 2 [DBA, dibenzoylacetone)], spin coated on Si(1 0 0) substrates produced NiCoO x mixed oxides as amorphous films. On annealing at 600 deg. C under air, the mixed oxide film decomposed to NiO and CoO as indicated by XRD measurements. The morphology of the as-deposited films was examined by AFM analysis showing a smooth surface with low rms roughness values. The ratio of Ni/Co (1.08) present in the film reflects the stoichiometry in the starting compound within the experimental error, as shown by XPS analysis. The large amount of carbon (20.8%) detected on the surface of the film may be due to the presence of phenyl rings in the precursor complex

  8. Infrared analysis of thin films amorphous, hydrogenated carbon on silicon

    CERN Document Server

    Jacob, W; Schwarz-Selinger, T

    2000-01-01

    The infrared analysis of thin films on a thick substrate is discussed using the example of plasma-deposited, amorphous, hydrogenated carbon layers (a-C:H) on silicon substrates. The framework for the optical analysis of thin films is presented. The main characteristic of thin film optics is the occurrence of interference effects due to the coherent superposition of light multiply reflected at the various internal and external interfaces of the optical system. These interference effects lead to a sinusoidal variation of the transmitted and reflected intensity. As a consequence, the Lambert-Beer law is not applicable for the determination of the absorption coefficient of thin films. Furthermore, observable changes of the transmission and reflection spectra occur in the vicinity of strong absorption bands due to the Kramers-Kronig relation. For a sound data evaluation these effects have to be included in the analysis. To be able to extract the full information contained in a measured optical thin film spectrum, ...

  9. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  10. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  11. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  12. Unraveling the growth of vertically aligned multi-walled carbon nanotubes by chemical vapor deposition

    International Nuclear Information System (INIS)

    Ramirez, A; Royo, C; Latorre, N; Mallada, R; Monzón, A; Tiggelaar, R M

    2014-01-01

    The interaction between the main operational variables during the growth of vertically aligned multiwalled carbon nanotubes (VA-MWCNTs) by catalytic chemical vapor deposition is studied. In this contribution, we report the influence of the carbon source (i.e. acetylene, ethylene and propylene), the reaction/activation temperature, the rate of heating, the reaction time, the metal loading, and the metallic nanoparticle size and distribution on the growth and alignment of carbon nanotubes. Fe/Al thin films deposited onto silicon samples by electron-beam evaporation are used as catalyst. A phenomenological growth mechanism is proposed to explain the interaction between these multiple factors. Three different outcomes of the synthesis process are found: i) formation of forests of non-aligned, randomly oriented multi-walled carbon nanotubes, ii) growth of vertically aligned tubes with a thin and homogeneous carbonaceous layer on the top, and iii) formation of vertically aligned carbon nanotubes. This carbonaceous layer (ii) has not been reported before. The main requirements to promote vertically aligned carbon nanotube growth are determined. (paper)

  13. Controlling single and few-layer graphene crystals growth in a solid carbon source based chemical vapor deposition

    International Nuclear Information System (INIS)

    Papon, Remi; Sharma, Subash; Shinde, Sachin M.; Vishwakarma, Riteshkumar; Tanemura, Masaki; Kalita, Golap

    2014-01-01

    Here, we reveal the growth process of single and few-layer graphene crystals in the solid carbon source based chemical vapor deposition (CVD) technique. Nucleation and growth of graphene crystals on a polycrystalline Cu foil are significantly affected by the injection of carbon atoms with pyrolysis rate of the carbon source. We observe micron length ribbons like growth front as well as saturated growth edges of graphene crystals depending on growth conditions. Controlling the pyrolysis rate of carbon source, monolayer and few-layer crystals and corresponding continuous films are obtained. In a controlled process, we observed growth of large monolayer graphene crystals, which interconnect and merge together to form a continuous film. On the other hand, adlayer growth is observed with an increased pyrolysis rate, resulting few-layer graphene crystal structure and merged continuous film. The understanding of monolayer and few-layer crystals growth in the developed CVD process can be significant to grow graphene with controlled layer numbers.

  14. Investigation of corrosion behavior of nitrogen doped and platinum/ruthenium doped diamond-like carbon thin films in Hank's solution

    Energy Technology Data Exchange (ETDEWEB)

    Khun, N.W.; Liu, E., E-mail: MEJLiu@ntu.edu.sg

    2011-10-10

    Undoped (DLC), nitrogen-doped (N-DLC) and platinum/ruthenium doped diamond-like carbon (PtRu-DLC) thin films were deposited on p-Si (100) substrates using a DC magnetron sputtering deposition system. The chemical composition, bonding structure, surface morphology and adhesion strength of the films were characterized using X-ray photoelectron spectroscopy (XPS), micro-Raman spectroscopy, atomic force microscopy (AFM) and micro-scratch test, respectively. The corrosion behavior of the films in a Hank's solution was investigated using potentiodynamic polarization test. The corrosion results revealed that the PtRu-DLC film had the highest corrosion potential among the films used in this study. Highlights: {yields} DLC thin films were deposited on Si substrates via dc magnetron sputtering. {yields} Some DLC films were doped with N and/or Pt/Ru. {yields} The film corrosion behavior was studied in a Hank solution with polarization test. {yields} The PtRu-DLC film showed the highest corrosion potential among the films studied.

  15. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  16. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  17. Investigation of carbon nanotube-containing film on silicon substrates and its tribological behavior

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Zhiyong [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); Cheng, Xianhua, E-mail: xhcheng@sjtu.edu.cn [School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai 200240 (China); State Key Laboratory of Solid Lubrication, Lanzhou Institute of Chemical Physics, Chinese Academy of Sciences, Lanzhou 730000 (China)

    2015-11-15

    Highlights: • CNT-containing film was self-assembled on silicon substrates. • CNTs are strongly bonded with the substrates by chemical combination between La and oxygen-containing functional groups. • CNT-containing film has excellent friction reduction, load-carrying capacity and anti-wear ability. - Abstract: Carbon nanotubes (CNTs) were functionalized with Lanthanum (La) modifier and appropriate acid-treatment methods. CNT-containing film was deposited on silicon substrates via a self-assembly process. The formation and microstructure of La treated CNTs and CNT-containing film were characterized by high-resolution transmission electron microscopy (HRTEM), scanning electron microscopy (SEM), X-ray photoelectron spectrometry (XPS) and water contact angle (WCA). Its tribological properties were evaluated with a UMT-2MT reciprocating friction tester. The results show that CNTs were adsorbed on silicon substrates by means of chemically bonding between La and oxygen-containing functional groups. The friction coefficient of the silicon substrates is reduced from 0.87 to 0.12 after the deposition of CNT-containing film on its surface. CNT-containing film shows excellent antiwear, friction reducing ability and load-carrying capacity due to excellent mechanical and self-lubrication properties of CNTs.

  18. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  19. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  20. Characterization of thin film deposits on tungsten filaments in catalytic chemical vapor deposition using 1,1-dimethylsilacyclobutane

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Yujun, E-mail: shiy@ucalgary.ca; Tong, Ling; Mulmi, Suresh [Department of Chemistry, University of Calgary, Calgary, Alberta T2N 1N4 (Canada)

    2016-09-15

    Metal filament plays a key role in the technique of catalytic chemical vapor deposition (Cat-CVD) as it serves as a catalyst in dissociating the source gas to form reactive species. These reactive species initiate the gas-phase reaction chemistry and final thin film and nanostructure formation. At the same time, they also react with the metal itself, leading to the formation of metal alloys and other deposits. The deposits on the tungsten filaments when exposed to 1,1-dimethylsilacyclobutane (DMSCB), a single-source precursor for silicon carbide thin films, in the process of Cat-CVD were studied in this work. It has been demonstrated that a rich variety of deposits, including tungsten carbides (W{sub 2}C and WC), tungsten silicide (W{sub 5}Si{sub 3}), silicon carbide, amorphous carbon, and graphite, form on the W filament surfaces. The structural and morphological changes in the tungsten filaments depend strongly on the DMSCB pressure and filament temperature. At 1000 and 2000 °C, the formation of WC and W{sub 2}C dominates. In addition, a thin amorphous carbon layer has been found at 1500 °C with the 0.12 and 0.24 Torr of DMSCB and a lower temperature of 1200 °C with the 0.48 Torr of DMSCB. An increase in the DMSCB sample pressure gives rise to higher Si and C contents. As a result, the formation of SiC and W{sub 5}Si{sub 3} has been observed with the two high-pressure DMSCB samples (i.e., 0.24 and 0.48 Torr). The rich decomposition chemistry of DMSCB on the W surfaces is responsible for the extensive changes in the structure of the W filament, providing support for the close relationship between the gas-phase decomposition chemistry and the nature of alloy formation on the metal surface. The understanding of the structural changes obtained from this work will help guide the development of efficient methods to solve the filament aging problem in Cat-CVD and also to achieve a controllable deposition process.