WorldWideScience

Sample records for buffer layer deposited

  1. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Hultqvist, Adam; Bent, Stacey F. [Department of Chemical Engineering, Stanford University, Stanford, California 94305 (United States); Li, Jian V.; Kuciauskas, Darius; Dippo, Patricia; Contreras, Miguel A.; Levi, Dean H. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.

  2. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    International Nuclear Information System (INIS)

    Valdueza-Felip, S.; Ibáñez, J.; Monroy, E.; González-Herráez, M.; Artús, L.; Naranjo, F.B.

    2012-01-01

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of ∼ 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at ∼ 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: ► Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. ► Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. ► Room-temperature photoluminescence emission at 1.58 eV. ► InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  3. Improvement of InN layers deposited on Si(111) by RF sputtering using a low-growth-rate InN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Valdueza-Felip, S., E-mail: sirona.valdueza@depeca.uah.es [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Ibanez, J. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Monroy, E. [CEA-Grenoble, INAC/SP2M/NPSC, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Gonzalez-Herraez, M. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain); Artus, L. [Institut de Ciencies de la Terra Jaume Almera, Consejo Superior de Investigaciones Cientificas (CSIC), c/Lluis Sole Sabaris s/n, 08028 Barcelona (Spain); Naranjo, F.B. [Electronics Dept., Polytechnic School, University of Alcala, Madrid-Barcelona Road, km 33.6, 28871 Alcala de Henares, Madrid (Spain)

    2012-01-31

    We investigate the influence of a low-growth-rate InN buffer layer on structural and optical properties of wurtzite nanocrystalline InN films deposited on Si(111) substrates by reactive radio-frequency sputtering. The deposition conditions of the InN buffer layer were optimized in terms of morphological and structural quality, leading to films with surface root-mean-square roughness of {approx} 1 nm under low-growth-rate conditions (60 nm/h). The use of the developed InN buffer layer improves the crystalline quality of the subsequent InN thick films deposited at high growth rate (180 nm/h), as confirmed by the narrowing of X-ray diffraction peaks and the increase of the average grain size of the layers. This improvement of the structural quality is further confirmed by Raman scattering spectroscopy measurements. Room temperature PL emission peaking at {approx} 1.58 eV is observed for InN samples grown with the developed buffer layer. The crystal and optical quality obtained for InN films grown on Si(111) using the low-growth-rate InN buffer layer become comparable to high-quality InN films deposited directly on GaN templates by RF sputtering. - Highlights: Black-Right-Pointing-Pointer Improved RF-sputtered InN films on Si(111) using a low-growth-rate InN buffer layer. Black-Right-Pointing-Pointer Enhanced structural quality confirmed by X-ray diffraction and Raman measurements. Black-Right-Pointing-Pointer Room-temperature photoluminescence emission at 1.58 eV. Black-Right-Pointing-Pointer InN films deposited with buffer layer on Si comparable to InN LAYERS on GaN templates.

  4. Microstructural characterization of chemical bath deposited and sputtered Zn(O,S) buffer layers

    International Nuclear Information System (INIS)

    Gautron, E.; Buffière, M.; Harel, S.; Assmann, L.; Arzel, L.; Brohan, L.; Kessler, J.; Barreau, N.

    2013-01-01

    The present work aims at investigating the microstructure of Zn(O,S) buffer layers relative to their deposition route, namely either chemical bath deposition (CBD) or RF co-sputtering process (PVD) under pure Ar. The core of the study consists of cross-sectional transmission electron microscopy (TEM) characterization of the differently grown Zn(O,S) thin films on co-evaporated Cu(In,Ga)Se 2 (CIGSe) absorbers. It shows that the morphology of Zn(O,S) layer deposited on CIGSe using CBD process is made of a thin layer of well oriented ZnS sphalerite-(111) and/or ZnS wurtzite-(0002) planes parallel to CIGSe chalcopyrite-(112) planes at the interface with CIGSe followed by misoriented nanometer-sized ZnS crystallites in an amorphous phase. As far as (PVD)Zn(O,S) is concerned, the TEM analyses reveal two different microstructures depending on the S-content in the films: for [S] / ([O] + [S]) = 0.6, the buffer layer is made of ZnO zincite and ZnS wurtzite crystallites grown nearly coherently to each other, with (0002) planes nearly parallel with CIGSe-(112) planes, while for [S] / ([O] + [S]) = 0.3, it is made of ZnO zincite type crystals with O atoms substituted by S atoms, with (0002) planes perfectly aligned with CIGSe-(112) planes. Such microstructural differences can explain why photovoltaic performances are dependent on the Zn(O,S) buffer layer deposition route. - Highlights: ► Zn(O,S) layers were grown by chemical bath (CBD) or physical vapor (PVD) deposition. ► For CBD, a 3 nm ZnS layer is followed by ZnS nano-crystallites in an amorphous phase. ► For PVD with [S] / ([O] + [S]) = 0.3, the layer has a Zn(O,S) zincite structure. ► For PVD with [S] / ([O] + [S]) = 0.6, ZnS wurtzite and ZnO zincite phases are mixed

  5. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    Science.gov (United States)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  6. Sol-gel deposition of buffer layers on biaxially textured metal substances

    Science.gov (United States)

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  7. Effect of Cu buffer layer on magnetic anisotropy of cobalt thin films deposited on MgO(001 substrate

    Directory of Open Access Journals (Sweden)

    Syed Sheraz Ahmad

    2016-11-01

    Full Text Available Cobalt thin films with 5 nm thickness were prepared on single-crystal MgO (001 substrates with different thickness Cu buffer (0 nm, 5 nm, 10 nm, 20 nm. The structure, magnetic properties and transport behaviors were investigated by employing low-energy-electron-diffraction (LEED, magneto-optical Kerr effect (MOKE and anisotropic magnetoresistance (AMR. By comparing the magnetic properties of the sample as-deposited (without Cu buffer layer one with those having the buffer Cu, we found that the magnetic anisotropy was extremely affected by the Cu buffer layer. The magnetic anisotropy of the as-deposited, without buffer layer, sample shows the uniaxial magnetic anisotropy (UMA. We found that the symmetry of the magnetic anisotropy is changed from UMA to four-fold when the thickness of the Cu buffer layer reaches to 20 nm. Meanwhile, the coercivity increased from 49 Oe (without buffer layer to 300 Oe (with 20 nm Cu buffer, in the easy axis direction, as the thickness of the buffer layer increases. Moreover, the magnitudes of various magnetic anisotropy constants were determined from torque curves on the basis of AMR results. These results support the phenomenon shown in the MOKE.

  8. Atmospheric spatial atomic layer deposition of Zn(O,S) buffer layer for Cu(In,Ga)Se2 solar cells

    NARCIS (Netherlands)

    Frijters, C.H.; Poodt, P.; Illeberi, A.

    2016-01-01

    Zinc oxysulfide has been grown by spatial atomic layer deposition (S-ALD) and successfully applied as buffer layer in Cu(In, Ga)Se2 (CIGS) solar cells. S-ALD combines high deposition rates (up to nm/s) with the advantages of conventional ALD, i.e. excellent control of film composition and superior

  9. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    Science.gov (United States)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  10. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers

    International Nuclear Information System (INIS)

    Costa e Silva, Danilo Lopes

    2015-01-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  11. The effects of ZnO buffer layers on the properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kim, K-W; Lugo, F J; Lee, J H; Norton, D P

    2012-01-01

    The properties of phosphorus doped ZnO thin films grown on sapphire by pulsed laser deposition were examined, specifically focusing on the effects of undoped ZnO buffer layers. In particular, buffer layers were grown under different conditions; the transport properties of as-deposited and rapid thermal annealed ZnO:P films were then examined. As-deposited films showed n-type conductivity. After rapid thermal annealing, the film on buffer layer grown at a low temperature showed the conversion of carrier type to p-type for specific growth conditions while the films deposited on buffer layer grown at a high temperature remained n-type regardless of growth condition. The films deposited on buffer layer grown at a low temperature showed higher resistivity and more significant change of the transport properties upon rapid thermal annealing. These results suggest that more dopants are incorporated in films with higher defect density. This is consistent with high resolution x-ray diffraction results for phosphorus doped ZnO films on different buffer layers. In addition, the microstructure of phosphorus doped ZnO films is substantially affected by the buffer layer.

  12. Electrochemical preparation of MoO{sub 3} buffer layer deposited onto the anode in organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Gacitua, M.; Soto, G.; Valle, M.A. del [Pontificia Universidad Catolica de Chile, Facultad de Quimica, Laboratorio de Electroquimica de Polimeros (LEP), Santiago (Chile); Boutaleb, Y.; Rehamnia, R. [Laboratoire d' Electrochimie, Universite Badji Mokhtar, Annaba (Algeria); Cattin, L.; Louarn, G. [Universite de Nantes, Nantes Atlantique Universites, Institut des Materiaux Jean Rouxel (IMN)-CNRS, Faculte des Sciences et Techniques, Nantes (France); Abe, S.Y. [Laboratoire de Physique de la Matiere Condensee et de Technologie (LPMCT), Universite de Cocody (Ivory Coast); Lare, Y. [Laboratoire d' Energie Solaire, Universite de Lome, Lome (Togo); Morsli, M; Bernede, J.C. [Universite de Nantes, Nantes Atlantique Universites, LAMP, EA 3825, Faculte des Sciences et des Techniques, Nantes (France); Drici, A. [LEREC Departement de physique, Universite Badji Mokhtar, Annaba (Algeria)

    2010-08-15

    In this work the authors have studied the advantages of using electrochemically deposited molybdenum oxide as a buffer layer in an organic bilayer heterojunction solar cell arrangement. Furthermore, it has been probed that electrochemistry provides an alternative low cost, reproducible and less laborious method to prepare thin layered deposits. The precursor solution is composed by a concentrated molybdic acid solution in a sulphuric media in order to ensure the obtainment of low reduced molybdenum species. Therefore, by means of potentiostatic techniques, ITO/molybdenum oxide transparent anodes were tested for the photovoltaic device showing improved surface properties. XDR and AFM techniques were used to characterize the morphology of the deposits. The films with optimum thickness (5 nm) are amorphous. XPS analysis indicates that the best results in solar cell performance are in hand with a heterogeneous composition of the molybdenum oxide film presenting Mo{sup V} and Mo{sup VI} as predominant species. The MoO{sub 3} films deposited by cyclic voltammetry are not as homogeneous as those deposited by potentiostatic technique and only Mo{sup VI} species are present. These differences may justify the different behaviour of the solar cells using these different buffer layers. Only buffer layers deposited by potentiostatic technique allow improving the cells performances in the same way than those achieved by evaporation. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  13. Development and application of a green-chemistry solution deposition technique for buffer layer coating on cube-textured metal substrates in view of further deposition of rare-earth based superconductors

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P

    which consist of YBCO superconducting coatings on cube-textured Ni based alloy tapes.  Before the epitaxial deposition this superconducting layer, a buffer layer is applied on the metal substrate as a diffusion barrier which is also required to transfer the strong texture of the underlying substrate......, allowing the epitaxial growth of the superconducting layer. State-of-the-art coated conductor hetero structures are mainly based on CeO2 based buffer stacks that consist of a sequence of several different buffer layers. Buffer layers deposited by continuous chemical deposition techniques, which...... is expected to be very advantageous in reel-to-reel applications. The thickness of these SrTiO3 monolayers was large enough to stop the nickel and copper diffusion from the Cu/Ni substrate. Hence, the developed high quality buffer layers are expected to be acting as efficient diffusion barriers and also...

  14. Vanadium oxide thin films deposited on silicon dioxide buffer layers by magnetron sputtering

    International Nuclear Information System (INIS)

    Chen Sihai; Ma Hong; Wang Shuangbao; Shen Nan; Xiao Jing; Zhou Hao; Zhao Xiaomei; Li Yi; Yi Xinjian

    2006-01-01

    Thin films made by vanadium oxide have been obtained by direct current magnetron sputtering method on SiO 2 buffer layers. A detailed electrical and structural characterization has been performed on the deposited films by four-point probe method and scanning electron microscopy (SEM). At room temperature, the four-point probe measurement result presents the resistance of the film to be 25 kU/sheet. The temperature coefficient of resistance is - 2.0%/K. SEM image indicates that the vanadium oxide exhibits a submicrostructure with lamella size ranging from 60 nm to 300 nm. A 32 x 32-element test microbolometer was fabricated based on the deposited thin film. The infrared response testing showed that the response was 200 mV. The obtained results allow us to conclude that the vanadium oxide thin films on SiO 2 buffer layers is suitable for uncooled focal plane arrays applications

  15. Enhanced magnetic properties of chemical solution deposited BiFeO3 thin film with ZnO buffer layer

    International Nuclear Information System (INIS)

    Rajalakshmi, R.; Kambhala, Nagaiah; Angappane, S.

    2012-01-01

    Highlights: ► Enhanced magnetization of BiFeO 3 is important for strong magnetoelectric coupling. ► BiFeO 3 film with ZnO buffer layer was successfully synthesized by chemical method. ► Magnetization of BiFeO 3 has increased by more than 10 times with ZnO buffer layer. ► A mechanism for enhancement in ferromagnetism of BiFeO 3 film is proposed. - Abstract: Magnetic properties of BiFeO 3 films deposited on Si substrates with and without ZnO buffer layer have been studied in this work. We adopted the chemical solution deposition method for the deposition of BiFeO 3 as well as ZnO films. The x-ray diffraction measurements on the deposited films confirm the formation of crystalline phase of BiFeO 3 and ZnO films, while our electron microscopy measurements help to understand the morphology of few micrometers thick films. It is found that the deposited ZnO film exhibit a hexagonal particulate surface morphology, whereas BiFeO 3 film fully covers the ZnO surface. Our magnetic measurements reveal that the magnetization of BiFeO 3 has increased by more than ten times in BiFeO 3 /ZnO/Si film compared to BiFeO 3 /Si film, indicating the major role played by ZnO buffer layer in enhancing the magnetic properties of BiFeO 3 , a technologically important multiferroic material.

  16. Effect of oxygen pressure of SiOx buffer layer on the electrical properties of GZO film deposited on PET substrate

    International Nuclear Information System (INIS)

    Ahn, Byung Du; Ko, Young Gun; Oh, Sang Hoon; Song, Jean-Ho; Kim, Hyun Jae

    2009-01-01

    The present work was made to investigate the effect of oxygen pressure of SiO x layer on the electrical properties of Ga-doped ZnO (GZO) films deposited on poly-ethylene telephthalate (PET) substrate by utilizing the pulsed-laser deposition at ambient temperature. For this purpose, the SiO x buffer layers were deposited at various oxygen pressures ranging from 13.3 to 46.7 Pa. With increasing oxygen pressure during the deposition of SiO x layer as a buffer, the electrical resistivity of GZO/SiO x /PET films gradually decreased from 7.6 x 10 -3 to 6.8 x 10 -4 Ω.cm, due to the enhanced mobility of GZO films. It was mainly due to the grain size of GZO films related to the roughened surface of the SiO x buffer layers. In addition, the average optical transmittance of GZO/SiO x /PET films in a visible regime was estimated to be ∼ 90% comparable to that of GZO deposited onto a glass substrate.

  17. ZnS/Zn(O,OH)S-based buffer layer deposition for solar cells

    Science.gov (United States)

    Bhattacharya, Raghu N [Littleton, CO

    2009-11-03

    The invention provides CBD ZnS/Zn(O,OH)S and spray deposited ZnS/Zn(O,OH)S buffer layers prepared from a solution of zinc salt, thiourea and ammonium hydroxide dissolved in a non-aqueous/aqueous solvent mixture or in 100% non-aqueous solvent. Non-aqueous solvents useful in the invention include methanol, isopropanol and triethyl-amine. One-step deposition procedures are described for CIS, CIGS and other solar cell devices.

  18. Methods for improved growth of group III nitride buffer layers

    Science.gov (United States)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    2014-07-15

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphology of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).

  19. Back contact buffer layer for thin-film solar cells

    Science.gov (United States)

    Compaan, Alvin D.; Plotnikov, Victor V.

    2014-09-09

    A photovoltaic cell structure is disclosed that includes a buffer/passivation layer at a CdTe/Back contact interface. The buffer/passivation layer is formed from the same material that forms the n-type semiconductor active layer. In one embodiment, the buffer layer and the n-type semiconductor active layer are formed from cadmium sulfide (CdS). A method of forming a photovoltaic cell includes the step of forming the semiconductor active layers and the buffer/passivation layer within the same deposition chamber and using the same material source.

  20. Tunnel magnetoresistance of an as-deposited Co2FeAl0.5Si0.5-based magnetic tunnel junction on a Ta/Ru buffer layer

    International Nuclear Information System (INIS)

    Hwang, Jae Youn; Lee, Gae Hun; Song, Yun Heub; Yim, Hae In

    2010-01-01

    A magnetic tunnel junction (MTJ) with a Co 2 FeAl 0.5 Si 0.5 (CFAS) heusler film on a conductive Ta/Ru buffer layer was fabricated for the first time. In the as-deposited state, a highly B2-ordered CFAS film was obtained by using the Ta/Ru buffer layer. The Ta (110) buffer layer causes a Ru (002) buffer layer, which leads to the growth of CFAS with a B2 structure and a completely flat CFAS film. After 600 .deg. C annealing, strain relaxation occurred in the Ta/Ru interface, and the surface roughness decreased; however, the B2-ordered CFAS film remained. Also, in the as-deposited state, a exchange-biased CFAS/AlO x /CFAS MTJ deposited on a Ta/Ru buffer layer exhibited a relatively high tunnel magnetoresistance (TMR) of 13% at room temperature, which resulted from the highly B2-ordered CFAS layer and the perfectly flat surface roughness resulting from the use of the Ta/Ru buffer layer.

  1. Sm-doped CeO2 single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    International Nuclear Information System (INIS)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y.; Cheng, C.H.; Zhao, Y.

    2008-01-01

    An over 150 nm thick Sm 0.2 Ce 0.8 O 1.9-x (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T c0 = 87 K as well as J c (0 T, 77 K) ∼ 1 MA/cm 2 . These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO 2 film, which renders it a promising candidate as single buffer layer for YBCO coated conductors

  2. Growth of thick La2Zr2O7 buffer layers for coated conductors by polymer-assisted chemical solution deposition

    International Nuclear Information System (INIS)

    Zhang, Xin; Zhao, Yong; Xia, Yudong; Guo, Chunsheng; Cheng, C.H.; Zhang, Yong; Zhang, Han

    2015-01-01

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La 2 Zr 2 O 7 (LZO) epitaxial films have been deposited on LaAlO 3 (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa 2 Cu 3 O 7−x (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm 2 at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors

  3. Carbon thin films deposited by the magnetron sputtering technique using cobalt, copper and nickel as buffer-layers; Filmes finos de carbono depositados por meio da tecnica de magnetron sputtering usando cobalto, cobre e niquel como buffer-layers

    Energy Technology Data Exchange (ETDEWEB)

    Costa e Silva, Danilo Lopes

    2015-11-01

    In this work, carbon thin films were produced by the magnetron sputtering technique using single crystal substrates of alumina c-plane (0001) and Si (111) and Si (100) substrates, employing Co, Ni and Cu as intermediate films (buffer-layers). The depositions were conducted in three stages, first with cobalt buffer-layers where only after the production of a large number of samples, the depositions using cooper buffer-layers were carried out on Si substrates. Then, depositions were performed with nickel buffer layers using single-crystal alumina substrates. The crystallinity of the carbon films was evaluated by using the technique of Raman spectroscopy and, then, by X-ray diffraction (XRD). The morphological characterization of the films was performed by scanning electron microscopy (SEM and FEG-SEM) and high-resolution transmission electron microscopy (HRTEM). The XRD peaks related to the carbon films were observed only in the results of the samples with cobalt and nickel buffer-layers. The Raman spectroscopy showed that the carbon films with the best degree of crystallinity were the ones produced with Si (111) substrates, for the Cu buffers, and sapphire substrates for the Ni and Co buffers, where the latter resulted in a sample with the best crystallinity of all the ones produced in this work. It was observed that the cobalt has low recovering over the alumina substrates when compared to the nickel. Sorption tests of Ce ions by the carbon films were conducted in two samples and it was observed that the sorption did not occur probably because of the low crystallinity of the carbon films in both samples. (author)

  4. Epitaxial growth of high purity cubic InN films on MgO substrates using HfN buffer layers by pulsed laser deposition

    International Nuclear Information System (INIS)

    Ohba, R.; Ohta, J.; Shimomoto, K.; Fujii, T.; Okamoto, K.; Aoyama, A.; Nakano, T.; Kobayashi, A.; Fujioka, H.; Oshima, M.

    2009-01-01

    Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been found that the use of HfN (100) buffer layers allows us to grow cubic InN (100) films with an in-plane epitaxial relationship of [001] InN //[001] HfN //[001] MgO . X-ray diffraction and electron back-scattered diffraction measurements have revealed that the phase purity of the cubic InN films was as high as 99%, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD. - Graphical abstract: Cubic InN films have been grown on MgO substrates with HfN buffer layers by pulsed laser deposition (PLD). It has been revealed that the phase purity of the cubic InN films was as high as 99 %, which can be attributed to the use of HfN buffer layers and the enhanced surface migration of the film precursors by the use of PLD.

  5. Sm-doped CeO{sub 2} single buffer layer for YBCO coated conductors by polymer assisted chemical solution deposition (PACSD) method

    Energy Technology Data Exchange (ETDEWEB)

    Li, G.; Pu, M.H.; Sun, R.P.; Wang, W.T.; Wu, W.; Zhang, X.; Yang, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); Cheng, C.H. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhao, Y. [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia)], E-mail: yzhao@home.swjtu.edu.cn

    2008-10-20

    An over 150 nm thick Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer has been deposited on bi-axially textured NiW (2 0 0) alloy substrate. Highly in-plane and out-of-plane oriented, dense, smooth and crack free SCO single layer has been obtained via a polymer-assisted chemical solution deposition (PACSD) approach. YBCO thin film has been deposited equally via a PACSD route on the SCO-buffered NiW, the as grown YBCO yielding a sharp transition at T{sub c0} = 87 K as well as J{sub c}(0 T, 77 K) {approx} 1 MA/cm{sup 2}. These results indicates that RE (lanthanides other than Ce) doping may be an effective approach to improve the critical thickness of solution derived CeO{sub 2} film, which renders it a promising candidate as single buffer layer for YBCO coated conductors.

  6. Chemical bath deposited zinc sulfide buffer layers for copper indium gallium sulfur-selenide solar cells and device analysis

    International Nuclear Information System (INIS)

    Kundu, Sambhu; Olsen, Larry C.

    2005-01-01

    Cadmium-free copper indium gallium sulfur-selenide (CIGSS) thin film solar cells have been fabricated using chemical bath deposited (CBD) zinc sulfide (ZnS) buffer layers. Shell Solar Industries provided high quality CIGSS absorber layers. The use of CBD-ZnS, which is a higher band gap material than CdS, improved the quantum efficiency of fabricated cells at lower wavelengths, leading to an increase in short circuit current. The best cell to date yielded an active area (0.43 cm 2 ) efficiency of 13.3%. The effect of the ZnS buffer layer thickness on device performance was studied carefully. This paper also presents a discussion of issues relevant to the use of the CBD-ZnS buffer material for improving device performance

  7. Effects of TiO{sub 2} buffer layer on the photoelectrochemical properties of TiO{sub 2} Nano rods grown by modified chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tae-hyun; Ha, Jin-wook; Ryu, Hyukhyun [Inje University, Gimhae (Korea, Republic of); Lee, Won-Jae [Dong-Eui University, Busan (Korea, Republic of)

    2015-08-15

    In this study, we grew TiO{sub 2} nano rods on TiO{sub 2}-film buffered FTO substrate using modified chemical bath deposition (M-CBD). The TiO{sub 2} buffer layer was grown by spin coating method with different RPM (revolutions per minute) values and deposition cycles. We investigated the effects of the RPM values and the deposition cycles on the morphological, structural and photoelectrochemical properties of TiO{sub 2} nano rods. In this work, we have also found that the morphological and structural properties of TiO{sub 2} nano rods affected the photoelectrochemical properties of TiO{sub 2} nano rods. And the maximum photocurrent density of 0.34 mA/cm{sup 2} at 0.6V (vs.SCE) was obtained from the buffer layer deposition process condition of 4,000 RPM and two-times buffer layer depositions.

  8. The mechanism of the nano-CeO2 films deposition by electrochemistry method as coated conductor buffer layers

    International Nuclear Information System (INIS)

    Lu, Yuming; Cai, Shuang; Liang, Ying; Bai, Chuanyi; Liu, Zhiyong; Guo, Yanqun; Cai, Chuanbing

    2015-01-01

    Highlights: • Crack-free CeO 2 film thicker than 200 nm was prepared on NiW substrate by ED method. • Different electrochemical processes as hydroxide/metal mechanisms were identified. • The CeO 2 precursor films deposited by ED method were in nano-scales. - Abstract: Comparing with conventional physical vapor deposition methods, electrochemistry deposition technique shows a crack suppression effect by which the thickness of CeO 2 films on Ni–5 at.%W substrate can reach a high value up to 200 nm without any cracks, make it a potential single buffer layer for coated conductor. In the present work, the processes of CeO 2 film deposited by electrochemistry method are detailed investigated. A hydroxide reactive mechanism and an oxide reactive mechanism are distinguished for dimethyl sulfoxide and aqueous solution, respectively. Before heat treatment to achieve the required bi-axial texture performance of buffer layers, the precursor CeO 2 films are identified in nanometer scales. The crack suppression for electrochemistry deposited CeO 2 films is believed to be attributed to the nano-effects of the precursors

  9. Chemical bath deposition of thin semiconductor films for use as buffer layers in CuInS2 thin film solar cells

    International Nuclear Information System (INIS)

    Kaufmann, C.A.

    2002-01-01

    A CulnS 2 thin film solar cell is a multilayered semiconductor device. The solar cells discussed have a layer sequence Mo/CulnS 2 /buffer/i-ZnO/ZnO:Ga, where a heterojunction establishes between the p-type absorber and the n-type front contact. Conventionally the buffer consists of CdS, deposited by chemical bath deposition (CBD). Apart from providing process oriented benefits the buffer layer functions as a tool for engineering the energy band line-up at the heterojunction interface. Motivated through environmental concern and EU legislation it is felt necessary to substitute this potentially toxic layer by an alternative, Cd-free component. This thesis investigates the suitability of various Zn- and In-compounds, in particular In(OH,O) x S y , as alternative buffer layer materials using CBD. Initial experiments were carried out depositing Zn-based compounds from aqueous solutions. Characterization of the layers, the solution and the processed solar cells was performed. This thesis focuses on the investigation of the CBD process chemistry for the deposition of In-compound thin films. A careful study of the morphology and composition of the deposited thin films was conducted using electron microscopy (SEM, HREM), elastic recoil detection analysis, X-ray photoelectron spectroscopy and optical transmission spectroscopy. This allowed conclusions concerning the nucleation and film growth mechanism from the chemical bath. Connections between bath chemistry, different growth phases, layer morphology and solar cell performance were sought and an improved deposition process was developed. As a result, Cd-free CulnS 2 thin film solar cells with efficiencies of up to 10.6%) (total area) could be produced. Overall the substitution of CdS is shown to be possible by different alternative compounds, such as Zn(OH,O) x S y or In(OH,O) x S y . In the case of In(OH,O) x S y , an understanding of the CBD process and the effect of different growth phases on the resulting solar cell

  10. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    Science.gov (United States)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of plates> have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  11. Buffer layers for REBCO films for use in superconducting devices

    Science.gov (United States)

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  12. Zinc Sulfide Buffer Layer for CIGS Solar Cells Prepared by Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    Rui-Wei You

    2016-11-01

    Full Text Available In this study, ZnS thin films were successfully synthesized by chemical bath deposition (CBD with starting materials of NH2-NH2, SC(NH22, and ZnSO4‧7H2O. ZnS thin films were deposited with different time on glass substrates by CBD at 80oC and pH=9. Based on X-ray diffraction (XRD patterns, it is found that the ZnS thin films exhibit cubic polycrystalline phase. It was found that the optimum deposition time is 90 min for preparing ZnS thin film that is suitable as buffer layer for CuIn1-xGaxSe2 solar cells. The thin film deposited for 90 min has high transmittance up to 80% in the spectra range from 350 nm to 800 nm, and the optical band gap is about 3.59 eV.

  13. Rare earth zirconium oxide buffer layers on metal substrates

    Science.gov (United States)

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 0layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  14. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  15. Selective Excitation of Window and Buffer Layers in Chalcopyrite Devices and Modules

    Energy Technology Data Exchange (ETDEWEB)

    Glynn, Stephen [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Repins, Ingrid L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Burst, James M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Beall, Carolyn L [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Bowers, Karen A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mansfield, Lorelle M [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2018-02-02

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects of the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. This provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.

  16. Atmospheric spatial atomic-layer-deposition of Zn(O, S) buffer layer for flexible Cu(In, Ga)Se2 solar cells: From lab-scale to large area roll to roll processing

    NARCIS (Netherlands)

    Frijters, C.H.; Bolt, P.J.; Poodt, P.W.G.; Knaapen, R.; Brink, J. van den; Ruth, M.; Bremaud, D.; Illiberi, A.

    2016-01-01

    In this manuscript we present the first successful application of a spatial atomic-layer-deposition process to thin film solar cells. Zn(O,S) has been grown by spatial atomic layer deposition (S-ALD) at atmospheric pressure and applied as buffer layer in rigid and flexible CIGS cells by a lab-scale

  17. Charge-collection efficiency of GaAs field effect transistors fabricated with a low temperature grown buffer layer: dependence on charge deposition profile

    International Nuclear Information System (INIS)

    McMorrow, D.; Knudson, A.R.; Melinger, J.S.; Buchner, S.

    1999-01-01

    The results presented here reveal a surprising dependence of the charge-collection efficiency of LT GaAs FETs (field effect transistors) on the depth profile of the deposited charge. Investigation of the temporal dependence of the signal amplitude, carrier density contours, and potential contours reveals different mechanisms for charge collection arising from carriers deposited above and below the LT GaAs buffer layer, respectively. In particular, carriers deposited below the LT GaAs buffer layer dissipate slowly and give rise to a persistent charge collection that is associated with a bipolar-like gain process. These results may be of significance in understanding the occurrence of single-event upsets from protons, neutrons, and large-angle, glancing heavy-ion strikes. (authors)

  18. Growth of thick La{sub 2}Zr{sub 2}O{sub 7} buffer layers for coated conductors by polymer-assisted chemical solution deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xin, E-mail: xzhang@my.swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Electrical Engineering, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong, E-mail: yzhao@swjtu.edu.cn [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Xia, Yudong [State Key Lab of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu 610054 (China); Guo, Chunsheng [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [School of Materials Science and Engineering, University of New South Wales, Sydney, 2052 NSW (Australia); Zhang, Yong [Key Laboratory of Magnetic Levitation Technologies and Maglev Trains, Ministry of Education of China, Superconductivity and New Energy Center (SNEC), Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhang, Han [Department of Physics, Peking University, Beijing 100871 (China)

    2015-06-15

    Highlights: • We develops a low-cost and high-efficient technology of fabricating LZO buffer layers. • Sufficient thickness LZO buffer layers have been obtained on NiW (2 0 0) alloy substrate. • Highly biaxially textured YBCO thin film has been deposited on LZO/NiW. - Abstract: La{sub 2}Zr{sub 2}O{sub 7} (LZO) epitaxial films have been deposited on LaAlO{sub 3} (LAO) (1 0 0) single-crystal surface and bi-axially textured NiW (2 0 0) alloy substrate by polymer-assisted chemical solution deposition, and afterwards studied with XRD, SEM and AFM approaches. Highly in-plane and out-of-plane oriented, dense, smooth, crack free and with a sufficient thickness (>240 nm) LZO buffer layers have been obtained on LAO (1 0 0) single-crystal surface; The films deposited on NiW (2 0 0) alloy substrate are also found with high degree in-plane and out-of-plane texturing, good density with pin-hole-free, micro-crack-free nature and a thickness of 300 nm. Highly epitaxial 500 nm thick YBa{sub 2}Cu{sub 3}O{sub 7−x} (YBCO) thin film exhibits the self-field critical current density (Jc) reached 1.3 MA/cm{sup 2} at 77 K .These results demonstrate the LZO epi-films obtained with current techniques have potential to be a buffer layer for REBCO coated conductors.

  19. Zigzag and Helical AlN Layer Prepared by Glancing Angle Deposition and Its Application as a Buffer Layer in a GaN-Based Light-Emitting Diode

    Directory of Open Access Journals (Sweden)

    Lung-Chien Chen

    2012-01-01

    Full Text Available This study investigates an aluminum nitride (AlN nanorod structure sputtered by glancing angle deposition (GLAD and its application as a buffer layer for GaN-based light-emitting diodes (LEDs that are fabricated on sapphire substrates. The ray tracing method is adopted with a three-dimensional model in TracePro software. Simulation results indicate that the zigzag AlN nanorod structure is an optimal buffer layer in a GaN-based LED. Furthermore, the light output power of a GaN-based LED with a zigzag AlN nanorod structure improves to as much as 28.6% at a forward current of 20 mA over that of the GaN-based LED with a normal AlN buffer layer.

  20. Effect of Ga2O3 buffer layer thickness on the properties of Cu/ITO thin films deposited on flexible substrates

    International Nuclear Information System (INIS)

    Zhuang Huihui; Yan Jinliang; Xu Chengyang; Meng Delan

    2014-01-01

    Cu and Cu/ITO films were prepared on polyethylene terephthalate (PET) substrates with a Ga 2 O 3 buffer layer using radio frequency (RF) and direct current (DC) magnetron sputtering. The effect of Cu layer thickness on the optical and electrical properties of the Cu film deposited on a PET substrate with a Ga 2 O 3 buffer layer was studied, and an appropriate Cu layer thickness of 4.2 nm was obtained. Changes in the optoelectrical properties of Cu(4.2 nm)/ITO(30 nm) films were investigated with respect to the Ga 2 O 3 buffer layer thickness. The optical and electrical properties of the Cu/ITO films were significantly influenced by the thickness of the Ga 2 O 3 buffer layer. A maximum transmission of 86%, sheet resistance of 45 Ω/□ and figure of merit of 3.96 × 10 −3 Ω −1 were achieved for Cu(4.2 nm)/ITO(30 nm) films with a Ga 2 O 3 layer thickness of 15 nm. (semiconductor materials)

  1. Synthesis and microstructural characterization of growth direction controlled ZnO nanorods using a buffer layer

    International Nuclear Information System (INIS)

    Park, Dong Jun; Kim, Dong Chan; Lee, Jeong Yong; Cho, Hyung Koun

    2006-01-01

    The growth direction and morphology of one-dimensional ZnO nanostructures grown by metal-organic chemical vapour deposition (MOCVD) were modulated by changing the growth temperature of previously deposited ZnO buffer layers that were used as a template. The ZnO nanorods grown on the low-temperature deposited buffer layer were regularly inclined with respect to the substrate surface and show in-plane alignment with azimuthally six-fold symmetry. In contrast, deposition of the buffer layer at higher growth temperature led to the formation of vertically well-aligned ZnO nanorods. In addition, the ZnO nanorods grown on the buffer layer deposited at low growth temperature show a growth direction of [1 0 1-bar 0], unlike the conventional ZnO nanorods showing a growth direction of [0001]. The microstructural analysis and atomic modelling of the formation of regularly inclined nanorods using transmission electron microscopy are presented

  2. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    Science.gov (United States)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  3. Use of different Zn precursors for the deposition of Zn(S,O) buffer layers by chemical bath for chalcopyrite based Cd-free thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Saez-Araoz, R.; Lux-Steiner, M.C. [Hahn Meitner Institut, Berlin (Germany); Freie Universitaet Berlin, Berlin (Germany); Ennaoui, A.; Kropp, T.; Veryaeva, E. [Hahn Meitner Institut, Berlin (Germany); Niesen, T.P. [AVANCIS GmbH and Co. KG, Munich (Germany)

    2008-10-15

    Progress in fabricating Cu(In,Ga)(S,Se){sub 2} (CIGSSe) solar cells with Zn(S,O) buffer layers prepared by chemical bath deposition (CBD) is discussed. The effect of different Zn salt precursors on solar cell device performance is investigated using production scale CIGSSe absorbers provided by AVANCIS GmbH and Co. KG. The CBD process has been developed at the Hahn-Meitner-Institut (HMI) using zinc nitrate, zinc sulphate or zinc chloride as zinc precursor. An average efficiency of 14.2{+-}0.8% is obtained by using one-layer CBD Zn(S,O) The dominant recombination path for well performing solar cells is discussed based on the results obtained from temperature dependent J(V) analysis. The structure and morphology of buffer layers deposited using zinc nitrate and zinc sulphate has been studied by means of transmission electron micrographs of glass/Mo/CIGSSe/Zn(S,O) structures. Results show a conformal coverage of the absorber by a Zn(S,O) layer of 15-25 nm consisting of nanocrystals with radii of {proportional_to}5 nm. XAES analysis of the buffer layer reveals a similar surface composition for buffer layers deposited with zinc nitrate and zinc sulphate. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  5. Effects of buffer layer annealing temperature on the structural and optical properties of hydrothermal grown ZnO

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, X.Q.; Kim, C.R.; Lee, J.Y.; Heo, J.H.; Shin, C.M. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Lee, H.C. [Department of Mechatronics Engineering, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Jung, W.G. [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-02-01

    ZnO was deposited on bare Si(1 0 0), as-deposited, and annealed ZnO/Si(1 0 0) substrates by hydrothermal synthesis. The effects of a ZnO buffer layer and its thermal annealing on the properties of the ZnO deposited by hydrothermal synthesis were studied. The grain size and root mean square (RMS) roughness values of the ZnO buffer layer increased after thermal annealing of the buffer layer. The effect of buffer layer annealing temperature on the structural and optical properties was investigated by photoluminescence, X-ray diffraction, atomic force microscopy, and scanning electron microscopy. Hydrothermal grown ZnO deposited on ZnO/Si(1 0 0) annealed at 750 deg. C with the concentration of 0.3 M exhibits the best structural and optical properties.

  6. Conductive and robust nitride buffer layers on biaxially textured substrates

    Science.gov (United States)

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  7. Oblique-angle sputtered AlN nanocolumnar layer as a buffer layer in GaN-based LED

    International Nuclear Information System (INIS)

    Chen, Lung-Chien; Tien, Ching-Ho; Liao, Wei-Chian; Luo, Yi-Min

    2011-01-01

    This work presents an aluminum nitride (AlN) nanocolumnar layer sputtered at various oblique angles and its application as a buffer layer for GaN-based light-emitting diodes (LEDs) that are fabricated on sapphire substrates. The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm. The GaN-based LED structure is perpendicularly extended from the OA-AlN nanocolumnar layer. Then, the nanocolumnar structure is merged into p-GaN layer to form a mesa structure with a diameter of about 200-600 nm on the surface of the GaN-based LED. Moreover, optical characteristics of the LED were studied using photoluminescence, along with the blue-shifts observed as well. - Research highlights: → An AlN nanocolumnar buffer layer prepared by oblique-angle (OA) deposition. → GaN-based LED structures were grown on a sapphire substrate with an AlN nanocolumnar buffer layer. → The OA-AlN nanocolumnar layer has a diameter of about 30-60 nm.

  8. Effect of Alloy 625 Buffer Layer on Hardfacing of Modified 9Cr-1Mo Steel Using Nickel Base Hardfacing Alloy

    Science.gov (United States)

    Chakraborty, Gopa; Das, C. R.; Albert, S. K.; Bhaduri, A. K.; Murugesan, S.; Dasgupta, Arup

    2016-04-01

    Dashpot piston, made up of modified 9Cr-1Mo steel, is a part of diverse safety rod used for safe shutdown of a nuclear reactor. This component was hardfaced using nickel base AWS ER NiCr-B alloy and extensive cracking was experienced during direct deposition of this alloy on dashpot piston. Cracking reduced considerably and the component was successfully hardfaced by application of Inconel 625 as buffer layer prior to hardface deposition. Hence, a separate study was undertaken to investigate the role of buffer layer in reducing the cracking and on the microstructure of the hardfaced deposit. Results indicate that in the direct deposition of hardfacing alloy on modified 9Cr-1Mo steel, both heat-affected zone (HAZ) formed and the deposit layer are hard making the thickness of the hard layer formed equal to combined thickness of both HAZ and deposit. This hard layer is unable to absorb thermal stresses resulting in the cracking of the deposit. By providing a buffer layer of Alloy 625 followed by a post-weld heat treatment, HAZ formed in the modified 9Cr-1Mo steel is effectively tempered, and HAZ formed during the subsequent deposition of the hardfacing alloy over the Alloy 625 buffer layer is almost completely confined to Alloy 625, which does not harden. This reduces the cracking susceptibility of the deposit. Further, unlike in the case of direct deposition on modified 9Cr-1Mo steel, dilution of the deposit by Ni-base buffer layer does not alter the hardness of the deposit and desired hardness on the deposit surface could be achieved even with lower thickness of the deposit. This gives an option for reducing the recommended thickness of the deposit, which can also reduce the risk of cracking.

  9. Investigation of CeO2 Buffer Layer Effects on the Voltage Response of YBCO Transition-Edge Bolometers

    DEFF Research Database (Denmark)

    Mohajeri, Roya; Nazifi, Rana; Wulff, Anders Christian

    2016-01-01

    The effect on the thermal parameters of superconducting transition-edge bolometers produced on a single crystalline SrTiO3 (STO) substrate with and without a CeO2 buffer layer was investigated. Metal-organic deposition was used to deposit the 20-nm CeO2 buffer layer, whereas RF magnetron sputtering...

  10. P-6 : Impact of buffer layers on the self-aligned top-gate a-IGZO TFT characteristics

    NARCIS (Netherlands)

    Nag, M.; en de rest

    2015-01-01

    In this work we present the impact of buffer layers deposited by various techniques such as plasma enhanced chemical deposition (PECVD), physical vapor deposition (PVD) and atomic layer deposition (ALD) techniques on self-aligned (SA) top gate amorphous-Indium-Gallium-Zinc-Oxide (a-IGZO) TFT

  11. Hybrid solar cells based on CuInS2 and organic buffer-sensitizer layers

    International Nuclear Information System (INIS)

    Bereznev, S.; Koeppe, R.; Konovalov, I.; Kois, J.; Guenes, S.; Opik, A.; Mellikov, E.; Sariciftci, N.S.

    2007-01-01

    Hybrid solar cells on the basis of CuInS 2 (CIS) photoabsorber on Cu-tape (CISCuT) in combination with organic buffer layers of Zn-phthalocyanine (ZnPc), ZnPc:fullerene (ZnPc:C 60 ) composite and conductive polymer buffer layers of poly(3,4-ethylenedioxythiophene) (PEDOT) doped with polystyrenesulfonate (PSS) were prepared using vacuum evaporation and spin-casting techniques. To prepare solar cells with an active area of 2 cm 2 , the appropriate deposition parameters and thickness of ZnPc, ZnPc:C 60 and PEDOT-PSS layers were selected experimentally. For preparation of semitransparent contact-window layers, chromium and gold were evaporated on the surface of ZnPc, ZnPc:C 60 and PEDOT-PSS films. It was found that an intermediate chromium layer improves PV properties of the structures with organic buffer layers. The photosensitivity at small illumination intensities of complete structures with ZnPc and ZnPc:C 60 layers increased more than one order of magnitude in comparison with the structures where the PEDOT-PSS buffer layer was deposited. The presence of C 60 in the composite-buffer layer results in increased photoconductivity. The best structure with composite ZnPc:C 60 buffer layer showed an open-circuit voltage of 560 mV, a short-circuit current density of around 10 mA/cm 2 and a photoconversion efficiency of around 3.3% under the light illumination with an intensity of 100 mW/cm 2 from a tungsten-halogen lamp. The low transmission of the semitransparent chromium-gold window layer is the reason for relatively low current density

  12. Charge recombination reduction in dye-sensitized solar cells by means of an electron beam-deposited TiO2 buffer layer between conductive glass and photoelectrode

    International Nuclear Information System (INIS)

    Manca, Michele; Malara, Francesco; Martiradonna, Luigi; De Marco, Luisa; Giannuzzi, Roberto; Cingolani, Roberto; Gigli, Giuseppe

    2010-01-01

    A thin anatase titanium dioxide compact film was deposited by electron beam evaporation as buffer layer between the conductive transparent electrode and the porous TiO 2 -based photoelectrode in dye-sensitized solar cells. The effect of such a buffer layer on the back transfer reaction of electrons to tri-iodide ions in liquid electrolyte-based cells has been studied by means of both electrochemical impedance spectroscopy and open circuit photovoltage decay analysis. The influence of the thickness has been also investigated and an increment in overall quantum conversion efficiency η as high as + 31% with respect to the standard cell - fabricated onto an uncoated conductive glass - has been revealed in the case of a 120 nm thick buffer layer.

  13. Buffer layers grown by replicating the texture of an original template tape

    International Nuclear Information System (INIS)

    Lim, Sunme; Yoo, Jaeun; Park, Chan; Youm, Dojun

    2007-01-01

    We propose a fabrication method of the buffer layers, whose biaxial textures are replicated from an original template tape. The purpose of this method is economical texturing process for coated conductors. At first we prepared a biaxially textured metal tape (TM-tape). Then a sacrifice layer (SA), a buffer layer (BU) and a thick metallic layer (SM) were sequentially deposited on the TM-tape. SA-layer and BU-layer were deposited epitaxially to copy the texture of the TM-tape. SA-layer was dissoluble in water. SM-layer with the textured BU-layer was separated and could be used for a supporting tape for the further growth of a superconducting layer. In this way, it is possible to reuse the original textured TM-tape many times. In this paper, we report the results of our experiments, in which we used a biaxially Ni tape, BaO film, STO film, and a thick Ag film for TM-tape, SA-layer, BU-layer, and SM-layer, respectively. The Ag/STO layers were successfully separated form the Ni tape by dissolving the BaO layer in water. The texture quality of the STO layer was well secured after the separation

  14. Formation of a ZnS/Zn(S,O) bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H.-W.; Lux-Steiner, M. C.

    2006-06-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. In order to shed light on the differences of other Zn-compound buffers deposited in conventional chemical baths [chemical bath deposition (CBD)] compared to the buffer layers deposited by this alternative CBD process, the composition of the deposited buffers was investigated by x-ray excited Auger electron and x-ray photoelectron spectroscopy to potentially clarify their superiority in terms of device performance. We have found that in the early stages of this alternative CBD process a thin ZnS layer is formed on the CIS, whereas in the second half of the CBD the growth rate is greatly increased and Zn(S,O) with a ZnS/(ZnS+ZnO) ratio of ~80% is deposited. Thus, a ZnS/Zn(S,O) bilayer buffer is deposited on the CIS thin film solar cell absorbers by the alternative chemical deposition route used in this investigation. No major changes of these findings after a postannealing of the buffer/CIS sample series and recharacterization could be identified.

  15. Superconducting NbN single-photon detectors on GaAs with an AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Schmidt, Ekkehart; Merker, Michael; Ilin, Konstantin; Siegel, Michael [Institut fuer Mikro- und Nanoelektronische Systeme (IMS), Karlsruher Institut fuer Technologie, Hertzstrasse 16, 76187 Karlsruhe (Germany)

    2015-07-01

    GaAs is the material of choice for photonic integrated circuits. It allows the monolithic integration of single-photon sources like quantum dots, waveguide based optical circuits and detectors like superconducting nanowire single-photon detectors (SNSPDs) onto one chip. The growth of high quality NbN films on GaAs is challenging, due to natural occurring surface oxides and the large lattice mismatch of about 27%. In this work, we try to overcome these problems by the introduction of a 10 nm AlN buffer layer. Due to the buffer layer, the critical temperature of 6 nm thick NbN films was increased by about 1.5 K. Furthermore, the critical current density at 4.2 K of NbN flim deposited onto GaAs with AlN buffer is 50% higher than of NbN film deposited directly onto GaAs substrate. We successfully fabricated NbN SNSPDs on GaAs with a AlN buffer layer. SNSPDs were patterned using electron-beam lithography and reactive-ion etching techniques. Results on the study of detection efficiency and jitter of a NbN SNSPD on GaAs, with and without AlN buffer layer will be presented and discussed.

  16. In-plane aligned YBCO tape on textured YSZ buffer layer deposited on stainless steel substrate by laser ablation only with O+ ion beam assistance

    International Nuclear Information System (INIS)

    Huang Xintang; Huazhong Normal Univ., Wuhan, HB; Wang Youqing; Wang Qiuliang; Chen Qingming

    1999-01-01

    In this paper we have prepared YSZ buffer layers on stainless steel substrates by laser ablation only with O + ion beam assistance and YBCO films on YSZ/steel consequently. The relevant parameters of YSZ and YBCO film deposition are indicated. (orig.)

  17. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  18. Optical characterization of In{sub 2}S{sub 3} solar cell buffer layers grown by chemical bath and physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Trigo, J.F.; Asenjo, B.; Herrero, J.; Gutierrez, M.T. [Department of Energy, CIEMAT, Avda. Complutense, 22, 28040 Madrid (Spain)

    2008-09-15

    In this paper, we study the optical properties of indium sulfide thin films to establish the best conditions to obtain a good solar cell buffer layer. The In{sub 2}S{sub 3} buffer layers have been prepared by chemical bath deposition (CBD) and thermal evaporation (PVD). Optical behavior differences have been found between CBD and PVD In{sub 2}S{sub 3} thin films that have been explained as due to structural, morphological and compositional differences observed in the films prepared by both methods. The resultant refractive index difference has to be attributed to the lower density of the CBD films, which can be related to the presence of oxygen. Its higher refractive index makes PVD film better suited to reduce overall reflectance in a typical CIGS solar cell. (author)

  19. Nonpolar a-plane GaN grown on r-plane sapphire using multilayer AlN buffer by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Chiang, C.H.; Chen, K.M.; Wu, Y.H.; Yeh, Y.S.; Lee, W.I.; Chen, J.F.; Lin, K.L.; Hsiao, Y.L.; Huang, W.C.; Chang, E.Y.

    2011-01-01

    Mirror-like and pit-free non-polar a-plane (1 1 -2 0) GaN films are grown on r-plane (1 -1 0 2) sapphire substrates using metalorganic chemical vapor deposition (MOCVD) with multilayer high-low-high temperature AlN buffer layers. The buffer layer structure and film quality are essential to the growth of a flat, crack-free and pit-free a-plane GaN film. The multilayer AlN buffer structure includes a thin low-temperature-deposited AlN (LT-AlN) layer inserted into the high-temperature-deposited AlN (HT-AlN) layer. The results demonstrate that the multilayer AlN buffer structure can improve the surface morphology of the upper a-plane GaN film. The grown multilayer AlN buffer structure reduced the tensile stress on the AlN buffer layers and increased the compressive stress on the a-plane GaN film. The multilayer AlN buffer structure markedly improves the surface morphology of the a-plane GaN film, as revealed by scanning electron microscopy. The effects of various growth V/III ratios was investigated to obtain a-plane GaN films with better surface morphology. The mean roughness of the surface was 1.02 nm, as revealed by atomic force microscopy. Accordingly, the multilayer AlN buffer structure improves the surface morphology and facilitates the complete coalescence of the a-plane GaN layer.

  20. Enhanced Optical and Electrical Properties of TiO_2 Buffered IGZO/TiO_2 Bi-Layered Films

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Kim, Daeil

    2016-01-01

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO_2-deposited glass substrate to determine the effect of the thickness of a thin TiO_2 buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO_2 buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO_2 buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO_2 bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO_2 bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  1. The effect of Cr buffer layer thickness on voltage generation of thin-film thermoelectric modules

    International Nuclear Information System (INIS)

    Mizoshiri, Mizue; Mikami, Masashi; Ozaki, Kimihiro

    2013-01-01

    The effect of Cr buffer layer thickness on the open-circuit voltage generated by thin-film thermoelectric modules of Bi 0.5 Sb 1.5 Te 3 (p-type) and Bi 2 Te 2.7 Se 0.3 (n-type) materials was investigated. A Cr buffer layer, whose thickness generally needs to be optimized to improve adhesion depending on the substrate surface condition, such as roughness, was deposited between thermoelectric thin films and glass substrates. When the Cr buffer layer was 1 nm thick, the Seebeck coefficients and electrical conductivity of 1 µm thermoelectric thin films with the buffer layers were approximately equal to those of the thermoelectric films without the buffer layers. When the thickness of the Cr buffer layer was 1 µm, the same as the thermoelectric films, the Seebeck coefficients of the bilayer films were reduced by an electrical current flowing inside the Cr buffer layer and the generation of Cr 2 Te 3 . The open-circuit voltage of the thin-film thermoelectric modules decreased with an increase in the thickness of the Cr buffer layer, which was primarily induced by the electrical current flow. The reduction caused by the Cr 2 Te 3 generation was less than 10% of the total voltage generation of the modules without the Cr buffer layers. The voltage generation of thin-film thermoelectric modules could be controlled by the Cr buffer layer thickness. (paper)

  2. Formation of a ZnS Zn S,O bilayer buffer on CuInS2 thin film solar cell absorbers by chemical bath deposition

    OpenAIRE

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; S ez Araoz, R.; Allsop, N.; Lauermann, I.; Schock, H. W.; Lux Steiner, M.C

    2006-01-01

    The application of Zn compounds as buffer layers was recently extended to wide gap CuInS2 CIS based thin film solar cells. Using a new chemical deposition route for the buffer preparation aiming at the deposition of a single layer, nominal ZnS buffer without the need for any toxic reactants such as, e.g. hydrazine, has helped to achieve a similar efficiency as respective CdS buffered reference devices. In order to shed light on the differences of other Zn compound buffers deposited in con...

  3. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    Science.gov (United States)

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  4. Doped LZO buffer layers for laminated conductors

    Science.gov (United States)

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2010-03-23

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the substrate, the biaxially textured buffer layer comprising LZO and a dopant for mitigating metal diffusion through the LZO, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  5. Effect of a SiO2 buffer layer on the characteristics of In2O3-ZnO-SnO2 films deposited on PET substrates

    International Nuclear Information System (INIS)

    Woo, B.-J.; Hong, J.-S.; Kim, S.-T.; Kim, H.-M.; Park, S.-H.; Kim, J.-J.; Ahn, J.-S.

    2006-01-01

    Transparent and conducting In 2 O 3 -ZnO-SnO 2 (IZTO) thin films were prepared on flexible PET substrates at room temperature by using an ion-gun-assisted sputtering technique. We mainly investigated the effect of a SiO 2 buffer layer, deposited in-between the film and the PET substrate, on the electrical stability of the film under various external stresses caused by moist-heat or violent temperature variations. The insertion of the SiO 2 layer improves structural, optical and electrical properties of the films: The IZTO/SiO 2 /PET film with a buffer shows a change (∼4 %) in the sheet resistance much smaller than that of the IZTO/PET film without a buffer (∼22 %), against a severe thermal stress of the repeated processes between quenching at -25 .deg. C and annealing at 100 .deg. C for 5 min at each process. Under a moist-heat stress at 90 % relative humidity at 80 .deg. C, the IZTO/SiO 2 /PET film responds with only a slight change (∼8.5 %) in the sheet resistance from 30.2 to 33.0 Ω/□ after being exposed for 240 h. The enhanced stability is understood to be the result of the buffer layers acting as a blocking barrier to water vapor or organic solvents diffusing from the PET substrate during deposition or annealing.

  6. Mg-doped ZnO thin films deposited by the atomic layer chemical vapor deposition for the buffer layer of CIGS solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Li, Zhao-Hui [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Center for Photovoltaic and Solar Energy, Shenzhen Institutes of Advanced Technology, Chinese Academy of Sciences, Shenzhen city 518055 (China); Cho, Eou-Sik [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of); Kwon, Sang Jik, E-mail: sjkwon@gachon.ac.kr [Department of Electronics Engineering, Gachon University, Soojung-gu, Seongnam city 461-701, Gyunggi-do (Korea, Republic of)

    2014-09-30

    Highlights: • Mg-doped ZnO film as CIGS buffer was prepared by ALD process. • The grain size of ZnO-like hexagonal phase decreased with Mg content. • The transmittance and crystallinity increased but the band gap decreased with temperature. - Abstract: Mg-doped ZnO [(Zn, Mg)O] thin films were prepared by atomic layer chemical vapor deposition (ALCVD) process with different Mg content, using diethyl zinc, biscyclopentadienyl magnesium, and water as the metal and oxygen sources, respectively. The ratio of Mg to Zn was varied by changing the pulse ratio of MgCp{sub 2} to DEZn precursor to study its effect on the properties of (Zn, Mg)O thin films. From the experimental results, it was shown that the grain size of the ZnO-like hexagonal phase (Zn, Mg)O decreased as the Mg content increased. But the transmittance and optical band gap of (Zn, Mg)O films increased with the increase of the Mg content. In addition, the effect of the substrate temperature on the properties of (Zn, Mg)O films was also investigated. The deposition rate, transmittance, and crystallinity of (Zn, Mg)O films increased as the substrate temperature increased. But its band gap decreased slightly with the increase of substrate temperature.

  7. Final Report: Rational Design of Wide Band Gap Buffer Layers for High-Efficiency Thin-Film Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Lordi, Vincenzo [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2016-09-30

    The main objective of this project is to enable rational design of wide band gap buffer layer materials for CIGS thin-film PV by building understanding of the correlation of atomic-scale defects in the buffer layer and at the buffer/absorber interface with device electrical properties. Optimized wide band gap buffers are needed to reduce efficiency loss from parasitic absorption in the buffer. The approach uses first-principles materials simulations coupled with nanoscale analytical electron microscopy as well as device electrical characterization. Materials and devices are produced by an industrial partner in a manufacturing line to maximize relevance, with the goal of enabling R&D of new buffer layer compositions or deposition processes to push device efficiencies above 21%. Cadmium sulfide (CdS) is the reference material for analysis, as the prototypical high-performing buffer material.

  8. Copper variation in Cu(In,Ga)Se{sub 2} solar cells with indium sulphide buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Spiering, S., E-mail: stefanie.spiering@zsw-bw.de [Zentrum für Sonnenenergie- und Wasserstoff-Forschung (ZSW) Baden-Wuerttemberg, Industriestrasse 6, 70565 Stuttgart (Germany); Paetel, S.; Kessler, F. [Zentrum für Sonnenenergie- und Wasserstoff-Forschung (ZSW) Baden-Wuerttemberg, Industriestrasse 6, 70565 Stuttgart (Germany); Igalson, M.; Abdel Maksoud, H. [Warsaw University of Technology (WUT), Faculty of Physics, Koszykowa 75, 00-662 Warszawa (Poland)

    2015-05-01

    In the manufacturing of Cu(In,Ga)Se{sub 2} (CIGS) thin film solar cells the application of a buffer layer on top of the absorber is essential to obtain high efficiency devices. Regarding the roll-to-roll production of CIGS cells and modules a vacuum deposition process for the buffer is preferable to the conventional cadmium sulphide buffer deposited in a chemical bath. Promising results have already been achieved for the deposition of indium sulphide buffer by different vacuum techniques. The solar device performance is very sensitive to the conditions at the absorber-buffer heterojunction. In view of optimization we investigated the influence of the Cu content in the absorber on the current-voltage characteristics. In this work the integral copper content was varied between 19 and 23 at.% in CIGS on glass substrates. An improvement of the cell performance by enhanced open circuit voltage was observed for a reduction to ~ 21 at.% when thermally evaporated indium sulphide was applied as the buffer layer. The influence of stoichiometry deviations on the transport mechanism and secondary barriers in the device was studied using detailed dark and light current-voltage analysis and admittance spectroscopy and compared to the reference CdS-buffered cells. We conclude that the composition of the absorber in the interface region affects current transport in In{sub x}S{sub y}-buffered and CdS-buffered cells in different ways hence optimal Cu content in those two types of devices is different. - Highlights: • Influence of Cu-variation in CIGS cells with In{sub x}S{sub y} buffer layer on cell performance • Enhanced efficiency by slight reduction of Cu-content to 21 at.% • Contribution of tunnelling-enhanced interface recombination for higher Cu-content.

  9. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    Science.gov (United States)

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  10. A possibility of enhancing Jc in MgB2 film grown on metallic hastelloy tape with the use of SiC buffer layer

    International Nuclear Information System (INIS)

    Putri, W. B. K.; Kang, B.; Ranot, M.; Lee, J. H.; Kang, W. N.

    2014-01-01

    We have grown MgB 2 on SiC buffer layer by using metallic Hastelloy tape as the substrate. Hastelloy tape was chosen for its potential practical applications, mainly in the power cable industry. SiC buffer layers were deposited on Hastelloy tapes at 400, 500, and 600 degrees C by using a pulsed laser deposition method, and then by using a hybrid physical-chemical vapor deposition technique, MgB 2 films were grown on the three different SiC buffer layers. An enhancement of critical current density values were noticed in the MgB 2 films on SiC/Hastelloy deposited at 500 and 600 degrees C. From the surface analysis, smaller and denser grains of MgB 2 tapes are likely to cause this enhancement. This result infers that the addition of SiC buffer layers may contribute to the improvement of superconducting properties of MgB 2 tapes.

  11. Influence of a ZnO Buffer Layer on the Structural, Optical, and Electrical Properties of ITO/ZnO Bi-Layered Films

    International Nuclear Information System (INIS)

    Heo, Sung-Bo; Moon, Hyun-Joo; Kim, Daeil; Kim, Jun-Ho

    2016-01-01

    Sn-doped indium oxide (ITO) films and ITO/ZnO bi-layered films were prepared on polycarbonate substrates by RF magnetron sputtering without intentional substrate heating. In order to consider the influence of the ZnO thickness on the structural, optical, and electrical properties of ITO/ZnO films, the thickness of the ZnO buffer layer was varied from 5 to 20 nm. As-deposited ITO films show an average optical transmittance of 79.2% in the visible range and an electrical resistivity of 3.0×10"-"4 Ωcm, while films with a 5-nm thick ZnO buffer layer film show an electrical resistivity of 2.6×10"-"4 Ωcm and films with a 20-nm thick ZnO buffer layer show an optical transmittance of 82.0%. Based on the figure of merit, it is concluded that the ZnO buffer layer enhances the optical and electrical performance of ITO films used as transparent conducting oxides in flexible display applications.

  12. Aspects of the SrO-CuO-TiO2 Ternary System Related to the Deposition of SrTiO3 and Copper-Doped SrTiO3 Thin-Film Buffer Layers

    Energy Technology Data Exchange (ETDEWEB)

    Ayala, Alicia [Univ. of New Mexico, Albuquerque, NM (United States)

    2004-12-20

    YBa2Cu3O27-δ (YBCO) coated conductors are promising materials for large-scale superconductivity applications. One version of a YBCO coated conductor is based on ion beam assisted deposition (IBAD) of magnesium oxide (MgO) onto polycrystalline metal substrates. SrTiO3 (STO) is often deposited by physical vapor deposition (PVD) methods as a buffer layer between the YBCO and IBAD MgO due to its chemical stability and lattice mismatch of only ~1.5% with YBCO. In this work, some aspects of the stability of STO with respect to copper (Cu) and chemical solution deposition of STO on IBAD MgO templates were examined. Solubility limits of Cu in STO were established by processing Cu-doped STO powders by conventional bulk preparation techniques. The maximum solubility of Cu in STO was ~1% as determined by transmission electron microscopy (TEM) and Rietveld refinements of x-ray diffraction (XRD) data. XRD analysis, performed in collaboration with NIST, on powder compositions on the STO/SrCuO2 tie line did not identify any ternary phases. SrCu0.10T0.90Oy buffer layers were prepared by pulsed laser deposition (PLD) and CSD on IBAD MgO flexible metallic textured tapes. TEM analysis of a ~100 nm thick SrCu0.10Ti0.90Oy buffer layer deposited by PLD showed a smooth Cu-doped STO/MgO interface. A ~600 nm thick YBCO film, deposited onto the SrCu0.10Ti0.90Oy buffer by PLD, exhibited a Tc of 87 K and critical current density (Jc) of ~1 MA/cm2. STO and Cu-doped STO thin films by CSD were ~30 nm thick. The in plane alignment (FWHM) after deposition of the STO improved by ~1° while it degraded by ~2° with the SrCu0.05TiOy buffer. YBCO was deposited by PLD on the STO and SrCu0.05TiOy buffers. The in plane alignment (FWHM) of the YBCO with the STO buffer layer

  13. Impact of annealing treatment before buffer layer deposition on Cu2ZnSn(S,Se)4 solar cells

    International Nuclear Information System (INIS)

    Hironiwa, Daisuke; Sakai, Noriyuki; Kato, Takuya; Sugimoto, Hiroki; Tang, Zeguo; Chantana, Jakapan; Minemoto, Takashi

    2015-01-01

    Cu 2 ZnSn(S,Se) 4 (CZTSSe) solar cells were fabricated with an annealing treatment before the deposition of buffer layers to improve their photovoltaic performance. The CZTSSe absorbers were produced by sulfurization and selenization of metallic precursors. The efficiency of the solar cells increased from 5.5% without the annealing treatment to 8.8% with the annealing treatment at a temperature of 200 °C before buffer layer fabrication. Photoluminescence (PL) measurements revealed that the density of defects in the CZTSSe absorber that acted as non-radiative recombination centers decreased with the annealing treatment. The PL peak intensity exhibited a linear relationship with the open circuit voltage and the fill factor. In addition, the carrier density and hole mobility of the CZTSSe absorbers, which were respectively investigated by capacitance-voltage and Hall effect measurements, increased with the annealing treatment, thus improving cell performance. - Highlights: • Cu 2 ZnSn(S,Se) 4 is fabricated by sulfurization and selenization. • The annealing treatment can effectively improve the cell performance. • The defect acting as recombination is decreased by annealing treatment. • Carrier density and hole mobility is increased by annealing treatment

  14. Crystallinity and superconductivity of as-grown MgB2 thin films with AlN buffer layers

    International Nuclear Information System (INIS)

    Tsujimoto, K.; Shimakage, H.; Wang, Z.; Kaya, N.

    2005-01-01

    The effects of aluminum nitride (AlN) buffer layers on the superconducting properties of MgB 2 thin film were investigated. The AlN buffer layers and as-grown MgB 2 thin films were deposited in situ using the multiple-target sputtering system. The best depositing condition for the AlN/MgB 2 bi-layer occurred when the AlN was deposited on c-cut sapphire substrates at 290 deg. C. The crystallinity of the AlN/MgB 2 bi-layer was studied using the XRD φ-scan and it showed that AlN and MgB 2 had the same in-plane alignment rotated at an angle of 30 deg. as compared to c-cut sapphire. The critical temperature of the MgB 2 film was 29.8 K and the resistivity was 50.0 μΩ cm at 40 K

  15. In-plane aligned YBCO tape on textured YSZ buffer layer deposited on stainless steel substrate by laser ablation only with O{sup +} ion beam assistance

    Energy Technology Data Exchange (ETDEWEB)

    Huang Xintang [Huazhong Univ. of Sci. and Technol., Wuhan (China). Nat. Lab. of Laser Technol.]|[Huazhong Normal Univ., Wuhan, HB (China). Dept. of Physics; Wang Youqing; Wang Qiuliang; Chen Qingming [Huazhong Univ. of Sci. and Technol., Wuhan (China). Nat. Lab. of Laser Technol.

    1999-08-16

    In this paper we have prepared YSZ buffer layers on stainless steel substrates by laser ablation only with O{sup +} ion beam assistance and YBCO films on YSZ/steel consequently. The relevant parameters of YSZ and YBCO film deposition are indicated. (orig.) 8 refs.

  16. Characterization of low-frequency noise in molecular beam epitaxy-grown GaN epilayers deposited on double buffer layers

    International Nuclear Information System (INIS)

    Fong, W.K.; Ng, S.W.; Leung, B.H.; Surya, Charles

    2003-01-01

    We report the growth of high-mobility Si-doped GaN epilayers utilizing unique double buffer layer (DBL) structures, which consist of a thin buffer layer and a thick GaN intermediate-temperature buffer layer (ITBL). In this study, three types of DBL were investigated: (i) thin GaN low-temperature buffer layer/GaN ITBL (type I); (ii) nitridated Ga metal film/GaN ITBL (type II); and (iii) thin AlN high-temperature buffer layer/GaN ITBL (type III). Systematic measurements were conducted on the electron mobilities and the low-frequency noise over a wide range of temperatures. It is found that the electron mobilities of the GaN films are substantially improved with the use of DBLs, with the sample using type III DBL which exhibits the highest low-temperature mobility. Furthermore, the same sample also demonstrates the elimination of deep levels at 91 and 255 meV below the conduction band. This is believed to result from the relaxation of tensile stress during growth with the use of type III DBLs

  17. Deuterium markers in CdS and Zn(O,S) buffer layers deposited by solution growth for Cu(In,Ga)Se{sub 2} thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Eicke, Axel; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), Stuttgart (Germany); Souza, Roger A. de; Martin, Manfred [Institute of Physical Chemistry, RWTH Aachen University (Germany)

    2017-12-15

    This contribution describes an easy and cheap approach to introduce deuterium (D) as an isotopic marker into the commonly used buffer layer materials CdS and Zn(O,S) for Cu(In,Ga)Se{sub 2} (CIGS) thin-film solar cells. D was successfully incorporated during the growth of Zn(O,S) and CdS buffer layers by chemical bath deposition (CBD) with D{sub 2}O. CIGS solar cells prepared with D-containing buffers grown by CBD exhibit power conversion efficiencies above 16%, that is, the D content has no detrimental effect on the performance or other solar cell parameters of the devices. With depth profiles obtained by time-of-flight secondary ion mass spectrometry (ToF-SIMS) we clearly detect the intentionally incorporated D within the solution-grown Zn(O,S) buffer. Assuming that D is present as OD, we compare the amount of OD within the Zn(O,S) layer with the amount of OH on the surface of the subsequent sputtered (Zn,Mg)O layer. Possible applications and future experiments of the method inserting isotopic markers such as D in functional layers of chalcopyrite-type thin-film solar cells and beyond are discussed. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Low-leakage-current AlGaN/GaN HEMTs on Si substrates with partially Mg-doped GaN buffer layer by metal organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Ming; Wang Yong; Wong Kai-Ming; Lau Kei-May

    2014-01-01

    High-performance low-leakage-current AlGaN/GaN high electron mobility transistors (HEMTs) on silicon (111) substrates grown by metal organic chemical vapor deposition (MOCVD) with a novel partially Magnesium (Mg)-doped GaN buffer scheme have been fabricated successfully. The growth and DC results were compared between Mg-doped GaN buffer layer and a unintentionally one. A 1-μm gate-length transistor with Mg-doped buffer layer exhibited an OFF-state drain leakage current of 8.3 × 10 −8 A/mm, to our best knowledge, which is the lowest value reported for MOCVD-grown AlGaN/GaN HEMTs on Si featuring the same dimension and structure. The RF characteristics of 0.25-μm gate length T-shaped gate HEMTs were also investigated

  19. Buffer layers and articles for electronic devices

    Science.gov (United States)

    Paranthaman, Mariappan P.; Aytug, Tolga; Christen, David K.; Feenstra, Roeland; Goyal, Amit

    2004-07-20

    Materials for depositing buffer layers on biaxially textured and untextured metallic and metal oxide substrates for use in the manufacture of superconducting and other electronic articles comprise RMnO.sub.3, R.sub.1-x A.sub.x MnO.sub.3, and combinations thereof; wherein R includes an element selected from the group consisting of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Y, and A includes an element selected from the group consisting of Be, Mg, Ca, Sr, Ba, and Ra.

  20. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    Science.gov (United States)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  1. Preparation of YBCO on YSZ layers deposited on silicon and sapphire by MOCVD: influence of the intermediate layer on the quality of the superconducting film

    International Nuclear Information System (INIS)

    Garcia, G.; Casado, J.; Llibre, J.; Doudkowski, M.; Santiso, J.; Figueras, A.; Schamm, S.; Dorignac, D.; Grigis, C.; Aguilo, M.

    1995-01-01

    YSZ buffer layers were deposited on silicon and sapphire by MOCVD. The layers deposited on silicon were highly oriented along [100] direction without in-plane orientation, probably because the existence of the SiO 2 amorphous interlayer. In contrast, epitaxial YSZ was obtained on (1-102) sapphire showing an in-plane texture defined by the following relationships: (100) YSZ // (1-102) sapphire and (110) YSZ // (01-12) sapphire. Subsequently, YBCO films were deposited on YSZ by MOCVD. Structural, morphological and electrical characterization of the superconducting layers were correlated with the in-plane texture of the buffer layers. (orig.)

  2. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    International Nuclear Information System (INIS)

    Tamura, Kazuyuki; Kuroki, Yuichiro; Yasui, Kanji; Suemitsu, Maki; Ito, Takashi; Endou, Tetsuro; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2008-01-01

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH 3 ) and trimetylgallium (TMG) under low V/III source gas ratio (NH 3 /TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C 3 H 8 ). The AlN layer was deposited as a buffer layer using NH 3 and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH x radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer

  3. Enhanced Optical and Electrical Properties of TiO{sub 2} Buffered IGZO/TiO{sub 2} Bi-Layered Films

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Hyun-Joo; Kim, Daeil [University of Ulsan, Ulsan (Korea, Republic of)

    2016-08-15

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO{sub 2}-deposited glass substrate to determine the effect of the thickness of a thin TiO{sub 2} buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO{sub 2} buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO{sub 2} buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO{sub 2} bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO{sub 2} bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  4. Epitaxial growth of SrTiO3 (001) films on multilayer buffered GaN (0002) by pulsed laser deposition

    International Nuclear Information System (INIS)

    Luo, W B; Jing, J; Shuai, Y; Zhu, J; Zhang, W L; Zhou, S; Gemming, S; Du, N; Schmidt, H

    2013-01-01

    SrTiO 3 films were grown on CeO 2 /YSZ/TiO 2 multilayer buffered GaN/Al 2 O 3 (0001) substrates with and without the YBa 2 Cu 3 O 7-x (YBCO) bridge layer by pulsed laser deposition (PLD). The deposition process of the buffer layers was in situ monitored by reflection high-energy electron diffraction. The crystallographical orientation of the heterostructure was studied by x-ray diffraction (XRD). With the introduction of the YBCO (001) layer, the STO (001) film was epitaxially grown on the GaN substrate. There were three sets of inplane domains separated from each other by 30° in both STO and YBCO buffer layers. The epitaxial relationship was STO (002)[110]∥YBCO(001)[110]∥CeO 2 (002)[010]∥YSZ (002)[010]∥GaN(0001)[1 1 -2 0] according to XRD results. By comparing the orientation of STO grown on GaN with and without the YBCO top buffer layer, the surface chemical bonding was found to be a very important factor in determining the orientation relationship of STO.

  5. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  6. High-resolution electron microscopy study of Ni81Fe19 film with Co33Cr67 buffer layer

    International Nuclear Information System (INIS)

    Xu, Q.Y.; Wang, Z.M.; Shen, F.; Du, Y.W.; Zhang, Z.

    2003-01-01

    The anisotropic magnetoresistance (AMR) in permalloy Ni 81 Fe 19 film deposited on a 1.2 nm Co 33 Cr 67 buffer layer was significantly enhanced. The high-resolution electron microscopy was used to study the microstructure of Ni 81 Fe 19 film with and without Co 33 Cr 67 buffer layer. It was found that Co 33 Cr 67 buffer layer can induce good (1 1 1) texture, while without Co 33 Cr 67 buffer layer, Ni 81 Fe 19 film show randomly oriented grain structure. The Δρ/ρ enhancement is attributed to the decrease in the resistivity ρ of the Ni 81 Fe 19 film due to the formation of the large (1 1 1) textured grains in Ni 81 Fe 19 film with Co 33 Cr 67 buffer layer. However, the surface roughness of substrate may limit the (1 1 1) textured grain size and induce additional grain boundaries in Ni 81 Fe 19 film with Co 33 Cr 67 buffer layer, limit the enhancement of the AMR effect

  7. Preparation of SmBiO{sub 3} buffer layer on YSZ substrate by an improved chemical solution deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, Xiaolei [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Pu, Minghua, E-mail: mhpu@home.swjtu.edu.cn [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Zhao, Yong [Key Laboratory of Advanced Technologies of Materials (Ministry of Education of China), Superconductivity and New Energy R& D Center, Mail Stop 165#, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); School of Materials Science and Engineering, University of New South Wale, Sydney, NSW 2052 (Australia)

    2016-12-15

    Highlights: • The proper conditions for SBO growth are 794 °C for 60 min in flowing Ar gas, the temperature of epitaxial growth is relatively low. • The total time by SSD technique for organic solvent removing, salts decomposition and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. • SBO layer on YSZ prepared by SSD technique are suitable for the growth of YBCO, The results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes. - Abstract: A quick route for chemical solution deposition (CSD) has been developed to prepare SmBiO{sub 3} (SBO) layers on yttria stabilized zirconia (YSZ) substrates rapidly by using of solid state decomposition (SSD) technique. The proper conditions for volatilization of lactic acid, which as solvent in precursor coated layer, and SBO growth are 115°C for 30 min and 794°C for 60 min in flowing Ar gas. The coated layers are amorphous structure of mixture oxides and quasi-crystal structure of SBO before and after growth, respectively. The total time by this quick CSD route for organic solvent volatilization, salts decomposed and layer growth is not up to 2 h, which are much less than that needed for traditional CSD of over 10 h. SBO layer is directly epitaxial growth on YSZ substrate without any lattice rotation. SBO layer prepared by this quick route as well as that by traditional route are suitable for the growth of YBCO. The superconducting transition temperature and critical current density of the coated YBCO layer on SBO/YSZ obtained by this quick route are up to 90 K and 1.66 MA/cm{sup 2}. These results may be the usable reference for continuous preparation of SBO buffer layer on IBAD-YSZ/Ni-based alloy tapes.

  8. Growth of GaN on SiC/Si substrates using AlN buffer layer by hot-mesh CVD

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Kazuyuki [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)], E-mail: kazuyuki@stn.nagaokaut.ac.jp; Kuroki, Yuichiro; Yasui, Kanji [Nagaoka University of Technology, Nagaoka 940-2188 (Japan); Suemitsu, Maki; Ito, Takashi [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Endou, Tetsuro [Research Institute of Electrical Communication, Tohoku University, Sendai 980-8577 (Japan); Nakazawa, Hideki [Faculty of Science and Technology, Hirosaki University, Hirosaki 036-8561 (Japan); Narita, Yuzuru [Center of Interdisciplinary Research, Tohoku University, Sendai 980-8578 (Japan); Takata, Masasuke; Akahane, Tadashi [Nagaoka University of Technology, Nagaoka 940-2188 (Japan)

    2008-01-15

    GaN films were grown on SiC/Si (111) substrates by hot-mesh chemical vapor deposition (CVD) using ammonia (NH{sub 3}) and trimetylgallium (TMG) under low V/III source gas ratio (NH{sub 3}/TMG = 80). The SiC layer was grown by a carbonization process on the Si substrates using propane (C{sub 3}H{sub 8}). The AlN layer was deposited as a buffer layer using NH{sub 3} and trimetylaluminum (TMA). GaN films were formed and grown by the reaction between NH{sub x} radicals, generated on a tungsten hot mesh, and the TMG molecules. The GaN films with the AlN buffer layer showed better crystallinity and stronger near-band-edge emission compared to those without the AlN layer.

  9. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    Science.gov (United States)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  10. Cube textured CeO2, BaZrO3 and LaAlO3 buffer layers on Ni based Substrates

    International Nuclear Information System (INIS)

    Deinhofer, C; Gritzner, G

    2006-01-01

    CeO 2 , BaZrO 3 as well as LaAlO 3 buffer layers were deposited on {100} Ni + 5 weight-% W substrates by a wet chemical technique. The solutions were prepared by dissolving the metal nitrates or acetates and zirconiumacetylacetonate, respectively, in mixtures of acetic acid, methanol and water. The solutions were applied by dip- or spincoating, dried at 135 deg. C and annealed at temperatures between 900 and 1 400 deg. C depending on the buffer layer for 15 min. under Ar-5% H 2 gas flow. Pole-figure measurements proved the exact texture of each buffer layer. Electron microscopy showed dense and smooth buffer layers

  11. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  12. Further contribution to the study of buffer layer on austenitic stainless stell overlays obtained by means of automatic submerged arc welding with electrode-wire

    International Nuclear Information System (INIS)

    Colla, G.

    1988-01-01

    The influence of several buffer layer types on a 308 type austenitic stainless steel surface overlay having a 19-21% chromium and 10-12% nikel content have been analysed. Cladding passes have been deposited on carbon steel test samples by using automatic submerged arc welding process with electrode-wire. The experimental tests have involved buffer layers having seven different chemical compositions and the obtained results are reported and discussed in the paper. The achieved experimetal results allow selecting the most suitable buffer layer to be deposited in order to reach the required cladding performance in service

  13. Chemical Bath Deposition and Characterization of CdS layer for CZTS Thin Film Solar Cell

    OpenAIRE

    Kamal, Tasnim; Parvez, Sheikh; Matin, Rummana; Bashar, Mohammad Shahriar; Hossain, Tasnia; Sarwar, Hasan; Rashid, Mohammad Junaebur

    2016-01-01

    CZTS is a new type of an absorber and abundant materials for thin film solar cells (TFSC). Cadmium sulfide (CdS) is the n-type buffer layer of it with band gap of 2.42 eV. Cadmium sulfide (CdS) buffer layer of CZTS solar cell was deposited on soda-lime glass substrates by the Chemical Bath Deposition(CBD) method, using anhydrous Cadmium chloride(CdCl_2) and Thiourea (CS(NH_2)_2). Deposition of CdS using CBD is based on the slow release of Cd^ ions and S^ ions in an alkaline bath which is achi...

  14. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  15. Granular nanocrystalline zirconia electrolyte layers deposited on porous SOFC cathode substrates

    International Nuclear Information System (INIS)

    Seydel, Johannes; Becker, Michael; Ivers-Tiffee, Ellen; Hahn, Horst

    2009-01-01

    Thin granular yttria-stabilized zirconia (YSZ) electrolyte layers were prepared by chemical vapor synthesis and deposition (CVD/CVS) on a porous substoichiometric lanthanum-strontium-manganite (ULSM) solid oxide fuel cell cathode substrate. The substrate porosity was optimized with a screen printed fine porous buffer layer. Structural analysis by scanning electron microscopy showed a homogeneous, granular nanocrystalline layer with a microstructure that was controlled via reactor settings. The CVD/CVS gas-phase process enabled the deposition of crack-free granular YSZ films on porous ULSM substrates. The electrolyte layers characterized with impedance spectroscopy exhibited enhanced grain boundary conductivity.

  16. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Srinivas, S.; Bhatnagar, A.K. [Univ. of Hyderabad (India); Pinto, R. [Solid State Electronics Group, Bombay (India)] [and others

    1994-12-31

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si<100>, Sapphire and LaAlO{sub 3} <100> substrates. The effect of substrate temperatures upto 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar structure with variation growth conditions. The buffer layers of YSZ and STO showed orientation. The tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa{sub 2}Cu{sub 9}O{sub 7-x} (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  17. Thin film photovoltaic devices with a minimally conductive buffer layer

    Science.gov (United States)

    Barnes, Teresa M.; Burst, James

    2016-11-15

    A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.

  18. Growth and characterization of epitaxial anatase TiO2(001) on SrTiO3-buffered Si(001) using atomic layer deposition

    International Nuclear Information System (INIS)

    McDaniel, M.D.; Posadas, A.; Wang, T.; Demkov, A.A.; Ekerdt, J.G.

    2012-01-01

    Epitaxial anatase titanium dioxide (TiO 2 ) films have been grown by atomic layer deposition (ALD) on Si(001) substrates using a strontium titanate (STO) buffer layer grown by molecular beam epitaxy (MBE) to serve as a surface template. The growth of TiO 2 was achieved using titanium isopropoxide and water as the co-reactants at a substrate temperature of 225–250 °C. To preserve the quality of the MBE-grown STO, the samples were transferred in-situ from the MBE chamber to the ALD chamber. After ALD growth, the samples were annealed in-situ at 600 °C in vacuum (10 −7 Pa) for 1–2 h. Reflection high-energy electron diffraction was performed during the MBE growth of STO on Si(001), as well as after deposition of TiO 2 by ALD. The ALD films were shown to be highly ordered with the substrate. At least four unit cells of STO must be present to create a stable template on the Si(001) substrate for epitaxial anatase TiO 2 growth. X-ray diffraction revealed that the TiO 2 films were anatase with only the (004) reflection present at 2θ = 38.2°, indicating that the c-axis is slightly reduced from that of anatase powder (2θ = 37.9°). Anatase TiO 2 films up to 100 nm thick have been grown that remain highly ordered in the (001) direction on STO-buffered Si(001) substrates. - Highlights: ► Epitaxial anatase films are grown by atomic layer deposition (ALD) on Si(001). ► Four unit cells of SrTiO 3 on silicon create a stable template for ALD. ► TiO 2 thin films have a compressed c-axis and an expanded a-axis. ► Up to 100 nm thick TiO 2 films remain highly ordered in the (001) direction.

  19. Chemical solution deposited BaPbO3 buffer layers for lead zirconate titanate ferroelectric films

    International Nuclear Information System (INIS)

    Tseng, T.-K.; Wu, J.-M.

    2005-01-01

    Conductive perovskite BaPbO 3 (BPO) films have been prepared successfully by chemical solution deposition method through spin-coating on Pt/Ti/SiO 2 /Si substrates. The choice of baking temperature is a key factor on the development of conducting BPO perovskite phase. When the baking temperature is higher than 350 deg. C, the BPO films contain a high content of BaCO 3 phase after annealing at temperatures higher than 500 deg. C. If the baking temperature is chosen lower than 300 deg. C, such as 200 deg. C, the annealed BPO films consist mostly of perovskite with only traces of BaCO 3 . Choosing 200 deg. C as the baking temperature, the BPO films developed single perovskite phase at temperatures as low as 550 deg. C. The perovskite BPO phase is stable in the range of 550-650 deg. C and the measured sheet resistance of the BPO films is about 2-3 Ω/square. The perovskite BPO film as a buffer layer provides improvement in electric properties of lead zirconate titanate films

  20. Temperature buffer test. Installation of buffer, heaters and instruments in the deposition hole

    Energy Technology Data Exchange (ETDEWEB)

    Johannesson, Lars-Erik; Sanden, Torbjoern; Aakesson, Mattias [Clay Technology AB, Lund (Sweden); Barcena, Ignacio; Garcia-Sineriz, Jose Luis [Aitemin, Madrid (Spain)

    2010-12-15

    During 2003 the Temperature Buffer Test was installed in Aespoe Hard Rock Laboratory. Temperature, water pressure, relative humidity, total pressure and displacements etc. are measured in numerous points in the test. Most of the cables from the transducers are led in the deposition hole through slots in the rock surface of the deposition hole in watertight tubes to the data collection system in a container placed in the tunnel close to the deposition hole. This report describes the work with the installations of the buffer, heaters, and instruments and yields a description of the final location of all instruments. The report also contains a description of the materials that were installed and the densities yielded after placement.

  1. Temperature buffer test. Installation of buffer, heaters and instruments in the deposition hole

    International Nuclear Information System (INIS)

    Johannesson, Lars-Erik; Sanden, Torbjoern; Aakesson, Mattias; Barcena, Ignacio; Garcia-Sineriz, Jose Luis

    2010-12-01

    During 2003 the Temperature Buffer Test was installed in Aespoe Hard Rock Laboratory. Temperature, water pressure, relative humidity, total pressure and displacements etc. are measured in numerous points in the test. Most of the cables from the transducers are led in the deposition hole through slots in the rock surface of the deposition hole in watertight tubes to the data collection system in a container placed in the tunnel close to the deposition hole. This report describes the work with the installations of the buffer, heaters, and instruments and yields a description of the final location of all instruments. The report also contains a description of the materials that were installed and the densities yielded after placement

  2. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    Science.gov (United States)

    Wang, Lan; Lin, Xianzhong; Ennaoui, Ahmed; Wolf, Christian; Lux-Steiner, Martha Ch.; Klenk, Reiner

    2016-02-01

    We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating and inkjet printing. Active area efficiencies of 12.8% and 12.2% have been achieved for In2S3-buffered solar cells respectively, matching the performance of CdS-buffered cells prepared with the same batch of absorbers.

  3. Substrate-induced magnetism in epitaxial graphene buffer layers.

    Science.gov (United States)

    Ramasubramaniam, A; Medhekar, N V; Shenoy, V B

    2009-07-08

    Magnetism in graphene is of fundamental as well as technological interest, with potential applications in molecular magnets and spintronic devices. While defects and/or adsorbates in freestanding graphene nanoribbons and graphene sheets have been shown to cause itinerant magnetism, controlling the density and distribution of defects and adsorbates is in general difficult. We show from first principles calculations that graphene buffer layers on SiC(0001) can also show intrinsic magnetism. The formation of graphene-substrate chemical bonds disrupts the graphene pi-bonds and causes localization of graphene states near the Fermi level. Exchange interactions between these states lead to itinerant magnetism in the graphene buffer layer. We demonstrate the occurrence of magnetism in graphene buffer layers on both bulk-terminated as well as more realistic adatom-terminated SiC(0001) surfaces. Our calculations show that adatom density has a profound effect on the spin distribution in the graphene buffer layer, thereby providing a means of engineering magnetism in epitaxial graphene.

  4. Effect of Coating Parameters of the Buffer Layer on the Shape Ratio of TRISO-Coated Particles

    International Nuclear Information System (INIS)

    KIm, Weon Ju; Park, Jong Hoon; Park, Ji Yeon; Lee, Young Woo; Chang, Jong Hwa

    2005-01-01

    Fuel for high temperature gas-cooled reactors (HTGR's) consists of TRISO-coated particles. Fluidized bed chemical vapor deposition (FBCVD) has been applied to fabricate the TRISO-coated fuel particles. The TRISO particles consist of UO 2 microspheres coated with layers of porous pyrolytic carbon (PyC), inner dense PyC (IPyC), SiC, and outer dense PyC (OPyC). The porous PyC coating layer, called the buffer layer, attenuates fission recoils and provides void volume for gaseous fission products and carbon monoxide. The buffer layer, which has the highest coating rate among the coating layers, shows the largest variation of the coating thickness within a particle and a batch. This could be the most plausible source of an asphericity in the TRISO particles. The aspherical particles are expected to have an inferior fuel performance. Miller et al. have predicted that a larger stress is developed within the coating layers and thus the failure probability increases in the particles with high aspect ratios. Therefore, the shape of the TRISO-coated particles should be controlled properly and has been one of the important inspection items for the quality control of the fabrication process. In this paper, we investigated the effect of coating parameters of the buffer layer on the shape of the TRISO particles. The flow rate of coating gas and the coating temperature were varied to control the buffer layer. The asphericity of the TRISO-coated particles was evaluated for the various coating conditions of the buffer layer, but at constant coating parameters for the IPyC/SiC/OPyC layers

  5. Effects of the annealing duration of the ZnO buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process

    Energy Technology Data Exchange (ETDEWEB)

    Shin, C.M.; Lee, J.Y.; Heo, J.H.; Park, J.H.; Kim, C.R. [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing, Inje University, Obang-dong, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, 1 Dongsam-dong, Yeongdo-Ku, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Gwaebeop-dong, Sasang-gu, Busan 617-736 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, 995 Eomgwangno, Busanjin-gu, Busan 614-714 (Korea, Republic of); Tan, S.T. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); Zhao, J.L. [School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore); Sun, X.W. [Institute of Microelectronics, 11 Science Park Road, Science Park II, Singapore 117685 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, Nanyang Avenue, Singapore 639798 (Singapore)

    2009-07-30

    In this study, the effects of the annealing duration of a zinc oxide (ZnO) buffer layer on structural and optical properties of ZnO rods grown by a hydrothermal process are discussed. A ZnO buffer layer was deposited on p-type Si (1 1 1) substrates by the metal organic chemical vapor deposition (MOCVD) method. After that, ZnO rods were grown on the ZnO-buffer/Si (1 1 1) substrate by a hydrothermal process. In order to determine the optimum annealing duration of the buffer layer for the growth of ZnO rods, durations ranging from 0.5 to 30 min were tried. The morphology and crystal structure of the ZnO/ZnO-buffer/Si (1 1 1) were measured by field emission scanning electron microscopy (FE-SEM) and x-ray diffraction (XRD). The optical properties were investigated by photoluminescence (PL) measurement.

  6. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  7. Epitaxial c-axis oriented BaTiO3 thin films on SrTiO3-buffered Si(001) by atomic layer deposition

    International Nuclear Information System (INIS)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G.; Posadas, Agham B.; Demkov, Alexander A.; Hu, Chengqing; Yu, Edward T.; Bruley, John

    2014-01-01

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO 3 (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO 3 (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure

  8. Strontium Titanate Buffer Layers on Cu/33%Ni Substrates using a Novel Solution Chemistry

    DEFF Research Database (Denmark)

    Pallewatta, Pallewatta G A P; Yue, Zhao; Hui, Tian

    2013-01-01

    SrTiO3 is a widely studied perovskite material due to its advantages as a buffer template which can be simply applied between a metal substrate tape and a superconducting layer in 2G high temperature superconducting (HTS) tapes. In this study, heteroepitaxial SrTiO3 thin films were deposited on t...

  9. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    Directory of Open Access Journals (Sweden)

    Wang Lan

    2016-01-01

    Full Text Available We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating and inkjet printing. Active area efficiencies of 12.8% and 12.2% have been achieved for In2S3-buffered solar cells respectively, matching the performance of CdS-buffered cells prepared with the same batch of absorbers.

  10. Growth of highly textured SnS on mica using an SnSe buffer layer

    International Nuclear Information System (INIS)

    Wang, S.F.; Fong, W.K.; Wang, W.; Surya, C.

    2014-01-01

    We report the growth of SnS thin films on mica substrates by molecular beam epitaxy. Excellent 2D layered structure and strong (001) texture were observed with a record low rocking curve full width at half maximum of ∼ 0.101° for the SnS(004) diffraction. An interface model is used to investigate the nucleation of SnS on mica which indicates the co-existence of six pairs of lateral growth orientations and is in excellent agreement with the experimental Φ-scan measurements indicating 12 peaks separated by 30° from each other. To control the lateral growth of the SnS epilayers we investigate the utilization of a thin SnSe buffer layer deposited on the mica substrate prior to the growth of the SnS thin film. The excellent lattice match between SnSe and mica enhances the alignment of the nucleation of SnS and suppresses the minor lateral orientations along the mica[110] direction and its orthogonal axis. Detailed low-frequency noise measurement was performed to characterize the trap density in the films and our results clearly demonstrate substantial reduction in the density of the localized states in the SnS epilayer with the use of an SnSe buffer layer. - Highlights: • A record low rocking curve FWHM for deposited SnS on mica • Investigation of the nucleation of SnS on mica using the interface model • Investigation of nucleation mechanism by phi-scan measurement • Grain boundary formation from crystallites of various nucleation orientations • Suppression of nucleation orientations using an SnSe buffer layer

  11. Chemical-bath ZnO buffer layer for CuInS{sub 2} thin-film solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Weber, M.; Scheer, R.; Lewerenz, H.J. [Hahn-Meitner-Institut, Abt. Grenzflaechen, Bereich Physikalische Chemie, Glienicker Strasse 100, D-14109 Berlin (Germany)

    1998-07-13

    ZnO buffer layers were grown by a chemical-bath deposition (CBD) in order to improve the interface quality in p-CuInS{sub 2} based solar cells, to improve the light transmission in the blue wavelength region, but also as an alternative to eliminate the toxic cadmium. The process consists of immersion of different substrates (glass, CIS) in a dilute solution of tetraamminezinc II, [Zn(NH{sub 2}){sub 4}]{sup 2+}, complex at 60-95C. During the growth process, a homogeneous growth mechanism which proceeds by the sedimentation of a mixture of ZnO and Zn(OH){sub 2} clusters formed in solution, competes with the heterogeneous growth mechanism. The mechanism consists of specific adsorption of a complex Zn(II) followed by a chemical reaction. The last process of growth results in thin, hard, adherent and specularly reflecting films. The characterization of the deposited CBD-ZnO layers was performed by X-ray diffraction (XRD), optical transmittance, scanning electron microscopy, transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). The as-deposited films on glass show hexagonal zincite structure with two preferred orientations (1 0 0) and (1 0 1). High optical transmittance up to 80% in the near-infrared and part of the visible region was observed. The low growth rate of the films on CIS suggests an atomic layer-by-layer growth process.The device parameters and performance are compared to heterojunction with a standard CdS buffer layer

  12. In-situ laser processing and microstructural characteristics of YBa2Cu3O7-δ thin films on Si with TiN buffer layer

    International Nuclear Information System (INIS)

    Tiwari, P.; Zheleva, T.; Narayan, J.

    1993-01-01

    The authors have prepared high-quality superconducting YBa 2 Cu 3 O 7 -δ (YBCO) thin films on Si(100) with TiN as a buffer layer using in-situ multitarget deposition system. Both TiN and YBCO thin films were deposited sequentially by KrF excimer laser ( | = 248 nm ) at substrate temperature of 650 C . Thin films were characterized using X-ray diffraction (XRD), four-point-probe ac resistivity, scanning electron microscopy (S E M), transmission electron microscopy (TEM), and Rutherford backscattering (RBS). The TiN buffer layer was epitaxial and the epitaxial relationship was found to be cube on cube with TiN parallel Si. YBCO thin films on Si with TiN buffer layer showed the transition temperature of 90-92K with T co (zero resistance temperature) of 84K. The authors have found that the quality of the buffer layer is very important in determining the superconducting transition temperature of the thin film. The effects of processing parameters and the correlation of microstructural features with superconducting properties are discussed in detail

  13. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Peng [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Hudak, Michael R.; Lerner, Allan [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Grubbs, Robert K. [Sandia National Laboratories, P.O. Box 5800, Albuquerque, NM 87185 (United States); Wang, Shanmin [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Zhang, Zhan; Karapetrova, Evguenia [Advance Photon Source, Argonne National Laboratory, 9700S Cass Ave, Argonne, IL 60439 (United States); Hickmott, Donald [Earth and Environmental Sciences Division, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States); Majewski, Jaroslaw, E-mail: jarek@lanl.gov [Lujan Neutron Scattering Center, Los Alamos National Laboratory, P.O. Box 1663, Los Alamos, NM 87545 (United States)

    2014-08-28

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO{sub 3}) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al{sub 2}O{sub 3} buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al{sub 2}O{sub 3} buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial

  14. X-ray scattering of calcite thin films deposited by atomic layer deposition: Studies in air and in calcite saturated water solution

    International Nuclear Information System (INIS)

    Wang, Peng; Hudak, Michael R.; Lerner, Allan; Grubbs, Robert K.; Wang, Shanmin; Zhang, Zhan; Karapetrova, Evguenia; Hickmott, Donald; Majewski, Jaroslaw

    2014-01-01

    Carbonates are one of the most abundant groups of minerals in earth systems and are important in many geological settings and industrial processes. Calcite (CaCO 3 ) thin films produced by atomic layer deposition offer a method to evaluate the surficial properties of carbonates as well as interactions at the carbonate–fluid interface. Using synchrotron X-ray reflectivity and X-ray diffraction, these films are observed to be porous, polycrystalline, and have crystallites oriented with the major (104) calcite cleavage plane parallel to the surface of the z-cut single crystal quartz substrate. An Al 2 O 3 buffer layer, present between quartz and the calcite film, does not affect the as-deposited film, but does influence how the films reorganize in contact with fluid. Without a buffer layer, calcite reorients its crystallites to have populations of (006) and (030) parallel to the substrate, while those with an Al 2 O 3 buffer layer become more amorphous. Amorphous films may represent an analog to amorphous calcium carbonate and provide insights into that material's thermophysical behavior. Due to a higher percentage of pore spaces available for fluid infiltration, films deposited at higher temperature make the calcite thin films more susceptible to amorphization. These films are chemically similar, but structurally dissimilar to bulk natural calcite. Nevertheless, they can be a complementary system to traditional single crystal X-ray surface scattering studies on carbonates, particularly for important but less common minerals, to evaluate mineral–fluid interfacial interactions. - Highlights: • Atomic layer deposition (ALD) used to produce calcite films. • Calcite film orientation and crystallinity depend on ALD parameters. • ALD calcite films can be both crystalline and amorphous. • Interaction of water with films can re-orient or amorphize the films. • ALD calcite films may be useful to study carbonate–fluid interfacial interactions

  15. Performance improvement of MEH-PPV:PCBM solar cells using bathocuproine and bathophenanthroline as the buffer layers

    International Nuclear Information System (INIS)

    Liu Xiao Dong; Zhao Su-Ling; Xu Zheng; Zhang Fu-Jun; Zhang Tian-Hui; Gong Wei; Yan Guang; Kong Chao; Wang Yong-Sheng; Xu Xu-Rong

    2011-01-01

    In this work, bathocuproine (BCP) and bathophenanthroline (Bphen), commonly used in small-molecule organic solar cells (OSCs), are adopted as the buffer layers to improve the performance of the polymer solar cells (PSCs) based on poly(2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene) (MEH-PPV): [6,6]-phenyl-C 61 -butyric acid methyl ester (PCBM) bulk heterojunction. By inserting BCP or Bphen between the active layer and the top cathode, all the performance parameters are dramatically improved. The power conversion efficiency is increased by about 70% and 120% with 5-nm BCP and 12-nm Bphen layers, respectively, when compared with that of the devices without any buffer layer. The performance enhancement is attributed to BCP or Bphen (i) increasing the optical field, and hence the absorption in the active layer, (ii) effectively blocking the excitons generated in MEH-PPV from quenching at organic/aluminum (Al) interface due to the large band-gap of BCP or Bphen, which results in a significant reduction in series resistance (R s ), and (iii) preventing damage to the active layer during the metal deposition. Compared with the traditional device using LiF as the buffer layer, the BCP-based devices show a comparable efficiency, while the Bphen-based devices show a much larger efficiency. This is due to the higher electron mobility in Bphen than that in BCP, which facilitates the electron transport and extraction through the buffer layer to the cathode. (interdisciplinary physics and related areas of science and technology)

  16. Enhanced electrical and magnetic properties in La0.7Sr0.3MnO3 thin films deposited on CaTiO3-buffered silicon substrates

    Directory of Open Access Journals (Sweden)

    C. Adamo

    2015-06-01

    Full Text Available We investigate the suitability of an epitaxial CaTiO3 buffer layer deposited onto (100 Si by reactive molecular-beam epitaxy (MBE for the epitaxial integration of the colossal magnetoresistive material La0.7Sr0.3MnO3 with silicon. The magnetic and electrical properties of La0.7Sr0.3MnO3 films deposited by MBE on CaTiO3-buffered silicon (CaTiO3/Si are compared with those deposited on SrTiO3-buffered silicon (SrTiO3/Si. In addition to possessing a higher Curie temperature and a higher metal-to-insulator transition temperature, the electrical resistivity and 1/f noise level at 300 K are reduced by a factor of two in the heterostructure with the CaTiO3 buffer layer. These results are relevant to device applications of La0.7Sr0.3MnO3 thin films on silicon substrates.

  17. Evaluation of methods for application of epitaxial layers of superconductor and buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1997-06-01

    The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, based on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process, an

  18. Improved Reliability of Small Molecule Organic Solar Cells by Double Anode Buffer Layers

    Directory of Open Access Journals (Sweden)

    Pao-Hsun Huang

    2014-01-01

    Full Text Available An optimized hybrid planar heterojunction (PHJ of small molecule organic solar cells (SM-OSCs based on copper phthalocyanine (CuPc as donor and fullerene (C60 as acceptor was fabricated, which obviously enhanced the performance of device by sequentially using both MoO3 and pentacene as double anode buffer layers (ABL, also known as hole extraction layer (HEL. A series of the vacuum-deposited ABL, acting as an electron and exciton blocking layer, were examined for their characteristics in SM-OSCs. The performance and reliability were compared between conventional ITO/ABL/CuPc/C60/BCP/Ag cells and the new ITO/double ABL/CuPc/C60/BCP/Ag cells. The effect on the electrical properties of these materials was also investigated to obtain the optimal thickness of ABL. The comparison shows that the modified cell has an enhanced reliability compared to traditional cells. The improvement of lifetime was attributed to the idea of double layers to prevent humidity and oxygen from diffusing into the active layer. We demonstrated that the interfacial extraction layers are necessary to avoid degradation of device. That is to say, in normal temperature and pressure, a new avenue for the device within double buffer layers has exhibited the highest values of open circuit voltage (Voc, fill factor (FF, and lifetime in this work compared to monolayer of ABL.

  19. Epitaxial c-axis oriented BaTiO{sub 3} thin films on SrTiO{sub 3}-buffered Si(001) by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ngo, Thong Q.; McDaniel, Martin D.; Ekerdt, John G., E-mail: ekerdt@che.utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Posadas, Agham B.; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Yu, Edward T. [Department of Electrical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Bruley, John [IBM Research Division, Yorktown Heights, New York 10593 (United States)

    2014-02-24

    Atomic layer deposition (ALD) of epitaxial c-axis oriented BaTiO{sub 3} (BTO) on Si(001) using a thin (1.6 nm) buffer layer of SrTiO{sub 3} (STO) grown by molecular beam epitaxy is reported. The ALD growth of crystalline BTO films at 225  °C used barium bis(triisopropylcyclopentadienyl), titanium tetraisopropoxide, and water as co-reactants. X-ray diffraction (XRD) reveals a high degree of crystallinity and c-axis orientation of as-deposited BTO films. Crystallinity is improved after vacuum annealing at 600  °C. Two-dimensional XRD confirms the tetragonal structure and orientation of 7–20-nm thick films. The effect of the annealing process on the BTO structure is discussed. A clean STO/Si interface is found using in-situ X-ray photoelectron spectroscopy and confirmed by cross-sectional scanning transmission electron microscopy. The capacitance-voltage characteristics of 7–20 nm-thick BTO films are examined and show an effective dielectric constant of ∼660 for the heterostructure.

  20. Study of buffer layer thickness on bulk heterojunction solar cell.

    Science.gov (United States)

    Noh, Seunguk; Suman, C K; Lee, Donggu; Kim, Seohee; Lee, Changhee

    2010-10-01

    We studied the effect of the buffer layer (molybdenum-oxide (MoO3)) thickness on the performance of organic solar cell based on blends of poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl-C61 butyric acid methyl ester fullerene derivative (PCBM). The thickness of MoO3 was varied from 1 nm to 30 nm for optimization of device performance. The photocurrent-voltage and impedance spectroscopy were measured under dark and AM1.5G solar simulated illumination of 100 mW/cm2 for exploring the role of the buffer layer thickness on carrier collection at an anode. The MoO3 thickness of the optimized device (efficiency approximately 3.7%) was found to be in the range of 5 approximately 10 nm. The short-circuit current and the shunt resistance decrease gradually for thicker MoO3 layer over 5 nm. The device can be modeled as the combination of three RC parallel circuits (each one for the active layer, buffer layer and interface between the buffer layer and the active layer) in series with contact resistance (Rs approximately 60 ohm).

  1. Simulation study on single event burnout in linear doping buffer layer engineered power VDMOSFET

    International Nuclear Information System (INIS)

    Jia Yunpeng; Su Hongyuan; Hu Dongqing; Wu Yu; Jin Rui

    2016-01-01

    The addition of a buffer layer can improve the device's secondary breakdown voltage, thus, improving the single event burnout (SEB) threshold voltage. In this paper, an N type linear doping buffer layer is proposed. According to quasi-stationary avalanche simulation and heavy ion beam simulation, the results show that an optimized linear doping buffer layer is critical. As SEB is induced by heavy ions impacting, the electric field of an optimized linear doping buffer device is much lower than that with an optimized constant doping buffer layer at a given buffer layer thickness and the same biasing voltages. Secondary breakdown voltage and the parasitic bipolar turn-on current are much higher than those with the optimized constant doping buffer layer. So the linear buffer layer is more advantageous to improving the device's SEB performance. (paper)

  2. The Effect of Sintering Oxygen Partial Pressure on a SmBiO3 Buffer Layer for Coated Conductors via Chemical Solution Deposition

    Directory of Open Access Journals (Sweden)

    Xiaolei Zhu

    2016-10-01

    Full Text Available The application of high-temperature YBa2Cu3O7−δ (YBCO superconducting material is a considerable prospect for the growing energy shortages. Here, SmBiO3 (SBO films were deposited on (100-orientated yttrium-stabilized zirconia (YSZ simple crystal substrates via the chemical solution deposition (CSD approach for coated conductors, and the effects of sintering oxygen partial pressure on SBO films were studied. The crystalline structures and surface morphologies of SBO films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, and atomic force microscope (AFM. The optimized growth temperature, the intensity ratios of the SBO (200 peak to the SBO (111 peak, and the crystallinities of SBO films increased with the sintering oxygen partial pressure. The SEM and AFM images displayed a smooth and well-distributed surface in the argon atmosphere. The subsequent YBCO films with superconducting transition temperatures (Tc = 89.5 K, 90.2 K, and 86.2 K and critical current densities (Jc = 0.88 MA/cm2, 1.69 MA/cm2, and 0.09 MA/cm2; 77 K, self-field were deposited to further check the qualities of the SBO layer. These results indicated that sintering oxygen partial pressure had an effect on the epitaxial growth of the SBO buffer layer and YBCO superconducting properties. The experimental results may be a usable reference for the epitaxial growth of YBCO-coated conductors and other oxides.

  3. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam [School of Physics, University of Hyderabad, Hyderabad-500046 (India); Madhurima, V., E-mail: madhurima.v@gmail.com [Department of Physics, Central University of Tamil Nadu, Thiruvarur-610004 (India)

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  4. Transparent Conductive In and Ga Doped ZnO/Cu Bi-Layered Films Deposited by DC and RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Song, Young-Hwan; Oh, Jung-Hyun; Heo, Sung-Bo; Kim, Daeil

    2016-01-01

    In- and Ga-doped ZnO (IGZO) films were deposited on 5 nm thick Cu film buffered poly-carbonate substrates with RF magnetron sputtering and the effects of the Cu buffer layer on the optical and electrical properties of the films were investigated. The IGZO single layer films exhibited an electrical resistivity of 1.2×10"-1 Ω cm while the IGZO/Cu bi-layered films exhibited a lower resistivity of 1.6×10"-3 Ω cm. With respect to optical properties, the optical band gap of the IGZO films appeared to decrease as a result of an increasing carrier concentration due to the Cu buffer layer. In addition, the RMS roughness (8.2 nm) of the IGZO films also decreased to 6.8 nm by a Cu buffer layer in AFM observation. Although the optical transmittance in the range of visible wavelengths was deteriorated by the Cu buffer layer, the IGZO films with a 5 nm thick Cu buffer layer exhibited a higher figure of merit of 2.6×10"-4 Ω"-1 compared with the IGZO single layer films due to enhanced optoelectrical performance.

  5. Transparent Conductive In and Ga Doped ZnO/Cu Bi-Layered Films Deposited by DC and RF Magnetron Sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Moon, Hyun-Joo; Song, Young-Hwan; Oh, Jung-Hyun; Heo, Sung-Bo; Kim, Daeil [University of Ulsan, Ulsan (Korea, Republic of)

    2016-06-15

    In- and Ga-doped ZnO (IGZO) films were deposited on 5 nm thick Cu film buffered poly-carbonate substrates with RF magnetron sputtering and the effects of the Cu buffer layer on the optical and electrical properties of the films were investigated. The IGZO single layer films exhibited an electrical resistivity of 1.2×10{sup -}1 Ω cm while the IGZO/Cu bi-layered films exhibited a lower resistivity of 1.6×10{sup -}3 Ω cm. With respect to optical properties, the optical band gap of the IGZO films appeared to decrease as a result of an increasing carrier concentration due to the Cu buffer layer. In addition, the RMS roughness (8.2 nm) of the IGZO films also decreased to 6.8 nm by a Cu buffer layer in AFM observation. Although the optical transmittance in the range of visible wavelengths was deteriorated by the Cu buffer layer, the IGZO films with a 5 nm thick Cu buffer layer exhibited a higher figure of merit of 2.6×10{sup -}4 Ω{sup -}1 compared with the IGZO single layer films due to enhanced optoelectrical performance.

  6. Thermal resistance of buffer layer in a ceramic wall of MHD generation channel

    International Nuclear Information System (INIS)

    Nomura, Osami; Ebata, Yoshihiro; Hijikata, Kenichi.

    1982-01-01

    A wal l model is composed for obtaining the thermal resistance of the buffer layer. A buffer layer of the model is consisted to an adhesive layer and a buffer body. The adhesive layer is made of a copper plate, which is 0.3 mm thick, and adhered to the element by Refractory Method. The adhesive layer is consisted to three layers, i.e., Cu, Cu 2 O and CuO. These three layers seems to give rise to the thermal resistance. The buffer body is made of nickel wires of which radious is 0.4 mm and purity is 99.7%. All of the nickel wires are assembled in one direction which is parallel to a center line of the element, and bundled all together. Occupation ratio of nickel is about 78% in a sectional area of the buffer body. One end of the buffer body is soldered to adhesive layer by silver solder and opposite and is soldered to holder by lead solder. An element of the model is made of magnesia ceramics of which purity is about 99.9% and porosity is about 3%. A holder of the model is made of copper block. Results are as follows: (1) Thermal resistance of the buffer layer is from 1.9 to 2.5K/(W/cm 2 ). (2) Thermal resistance of the adhesive layer is from 0.43 to 0.87K/(W/cm 2 ). (3) Thermal resistance of the buffer body is calculated to about 0.7K/(W/cm 2 ) under the estimation at which the heat flows in the nickel wires only. (4) From above results, thermal resistance of silver soldering layer seems to be same as that of the adhesive layers. The buffer layer needs more value of the thermal resistance in order to apply to the MHD generation channel. Value of the thermal resistance is easily satisfied by changing of material of the buffer body, increase of thickness of the buffer layer and etc. Then this wall appears to be useful to an MHD generation channel wall. (author)

  7. Study on advanced Ce0.9La0.1O2/Gd2Zr2O7 buffer layers architecture towards all chemical solution processed coated conductors

    DEFF Research Database (Denmark)

    Yue, Zhao; Ma, L.; Wu, W.

    2015-01-01

    2Zr2O7 buffer layer stack and the 200 nm thick YBa2Cu3O7 (YBCO) superconducting layer were sequentially deposited on textured NiW substrates using metal-organic deposition routes. The surface texture of the Gd2Zr2O7 barrier layer deteriorates when the film thickness increases to 80 nm, although...

  8. Effect of a cathode buffer layer on the stability of organic solar cells

    International Nuclear Information System (INIS)

    Wang, Danbei; Zeng, Wenjin; Chen, Shilin; Su, Xiaodan; Wang, Jin; Zhang, Hongmei

    2015-01-01

    We present the effect of a cathode buffer layer on the performance and stability of organic photovoltaics (OPVs) based on a blend of poly(3-hexylthiophene) (P3HT) and [6,6]-phenyl C61-butyric acid methyl ester (PCBM). Six kinds of cathode buffer layers, i.e. lithium fluoride, sodium chloride, NaCl/Mg, tris-(8-hydroxy-quinoline) aluminum, bathocuproine and 1,3,5-tris(2-N-phenylbenzimidazolyl)benzene, were inserted between the photoactive layer and an Al cathode, which played a dominant role in the device’s performance. Devices with the cathode buffer layers above exhibited improved performance. The degradation of these devices with encapsulation was further investigated in an inert atmosphere. The results indicated that devices with inorganic cathode buffer layers exhibited better stability than those with organic cathode buffer layers. (paper)

  9. Simulation study on single event burnout in linear doping buffer layer engineered power VDMOSFET

    Science.gov (United States)

    Yunpeng, Jia; Hongyuan, Su; Rui, Jin; Dongqing, Hu; Yu, Wu

    2016-02-01

    The addition of a buffer layer can improve the device's secondary breakdown voltage, thus, improving the single event burnout (SEB) threshold voltage. In this paper, an N type linear doping buffer layer is proposed. According to quasi-stationary avalanche simulation and heavy ion beam simulation, the results show that an optimized linear doping buffer layer is critical. As SEB is induced by heavy ions impacting, the electric field of an optimized linear doping buffer device is much lower than that with an optimized constant doping buffer layer at a given buffer layer thickness and the same biasing voltages. Secondary breakdown voltage and the parasitic bipolar turn-on current are much higher than those with the optimized constant doping buffer layer. So the linear buffer layer is more advantageous to improving the device's SEB performance. Project supported by the National Natural Science Foundation of China (No. 61176071), the Doctoral Fund of Ministry of Education of China (No. 20111103120016), and the Science and Technology Program of State Grid Corporation of China (No. SGRI-WD-71-13-006).

  10. In-plane aligned YBCO film on textured YSZ buffer layer deposited on NiCr alloy tape by laser ablation with only O+ ion beam assistance

    International Nuclear Information System (INIS)

    Xin Tang Huang

    2000-01-01

    High critical current density and in-plane aligned YBa 2 Cu 3 O 7-x (YBCO) film on a textured yttria-stabilized zirconia (YSZ) buffer layer deposited on NiCr alloy (Hastelloy c-275) tape by laser ablation with only O + ion beam assistance was fabricated. The values of the x-ray phi-scan full width at half-maximum (FWHM) for YSZ(202) and YBCO(103) are 18 deg. and 11 deg., respectively. The critical current density of YBCO film is 7.9 x 105 A cm -2 at liquid nitrogen temperature and zero field, and its critical temperature is 90 K. (author)

  11. Solution-processed In2S3 buffer layer for chalcopyrite thin film solar cells

    OpenAIRE

    Wang Lan; Lin Xianzhong; Ennaoui Ahmed; Wolf Christian; Lux-Steiner Martha Ch.; Klenk Reiner

    2016-01-01

    We report a route to deposit In2S3 thin films from air-stable, low-cost molecular precursor inks for Cd-free buffer layers in chalcopyrite-based thin film solar cells. Different precursor compositions and processing conditions were studied to define a reproducible and robust process. By adjusting the ink properties, this method can be applied in different printing and coating techniques. Here we report on two techniques, namely spin-coating ...

  12. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  13. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  14. Buffer layer annealing effects on the magnetization reversal process in Pd/Co/Pd systems

    International Nuclear Information System (INIS)

    Fassatoui, A.; Belhi, R.; Vogel, J.; Abdelmoula, K.

    2016-01-01

    We have investigated the effect of annealing the buffer layer on the magnetization reversal behavior in Pd/Co/Pd thin films using magneto-optical Kerr microscopy. It was found that annealing the buffer layer at 150 °C for 1 h decreases the coercivity and increases the saturation magnetization and the effective magnetic anisotropy constant. This study also shows that the annealing induces a change of the magnetization reversal from a mixed nucleation and domain wall propagation process to one dominated by domain wall propagation. This result demonstrates that the main effect of annealing the buffer layer is to decrease the domain wall pinning in the Co layer, favoring the domain wall propagation mode. - Highlights: • The buffer layer surface morphology changes upon annealing of the buffer layer. • The coercivity decreases while the saturation magnetization and the effective anisotropy increase with the annealing of the buffer layer. • The reversal process changes from a mixed nucleation and domain wall propagation process to one dominated by domain wall propagation when annealing the buffer layer.

  15. Economical Atomic Layer Deposition

    Science.gov (United States)

    Wyman, Richard; Davis, Robert; Linford, Matthew

    2010-10-01

    Atomic Layer Deposition is a self limiting deposition process that can produce films at a user specified height. At BYU we have designed a low cost and automated atomic layer deposition system. We have used the system to deposit silicon dioxide at room temperature using silicon tetrachloride and tetramethyl orthosilicate. Basics of atomic layer deposition, the system set up, automation techniques and our system's characterization are discussed.

  16. Incorporation of La in epitaxial SrTiO{sub 3} thin films grown by atomic layer deposition on SrTiO{sub 3}-buffered Si (001) substrates

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [University of Texas at Austin, Department of Chemical Engineering, Austin, Texas 78712 (United States); Posadas, Agham; Demkov, Alexander A. [University of Texas at Austin, Department of Physics, Austin, Texas 78712 (United States); Karako, Christine M. [University of Dallas, Department of Chemistry, Irving, Texas 75062 (United States); Bruley, John; Frank, Martin M.; Narayanan, Vijay [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2014-06-14

    Strontium titanate, SrTiO{sub 3} (STO), thin films incorporated with lanthanum are grown on Si (001) substrates at a thickness range of 5–25 nm. Atomic layer deposition (ALD) is used to grow the La{sub x}Sr{sub 1−x}TiO{sub 3} (La:STO) films after buffering the Si (001) substrate with four-unit-cells of STO deposited by molecular beam epitaxy. The crystalline structure and orientation of the La:STO films are confirmed via reflection high-energy electron diffraction, X-ray diffraction, and cross-sectional transmission electron microscopy. The low temperature ALD growth (∼225 °C) and post-deposition annealing at 550 °C for 5 min maintains an abrupt interface between Si (001) and the crystalline oxide. Higher annealing temperatures (650 °C) show more complete La activation with film resistivities of ∼2.0 × 10{sup −2} Ω cm for 20-nm-thick La:STO (x ∼ 0.15); however, the STO-Si interface is slightly degraded due to the increased annealing temperature. To demonstrate the selective incorporation of lanthanum by ALD, a layered heterostructure is grown with an undoped STO layer sandwiched between two conductive La:STO layers. Based on this work, an epitaxial oxide stack centered on La:STO and BaTiO{sub 3} integrated with Si is envisioned as a material candidate for a ferroelectric field-effect transistor.

  17. Development of biaxially textured buffer layers on rolled-Ni substrates for high current YBa2Cu3O7-y coated conductors

    International Nuclear Information System (INIS)

    Paranthaman, M.; Goyal, A.; Norton, D.P.

    1996-01-01

    This paper describes the development of 3 buffer layer architectures with good biaxial textures on rolled-Ni substrates using vacuum processing techniques. The techniques include pulsed laser ablation, e-beam evaporation, dc and rf magnetron sputtering. The first buffer layer architecture consists of an epitaxial laminate of Ag/Pd(Pt)/Ni. The second buffer layer consists of an epitaxial laminate of CeO 2 /Pd/Ni. The third alternative buffer layer architecture consists of an epitaxial laminate of YSZ/CeO 2 /Ni. The cube (100) texture in the Ni was produced by cold rolling followed by recrystallization. Crystallographic orientations of the Pd, Ag, CeO 2 , and YSZ films grown were all (100). We recently demonstrated a critical- current density of 0.73x10 6 A/cm 2 at 77 K and zero field on 1.4 μm thick YBa 2 Cu 3 O 7-y (YBCO) film. This film was deposited by pulsed laser ablation on a YBCO/YSZ/CeO 2 /Ni substrate

  18. Development of all chemical solution derived Ce0.9La0.1O2−y/Gd2Zr2O7 buffer layer stack for coated conductors: influence of the post-annealing process on surface crystallinity

    International Nuclear Information System (INIS)

    Zhao, Y; Li, X-F; He, D; Andersen, N H; Grivel, J-C; Khoryushin, A; Hansen, J B

    2012-01-01

    Preparation and characterization of a biaxially textured Gd 2 Zr 2 O 7 and Ce 0.9 La 0.1 O 2−y (CLO, cap)/Gd 2 Zr 2 O 7 (GZO, barrier) buffer layer stack by the metal–organic deposition route are reported. YBa 2 Cu 3 O 7−d (YBCO) superconductor films were deposited by the pulsed-laser deposition (PLD) technique to assess the efficiency of such a novel buffer layer stack. Biaxial texture quality and morphology of the buffer layers and the YBCO superconductor films were fully characterized. The surface crystallinity of the buffer layers is studied by the electron backscatter diffraction technique. It is revealed that post-annealing GZO films in 2% H 2 in Ar is an effective way to improve the surface crystallinity. As a result, a highly textured CLO film can grow directly on the GZO film at a lower crystallization temperature. The critical current density of a YBCO PLD film is higher than 1 MA cm −2 (77 K, in self-field), demonstrating that the novel CLO/GZO stack is very promising for further development of low cost buffer layer architectures for coated conductors.

  19. Buffer layer investigations on MFIS capacitors consisting of ferroelectric poly[vinylidene fluoride trifluoroethylene

    International Nuclear Information System (INIS)

    Henkel, K; Seime, B; Paloumpa, I; Mueller, K; Schmeisser, D

    2010-01-01

    In this paper we present capacitance-voltage (CV) measurements on metal-ferroelectric-insulator-semiconductor (MFIS) capacitors with poly[vinylidene fluoride trifluoroethylene] (P[VDF/TrFE] as ferroelectric layer and SiO 2 , Al 2 O 3 and HfO 2 as buffering insulator layer. In order to discuss our data in a quantitative manner we perform fits to the data based on a model proposed by Miller and McWorther. The improvement of the polarization values and subsequently its effect on the hysteresis of the CV curve by the successive shrinking of the buffer layer thickness and the following choice of a high-k buffer material is demonstrated. Our data underline that a saturated polarization of P[VDF/TrFE] cannot be controlled with a SiO 2 buffer layer and the insertion of a high-k buffer layer is essential for further improvements of the characteristics of MFIS stacks.

  20. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  1. Ca/Alq3 hybrid cathode buffer layer for the optimization of organic solar cells based on a planar heterojunction

    Science.gov (United States)

    El Jouad, Z.; Barkat, L.; Stephant, N.; Cattin, L.; Hamzaoui, N.; Khelil, A.; Ghamnia, M.; Addou, M.; Morsli, M.; Béchu, S.; Cabanetos, C.; Richard-Plouet, M.; Blanchard, P.; Bernède, J. C.

    2016-11-01

    Use of efficient anode cathode buffer layer (CBL) is crucial to improve the efficiency of organic photovoltaic cells. Here we show that using a double CBL, Ca/Alq3, allows improving significantly cell performances. The insertion of Ca layer facilitates electron harvesting and blocks hole collection, leading to improved charge selectivity and reduced leakage current, whereas Alq3 blocks excitons. After optimisation of this Ca/Alq3 CBL using CuPc as electron donor, it is shown that it is also efficient when SubPc is substituted to CuPc in the cells. In that case we show that the morphology of the SubPc layer, and therefore the efficiency of the cells, strongly depends on the deposition rate of the SubPc film. It is necessary to deposit slowly (0.02 nm/s) the SubPc films because at higher deposition rate (0.06 nm/s) the films are porous, which induces leakage currents and deterioration of the cell performances. The SubPc layers whose formations are kinetically driven at low deposition rates are more uniform, whereas those deposited faster exhibit high densities of pinholes.

  2. Intermixing at the heterointerface between ZnS Zn S,O bilayer buffer and CuInS2 thin film solar cell absorber

    OpenAIRE

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; S ez Araoz, R.; Lehmann, S.; Grimm, A.; Lauermann, I.; Loreck, Ch.; Sokoll, St.; Schock, H. W.; Fischer, Ch. H.; Lux Steiner, M.C.; Jung, Ch

    2006-01-01

    The application of Zn compounds as buffer layers was recently extended to wide gap CuInS2 CIS based thin film solar cells. Using a new chemical deposition route for the buffer preparation aiming at the deposition of a single layer, nominal ZnS buffer without the need for any toxic reactants such as, e.g. hydrazine has helped to achieve a similar efficiency as respective CdS buffered reference devices. After identifying the deposited Zn compound, as ZnS Zn S,O bi layer buffer in former in...

  3. Natively textured surface hydrogenated gallium-doped zinc oxide transparent conductive thin films with buffer layers for solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xin-liang, E-mail: cxlruzhou@163.com; Wang, Fei; Geng, Xin-hua; Huang, Qian; Zhao, Ying; Zhang, Xiao-dan

    2013-09-02

    Natively textured surface hydrogenated gallium-doped zinc oxide (HGZO) thin films have been deposited via magnetron sputtering on glass substrates. These natively textured HGZO thin films exhibit rough pyramid-like textured surface, high optical transmittances in the visible and near infrared region and excellent electrical properties. The experiment results indicate that tungsten-doped indium oxide (In{sub 2}O{sub 3}:W, IWO) buffer layers can effectively improve the surface roughness and enhance the light scattering ability of HGZO thin films. The root-mean-square roughness of HGZO, IWO (10 nm)/HGZO and IWO (30 nm)/HGZO thin films are 28, 44 and 47 nm, respectively. The haze values at the wavelength of 550 nm increase from 7.0% of HGZO thin film without buffer layer to 18.37% of IWO (10 nm)/HGZO thin film. The optimized IWO (10 nm)/HGZO exhibits a high optical transmittance of 82.18% in the visible and near infrared region (λ ∼ 400–1100 nm) and excellent electrical properties with a relatively low sheet resistance of 3.6 Ω/□ and the resistivity of 6.21 × 10{sup −4} Ωcm. - Highlights: • Textured hydrogenated gallium-doped zinc oxide (HGZO) films were developed. • Tungsten-doped indium oxide (IWO) buffer layers were applied for the HGZO films. • Light-scattering ability of the HGZO films can be improved through buffer layers. • Low sheet resistance and high haze were obtained for the IWO(10 nm)/HGZO film. • The IWO/HGZO films are promising transparent conductive layers for solar cells.

  4. Enhancing the performance of organic thin-film transistors using an organic-doped inorganic buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Su, Shui-Hsiang, E-mail: shsu@isu.edu.tw; Wu, Chung-Ming; Kung, Shu-Yi; Yokoyama, Meiso

    2013-06-01

    Organic thin-film transistors (OTFTs) with various buffer layers between the active layer and source/drain electrodes were investigated. The structure was polyethylene terephthalate/indium-tin oxide/poly(methyl methacrylate) (PMMA)/pentacene/buffer layer/Au (source/drain). V{sub 2}O{sub 5}, 4,4′,4″-tris{N,(3-methylpheny)-N-phenylamino}-triphenylamine (m-MTDATA) and m-MTDATA-doped V{sub 2}O{sub 5} films were utilized as buffer layers. The electrical performances of OTFTs in terms of drain current, threshold voltage, mobility and on/off current ratio have been determined. As a result, the saturation current of − 40 μA is achieved in OTFTs with a 10% m-MTDATA-doped V{sub 2}O{sub 5} buffer layer at a V{sub GS} of − 60 V. The on/off current ratio reaches 2 × 10{sup 5}, which is approximately double of the device without a buffer layer. The energy band diagrams of the electrode/buffer layer/pentacene were measured using ultra-violet photoelectron spectroscopy. The improvement in electrical characteristics of the OTFTs is attributable to the weakening of the interface dipole and the lowering of the barrier to enhance holes transportation from the source electrode to the active layer. - Highlights: • A buffer layer enhances the performance of organic thin-film transistors (OTFTs). • The buffer layer consists of organic-doped inorganic material. • Interface dipole is weakened at the active layer/electrodes interface of OTFTs.

  5. Photovoltaic Properties in Interpenetrating Heterojunction Organic Solar Cells Utilizing MoO3 and ZnO Charge Transport Buffer Layers

    Science.gov (United States)

    Hori, Tetsuro; Moritou, Hiroki; Fukuoka, Naoki; Sakamoto, Junki; Fujii, Akihiko; Ozaki, Masanori

    2010-01-01

    Organic thin-film solar cells with a conducting polymer (CP)/fullerene (C60) interpenetrating heterojunction structure, fabricated by spin-coating a CP onto a C60 deposit thin film, have been investigated and demonstrated to have high efficiency. The photovoltaic properties of solar cells with a structure of indium-tin-oxide/C60/poly(3-hexylthiophene) (PAT6)/Au have been improved by the insertion of molybdenum trioxide (VI) (MoO3) and zinc oxide charge transport buffer layers. The enhanced photovoltaic properties have been discussed, taking into consideration the ground-state charge transfer between PAT6 and MoO3 by measurement of the differential absorption spectra and the suppressed contact resistance at the interface between the organic and buffer layers. PMID:28883360

  6. Photovoltaic Properties in Interpenetrating Heterojunction Organic Solar Cells Utilizing MoO3 and ZnO Charge Transport Buffer Layers

    Directory of Open Access Journals (Sweden)

    Tetsuro Hori

    2010-11-01

    Full Text Available Organic thin-film solar cells with a conducting polymer (CP/fullerene (C60 interpenetrating heterojunction structure, fabricated by spin-coating a CP onto a C60 deposit thin film, have been investigated and demonstrated to have high efficiency. The photovoltaic properties of solar cells with a structure of indium-tin-oxide/C60/ poly(3-hexylthiophene (PAT6/Au have been improved by the insertion of molybdenum trioxide (VI (MoO3 and zinc oxide charge transport buffer layers. The enhanced photovoltaic properties have been discussed, taking into consideration the ground-state charge transfer between PAT6 and MoO3 by measurement of the differential absorption spectra and the suppressed contact resistance at the interface between the organic and buffer layers.

  7. Distributed Modeling of soil erosion and deposition affected by buffer strips

    DEFF Research Database (Denmark)

    Khademalrasoul, Ataalah; Heckrath, Goswin Johann; Iversen, Bo Vangsø

    bodies. Buffer zones can be efficient in terms of retaining sediment and phosphorus transported by water erosion. This study aimed at parameterizing a spatial distributed erosion model to evaluate the effect of different buffer zone properties and dimension. It was our hypothesis that the placement...... was surveyed during the runoff season. In addition, organic carbon and phosphorous contents as well as bulk density were determined in soils of eroding and depositional sites. General buffer zone properties were recorded. Here we present results from scenario analyses comparing measured sediment deposition......Soil degradation and environmental impacts due to water erosion are a growing concern globally. Large parts of Denmark are covered by gently rolling moraine landscape with moderately to locally highly erodible soils where water erosion causes off-site problems in the form of eutrophication of water...

  8. Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers for strain tuning of infinite-layer Sr{sub 1−x}La{sub x}CuO{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sakuma, Keita, E-mail: sakuma.keita@d.mbox.nagoya-u.ac.jp; Ito, Masataka; He, Yilun; Hajiri, Tetsuya; Ueda, Kenji; Asano, Hidefumi

    2016-08-01

    We report on the precise tuning of lattice strain in an infinite-layer electron-doped high temperature superconductor Sr{sub 1−x}La{sub x}CuO{sub 2} (SLCO; a{sub SLCO} = 0.3949 nm for x = 0.1), which is a perovskite-related oxide, using perovskite BaTiO{sub 3}–SrTiO{sub 3} (BSTO; Ba{sub y}Sr{sub 1−y}TiO{sub 3}) buffer layers. The BSTO buffer layers formed on (001) (La{sub 0.18}Sr{sub 0.82})(Al{sub 0.59}Ta{sub 0.41})O{sub 3} substrates by magnetron sputtering were fully relaxed with high crystalline quality due to high oxygen partial pressure deposition and post annealing at 950 °C. The lattice constants of the BSTO buffer layers could be controlled in the range of 0.3926–0.3973 nm by changing the Ba content (y = 0.2–0.7). These BSTO buffer layers allow coherent growth of SLCO thin films, and a clear dependence of the superconducting transition temperature on the lattice strain was observed. The fabrication of these BSTO/superconductor heterostructures may provide novel devices composed of functional perovskite thin films, in addition to a general approach for the precise control of lattice strain in functional perovskite thin films. - Highlights: • Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers were developed for the strain tuning of perovskite-related oxides. • Strain effect in Sr{sub 1−x}La{sub x}CuO{sub 2} was investigated by using Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers. • Ba{sub y}Sr{sub 1−y}TiO{sub 3} buffer layers can be used to tune the strain in other perovskite oxides.

  9. Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing

    International Nuclear Information System (INIS)

    Van Delft, J A; Garcia-Alonso, D; Kessels, W M M

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells; hence, ALD for photovoltaics (PV) has attracted great interest in academic and industrial research in recent years. In this review, the recent progress of ALD layers applied to various solar cell concepts and their future prospects are discussed. Crystalline silicon (c-Si), copper indium gallium selenide (CIGS) and dye-sensitized solar cells (DSSCs) benefit from the application of ALD surface passivation layers, buffer layers and barrier layers, respectively. ALD films are also excellent moisture permeation barriers that have been successfully used to encapsulate flexible CIGS and organic photovoltaic (OPV) cells. Furthermore, some emerging applications of the ALD method in solar cell research are reviewed. The potential of ALD for solar cells manufacturing is discussed, and the current status of high-throughput ALD equipment development is presented. ALD is on the verge of being introduced in the PV industry and it is expected that it will be part of the standard solar cell manufacturing equipment in the near future. (paper)

  10. Electroless atomic layer deposition

    Science.gov (United States)

    Robinson, David Bruce; Cappillino, Patrick J.; Sheridan, Leah B.; Stickney, John L.; Benson, David M.

    2017-10-31

    A method of electroless atomic layer deposition is described. The method electrolessly generates a layer of sacrificial material on a surface of a first material. The method adds doses of a solution of a second material to the substrate. The method performs a galvanic exchange reaction to oxidize away the layer of the sacrificial material and deposit a layer of the second material on the surface of the first material. The method can be repeated for a plurality of iterations in order to deposit a desired thickness of the second material on the surface of the first material.

  11. Hybrid inorganic–organic superlattice structures with atomic layer deposition/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Yamauchi, Hisao; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi [Department of Chemistry, Aalto University, FI-00076 Aalto (Finland)

    2014-01-15

    A combination of the atomic layer deposition (ALD) and molecular layer deposition (MLD) techniques is successfully employed to fabricate thin films incorporating superlattice structures that consist of single layers of organic molecules between thicker layers of ZnO. Diethyl zinc and water are used as precursors for the deposition of ZnO by ALD, while three different organic precursors are investigated for the MLD part: hydroquinone, 4-aminophenol and 4,4′-oxydianiline. The successful superlattice formation with all the organic precursors is verified through x-ray reflectivity studies. The effects of the interspersed organic layers/superlattice structure on the electrical and thermoelectric properties of ZnO are investigated through resistivity and Seebeck coefficient measurements at room temperature. The results suggest an increase in carrier concentration for small concentrations of organic layers, while higher concentrations seem to lead to rather large reductions in carrier concentration.

  12. Effect of film thickness, type of buffer layer, and substrate temperature on the morphology of dicyanovinyl-substituted sexithiophene films

    Energy Technology Data Exchange (ETDEWEB)

    Levin, Alexandr A., E-mail: alexander.levin@iapp.de [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Levichkova, Marieta [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Heliatek GmbH, 01187 Dresden (Germany); Hildebrandt, Dirk; Klisch, Marina; Weiss, Andre [Heliatek GmbH, 01187 Dresden (Germany); Wynands, David; Elschner, Chris [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany); Pfeiffer, Martin [Heliatek GmbH, 01187 Dresden (Germany); Leo, Karl; Riede, Moritz [Institut fuer Angewandte Photophysik, Technische Universitaet Dresden, 01062 Dresden (Germany)

    2012-01-31

    The influence of film thickness, type of buffer underlayer, and deposition substrate temperature on the crystal structure, microstructure, and morphology of the films of dicyanovinyl-substituted sexithiophene with four butyl-chains (DCV6T-Bu{sub 4}) is investigated by means of X-ray diffraction (XRD) and X-ray reflectivity methods. A neat Si wafer or a Si wafer covered by a 15 nm buffer underlayer of fullerene C{sub 60} or 9,9-Bis[4-(N,N-bis-biphenyl-4-yl-amino)phenyl]-9H-fluorene (BPAPF) is used as a substrate. The crystalline nature and ordered molecular arrangement of the films are recorded down to 6 nm film thickness. By using substrates heated up to 90 Degree-Sign C during the film deposition, the size of the DCV6T-Bu{sub 4} crystallites in direction perpendicular to the film surface increases up to value of the film thickness. With increasing deposition substrate temperature or film thickness, the DCV6T-Bu{sub 4} film relaxes, resulting in reducing the interplane distances closer to the bulk values. For the films of the same thickness deposited at the same substrate temperature, the DCV6T-Bu{sub 4} film relaxes for growth on Si to BPAPF to C{sub 60}. Thicker films grown at heated substrates are characterized by smaller density, higher roughness and crystallinity and better molecular ordering. A thin (up to about 6 nm-thick) intermediate layer with linear density-gradient is formed at the C{sub 60}/DCV6T-Bu{sub 4} interface for the films with buffer C{sub 60} layer. The XRD pattern of the DCV6T-Bu{sub 4} powder is indexed using triclinic unit cell parameters.

  13. Numerical simulation of solar cells besed CZTS buffer layer (ZnO 1 ...

    African Journals Online (AJOL)

    Cds buffer layer has many advantages such as large bandgap, and the carrier density. Otherwise, the presence of cadmium is an inconvenient. Research work, are shifted on the possibility of replacing CdS by a buffer layer devoid of cadmium. This manuscript presents the numerical study, using SCAPS-1D program, the ...

  14. Compact hematite buffer layer as a promoter of nanorod photoanode performances

    Science.gov (United States)

    Milan, R.; Cattarin, S.; Comisso, N.; Baratto, C.; Kaunisto, K.; Tkachenko, N. V.; Concina, I.

    2016-10-01

    The effect of a thin α-Fe2O3 compact buffer layer (BL) on the photoelectrochemical performances of a bare α-Fe2O3 nanorods photoanode is investigated. The BL is prepared through a simple spray deposition onto a fluorine-doped tin oxide (FTO) conducting glass substrate before the growth of a α-Fe2O3 nanorods via a hydrothermal process. Insertion of the hematite BL between the FTO and the nanorods markedly enhances the generated photocurrent, by limiting undesired losses of photogenerated charges at the FTO||electrolyte interface. The proposed approach warrants a marked improvement of material performances, with no additional thermal treatment and no use/dispersion of rare or toxic species, in agreement with the principles of green chemistry.

  15. Improved (0 0 1)-texture of FePt-C for heat-assisted magnetic recording media by insertion of Cr buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Shiroyama, T.; Wang, J.; Felicia, A.; Takahashi, Y.K.; Hono, K., E-mail: kazuhiro.hono@nims.go.jp

    2017-06-15

    Highlights: • Improvement of (0 0 1)-texture of prototype FePt-C granular films for heat heat assisted magnetic recording media. • Insertion of Cr buffer layer improves the crystallographic textures of the MgO underlayers, thereby reduces in-plane component in the FePt-C recording layer. • The growth in the grain size of the MgO underlayer as well as the (0 0 1)-texture of the MgO underlayer are the key factor in reducing the in-plane component in the FePt-C recording layer. - Abstract: FePt-C granular films deposited on MgO underlayers are the prototype media for heat-assisted magnetic recording. To reduce the in-plane magnetic component in the FePt-C media, we investigated the effect of Cr buffer layers on the crystallographic textures of the MgO underlayers and the resultant magnetic properties of the FePt-C layers. By growing a MgO underlayer on a Cr buffer layer, the (0 0 1) texture of the MgO underlayer is improved, on which the in-plane component of a FePt-C film is substantially reduced. We conclude that the growth in the grain size of the MgO underlayer is the key factor in reducing the in-plane component in the FePt-C recording layer.

  16. Study of the influence of Nb buffer layer on the exchange coupling induced at the Co/IrMn interface

    Energy Technology Data Exchange (ETDEWEB)

    Merino, I.L.C., E-mail: isabel5cas@gmail.com [Centro Brasileiro de Pesquisas Físicas, Rio de Janeiro 22290-180 (Brazil); Figueiredo, L.C. [Instituto de Física, Universidade de Brasília, Brasília 70910-900 (Brazil); Passamani, E.C.; Nascimento, V.P. [Departamento de Física, Universidade Federal do Espírito Santo, Vitória 29075-910 (Brazil); Pelegrini, F. [Instituto de Física, Universidade Federal de Goiás, Goiânia 74560-900 (Brazil); Baggio Saitovitch, E. [Centro Brasileiro de Pesquisas Físicas, Rio de Janeiro 22290-180 (Brazil)

    2017-06-15

    Highlights: • Nb buffer layer favors smooth/rough Co/IrMn interfaces, depending on its thickness. • Double and single-like hysteresis loop features depend on the Nb thickness. • Co uniaxial anisotropy induced exchange-bias in as-deposited sample. • Uniaxial and exchange-bias anisotropy directions depend on the Nb thickness. • Thicker Nb favors non-collinear anisotropies, while thinner Nb favors collinear. - Abstract: Hybrid Nb(t{sub Nb})/Co(10 nm)/IrMn(15 nm)/Nb(10 nm) heterostructured materials were prepared by DC Magnetron Sputtering and systematically studied by X-ray, magnetization and ferromagnetic resonance techniques. For thinner Nb buffer layer (≤10 nm), it was found that there is an inter-diffusion at Co/IrMn interface, which favors double-like hysteresis loop. For thicker Nb layers, however, a gradual transition from double to single-like hysteresis loops is observed and it is associated with the reduction of the Nb roughness, which also enhances the exchange coupling at the Co/IrMn interface. Nb grown on IrMn layer induces the formation of an NbIrMn alloy layer, while no evidence of inter-diffusion at the Co/Nb interface is observed. For rougher Nb buffer layers (t{sub Nb} < 50 nm), exchange bias and Co uniaxial anisotropies are pointing at the same direction (β∼zero), but for smoother Nb buffer layer (t{sub Nb} = 50 nm) a β angle of 150{sup o} is found. Exchange bias effect was measured in as-prepared and in field-cooled samples; being its presence, in as-prepared sample, attributed to the unidirectional anisotropy of the Co layer (its intensity is modified in case of sample with a CoIrMn alloy layer). Considering that the Si/Nb/Co/IrMn interfaces have different β values (t{sub Nb} = 35 and 50 nm), a study of the influence of magnetization direction, governed by exchange-biased layers, on superconducting properties of Nb films can be successfully done in this hybrid system.

  17. Crystallinity Improvement of Zn O Thin Film on Different Buffer Layers Grown by MBE

    International Nuclear Information System (INIS)

    Shao-Ying, T.; Che-Hao, L.; Wen-Ming, Ch.; Yang, C.C.; Po-Ju, Ch.; Hsiang-Chen, W.; Ya-Ping, H.

    2012-01-01

    The material and optical properties of Zn O thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the Zn O layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality Zn O thin film growth. A Ga N buffer layer slightly increased the quality of the Zn O thin film, but the threading dislocations still stretched along the c-axis of the Ga N layer. The use of Mg O as the buffer layer decreased the surface roughness of the Zn O thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality Zn O thin film growth.

  18. Crystallinity Improvement of ZnO Thin Film on Different Buffer Layers Grown by MBE

    Directory of Open Access Journals (Sweden)

    Shao-Ying Ting

    2012-01-01

    Full Text Available The material and optical properties of ZnO thin film samples grown on different buffer layers on sapphire substrates through a two-step temperature variation growth by molecular beam epitaxy were investigated. The thin buffer layer between the ZnO layer and the sapphire substrate decreased the lattice mismatch to achieve higher quality ZnO thin film growth. A GaN buffer layer slightly increased the quality of the ZnO thin film, but the threading dislocations still stretched along the c-axis of the GaN layer. The use of MgO as the buffer layer decreased the surface roughness of the ZnO thin film by 58.8% due to the suppression of surface cracks through strain transfer of the sample. From deep level emission and rocking curve measurements it was found that the threading dislocations play a more important role than oxygen vacancies for high-quality ZnO thin film growth.

  19. OPTIMISATION OF BUFFER SIZE FOR ENHANCING QOS OF VIDEO TRAFFIC USING CROSS LAYERED HYBRID TRANSPORT LAYER PROTOCOL APPROACH

    Directory of Open Access Journals (Sweden)

    S. Matilda

    2011-03-01

    Full Text Available Video streaming is gaining importance, with the wide popularity of multimedia rich applications in the Internet. Video streams are delay sensitive and require seamless flow for continuous visualization. Properly designed buffers offer a solution to queuing delay. The diagonally opposite QoS metrics associated with video traffic poses an optimization problem, in the design of buffers. This paper is a continuation of our previous work [1] and deals with the design of buffers. It aims at finding the optimum buffer size for enhancing QoS offered to video traffic. Network-centric QoS provisioning approach, along with hybrid transport layer protocol approach is adopted, to arrive at an optimum size which is independent of RTT. In this combinational approach, buffers of routers and end devices are designed to satisfy the various QoS parameters at the transport layer. OPNET Modeler is used to simulate environments for testing the design. Based on the results of simulation it is evident that the hybrid transport layer protocol approach is best suited for transmitting video traffic as it supports the economical design.

  20. Study of shallow trench isolation technology with a poly-Si sidewall buffer layer

    International Nuclear Information System (INIS)

    Juang, M H; Chen, C L; Jang, S L

    2008-01-01

    Shallow trench isolation (STI) technology with a poly-Si buffer layer at the trench sidewall has been studied. At the densification temperature of 950 °C, for the samples without using a poly-Si buffer layer, the resulting junction shows a leakage of about 700 nA cm −2 for a diode area of 100 × 100 µm 2 , primarily due to large peripheral junction leakage. The large leakage is ascribed to the defect generation caused by a thermally induced stress near the trench sidewall. The usage of a poly-Si buffer layer in the trench sidewall is found to significantly improve the junction characteristics. As a result, when a 40 nm poly-Si buffer layer is sandwiched between the Si substrate and the trench-fill silicon oxide, the resultant junctions show a leakage of only about 8 nA cm −2 . This result may reflect the considerably reduced thermally induced stress near the trench sidewall. Furthermore, at the densification temperature of 1100 °C, the usage of a poly-Si buffer layer can help to achieve excellent junctions with a leakage smaller than 5 nA cm −2 for a diode area of 100 × 100 µm 2

  1. Analysis of influence of buffer layers on microwave propagation through high-temperature superconducting thin films

    International Nuclear Information System (INIS)

    Ceremuga, J.; Barton, M.; Miranda, F.

    1994-01-01

    Methods of analysis of microwave propagation through superconducting thin films with buffer layers on dielectric substrates have been discussed. Expressions describing the transmission coefficient S 21 through the structure and the complex conductivity sigma of a superconductor in an analytical form have been derived. The derived equations are valid for microwave propagation in waveguides as well as in free space with relevant definition of impedances. Using the obtained solutions, the influences of buffer layers' parameters (thickness, relative permittivity and loss tangent) on the transmission coefficient has been investigated using MATLAB. Simulations have been performed for 10 GHz transmission through YBa 2 Cu 3 O 7 films on sapphire with SrTiO 3 and CeO 2 buffer layers and on silicon with CaF 2 and YSZ buffer layers. To illustrate the simulations, measurements of the transmission through YBCO film on sapphire with SrTiO 3 buffer layer have been performed. It has been shown that even lossy buffer layers have very little impact (smaller than 1% in magnitude and 0.3% in phase) on the transmission coefficient through superconducting thin films, providing their thickness is below 10 mu m. (author)

  2. Cube Texture Formation of Cu-33at.%Ni Alloy Substrates and CeO2 Buffer Layer for YBCO Coated Conductors

    DEFF Research Database (Denmark)

    Tian, Hui; Li, Suo Hong; Ru, Liang Ya

    2014-01-01

    Cube texture formation of Cu-33 at.%Ni alloy substartes and CeO2 buffer layer prepared by chemical solution deposition on the textured substrate were investigated by electron back scattered diffraction (EBSD) and XRD technics systematically. The results shown that a strong cube textured Cu-33at...

  3. Effects of Anodic Buffer Layer in Top-Illuminated Organic Solar Cell with Silver Electrodes

    Directory of Open Access Journals (Sweden)

    Tien-Lung Chiu

    2013-01-01

    Full Text Available An efficient ITO-free top-illuminated organic photovoltaic (TOPV based on small molecular planar heterojunction was achieved by spinning a buffer layer of poly(3,4-ethylenedioxythiophene:poly(styrenesulfonate (PEDOT:PSS, on the Ag-AgOx anode. The PEDOT:PSS thin film separates the active layer far from the Ag anode to prevent metal quenching and redistributes the strong internal optical field toward dissociated interface. The thickness and morphology of this anodic buffer layer are the key factors in determining device performances. The uniform buffer layer contributes a large short-circuit current and open-circuit voltage, benefiting the final power conversion efficiency (PCE. The TOPV device with an optimal PEDOT:PSS thickness of about 30 nm on Ag-AgOx anode exhibits the maximum PCE of 1.49%. It appreciates a 1.37-fold enhancement in PCE over that of TOPV device without buffer layer.

  4. Buffer layer between a planar optical concentrator and a solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Solano, Manuel E. [Departamento de Ingeniería Matemática and CI" 2 MA, Universidad de Concepción, Concepción, Casilla 160-C (Chile); Barber, Greg D. [Penn State Institute of Energy and the Environment, Pennsylvania State University, University Park, PA 16802 (United States); Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States); Lakhtakia, Akhlesh [Department of Engineering Science and Mechanics, Pennsylvania State University, University Park, PA 16802 (United States); Faryad, Muhammad [Department of Physics, Lahore University of Management Sciences, Lahore 54792 (Pakistan); Monk, Peter B. [Department of Mathematical Sciences, University of Delaware, Newark, DE 19716 (United States); Mallouk, Thomas E. [Department of Chemistry, Pennsylvania State University, University Park, PA 16802 (United States)

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structure increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.

  5. Effects of CdS Buffer Layers on Photoluminescence Properties of Cu2ZnSnS4 Solar Cells

    Directory of Open Access Journals (Sweden)

    A. Le Donne

    2015-01-01

    Full Text Available Cu2ZnSnS4 (CZTS absorber layers grown by sputtering were investigated by photoluminescence before and after the chemical bath deposition of CdS in order to evaluate the possible passivation of point defects by Cd atoms at the absorber/buffer layer interface. According to the literature, a broad emission around 1.21 eV was observed at low temperature under above bandgap excitation of the as-grown CZTS samples. Broad bands at 1.075 eV and 0.85 eV were detected for the first time under below bandgap excitation of the as-grown CZTS samples at low temperature, which were explained in terms of radiative transitions involving point defect-related levels determined in the literature by first-principles calculations. The emissions observed in the as-grown samples were monitored by both above and below bandgap excitations also in standard CZTS solar cells produced on the same layers. The obtained results suggest that, as in the case of Cu(In, GaSe2, Cd atoms passivate point defects at the absorber/buffer layer interface also in CZTS.

  6. Buffer optimization for crack-free GaN epitaxial layers grown on Si(1 1 1) substrate by MOCVD

    International Nuclear Information System (INIS)

    Arslan, Engin; Ozbay, Ekmel; Ozturk, Mustafa K; Ozcelik, Suleyman; Teke, Ali

    2008-01-01

    We report the growth of GaN films on the Si(1 1 1) substrate by metalorganic chemical vapour phase deposition (MOCVD). Different buffer layers were used to investigate their effects on the structural and optical properties of GaN layers. A series of GaN layers were grown on Si(1 1 1) with different buffer layers and buffer thicknesses and were characterized by Nomarski microscopy, atomic force microscopy, high-resolution x-ray diffraction (XRD) and photoluminescence (PL) measurements. We first discuss the optimization of the LT-AlN/HT-AlN/Si(1 1 1) templates and then the optimization of the graded AlGaN intermediate layers. In order to prevent stress relaxation, step-graded AlGaN layers were introduced along with a crack-free GaN layer of thickness exceeding 2.6 μm. The XRD and PL measurements results confirmed that a wurtzite GaN was successfully grown. The resulting GaN film surfaces were flat, mirror-like and crack-free. The mosaic structure in the GaN layers was investigated. With a combination of Williamson-Hall measurements and the fitting of twist angles, it was found that the buffer thickness determines the lateral coherence length, vertical coherence length, as well as the tilt and twist of the mosaic blocks in GaN films. The PL spectra at 8 K show that a strong band edge photoluminescence of GaN on Si (1 1 1) emits light at an energy of 3.449 eV with a full width at half maximum (FWHM) of approximately 16 meV. At room temperature, the peak position and FWHM of this emission become 3.390 eV and 58 meV, respectively. The origin of this peak was attributed to the neutral donor bound exciton. It was found that the optimized total thickness of the AlN and graded AlGaN layers played a very important role in the improvement of quality and in turn reduced the cracks during the growth of GaN/Si(1 1 1) epitaxial layers

  7. Double-layered buffer to enhance the thermal performance in a high-level radioactive waste disposal system

    International Nuclear Information System (INIS)

    Choi, Heui-Joo; Choi, Jongwon

    2008-01-01

    A thermal performance is one of the most important factors in the design of a geological disposal system for high-level radioactive wastes. According to the conceptual design of the Korean Reference disposal System, the maximum temperature of its buffer with a domestic Ca-bentonite is close to the thermal criterion, 100 deg. C. In order to improve the thermal conductivity of its buffer, several kinds of additives are compared. Among the additives, graphite shows the best result in that the thermal conductivity of the bentonite block is more than 2.0 W/m deg. C. We introduced the concept of a double-layered buffer instead of a traditional bentonite block in order to use the applied additive more effectively. The thermal analysis, based upon the three-dimensional finite element method, shows that a double-layered buffer could reduce the maximum temperature on a canister's surface by 7 deg. C under identical conditions when compared with a single-layered buffer. An analytical solution was derived to efficiently analyze the effects of a double-layered buffer. The illustrative cases show that the temperature differences due to a double-layered buffer depend on the thickness of the buffer

  8. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  9. A comparative study of the annealing behavior of Cu(In,Ga)(S,Se)2 based solar cells with an indium sulfide buffer layer, partly submitted to wet chemical treatments

    International Nuclear Information System (INIS)

    Hönes, C.; Hackenberg, J.; Zweigart, S.; Wachau, A.; Hergert, F.; Siebentritt, S.

    2015-01-01

    Indium sulfide thin films deposited via thermal evaporation from compound source material have been successfully utilized as a cadmium free buffer layer for Cu(In,Ga)Se 2 based solar cells. However, high efficiencies are only reached after an additional annealing step. In this work, the annealing behavior of Cu(In,Ga)(S,Se) 2 based indium sulfide buffered solar cells is compared to the annealing behavior of similar cells, which were submitted to wet chemical treatments partly containing cadmium ions. Upon annealing a significant improvement of the initial solar cell characteristics is observed for the untreated cell and is related to the increase of activation energy for the carrier recombination process and a decrease of the ideality factor within the one diode model. It is shown here that this improvement can also be achieved by wet treatments of the absorber prior to buffer layer deposition. Upon annealing these treated cells still gain in collection length but lose open circuit voltage, which is explained here within a model including a highly p-doped absorber surface layer and supported by simulations showing that a decrease in doping density of such a surface layer would lead to the observed effects

  10. Effect of plasma molybdenized buffer layer on adhesive properties of TiN film coated on Ti6Al4V alloy

    Energy Technology Data Exchange (ETDEWEB)

    Qin, Lin, E-mail: qinlin@tyut.edu.cn; Yi, Hong; Kong, Fanyou; Ma, Hua; Guo, Lili; Tian, Linhai; Tang, Bin

    2017-05-01

    Highlights: • A molybdenized layer was prepared as a buffer layer under TiN film on Ti6Al4V. • The molybdenized layer can enhance adhesion strength of PVD coatings effectively. • The duplex treated samples increase elastic energy ratio in the impact tests. • The enhancement attributes to the hardness improvement and inverted-S shape elastic modulus profile of the modified layer. - Abstract: Effect of molybdenized buffer layer on adhesion strength of TiN film on Ti6Al4V alloy was investigated. The buffer layer composed of a dense molybdenum deposition layer, a rapid drop zone and a slow fall zone was prepared using double glow plasma surface alloying technique. Scratch tests and low energy repeated impact tests were adopted to comparatively evaluate the duplex treated layers and the single TiN samples. The results show that the critical load was increased from 62 N for the single TiN film to over 100 N for the duplex treated layer. The volume of impact pit, formed in impact tests, of the single TiN samples is 9.15 × 10{sup 6} μm{sup 3}, and about 1.5 times than that of the duplex treated samples. The Leeb hardness values reveal that about 70% impact energy was transferred to the single TiN samples to generate permanent deformation, while that was only about 47% for the duplex treated samples. The mechanism of improving adhesion strength is attributed to synergistic effect due to an inverted-S shape elastic modulus distribution produced by the molybdenized layer.

  11. A buffer-layer/a-SiO{sub x}:H(p) window-layer optimization for thin film amorphous silicon based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Park, Jinjoo; Dao, Vinh Ai [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Shin, Chonghoon [Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Park, Hyeongsik [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Minbum; Jung, Junhee [Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Kim, Doyoung [School of Electricity and Electronics, Ulsan College West Campus, Ulsan 680-749 (Korea, Republic of); Yi, Junsin, E-mail: yi@yurim.skku.ac.kr [College of Information and Communication Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Department of Energy Science, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2013-11-01

    Amorphous silicon based (a-Si:H-based) solar cells with a buffer-layer/boron doped hydrogenated amorphous silicon oxide (a-SiO{sub x}:H(p)) window-layer were fabricated and investigated. In the first part, in order to reduce the Schottky barrier height at the fluorine doped tin oxide (FTO)/a-SiO{sub x}:H(p) window-layer heterointerface, we have used buffer-layer/a-SiO{sub x}:H(p) for the window-layer, in which boron doped hydrogenated amorphous silicon (a-Si:H(p)) or boron doped microcrystalline silicon (μc-Si:H(p)) is introduced as a buffer layer between the a-SiO{sub x}:H(p) and FTO of the a-Si:H-based solar cells. The a-Si:H-based solar cell using a μc-Si:H(p) buffer-layer shows the highest efficiency compared to the optimized bufferless, and a-Si:H(p) buffer-layer in the a-Si:H-based solar cells. This highest performance was attributed not only to the lower absorption of the μc-Si:H(p) buffer-layer but also to the lower Schottky barrier height at the FTO/window-layer interface. Then, we present the dependence of the built-in potential (V{sub bi}) and blue response of the devices on the inversion of activation energy (ξ) of the a-SiO{sub x}:H(p), in the μc-Si:H(p)/a-SiO{sub x}:H(p) window-layer. The enhancement of both V{sub bi} and blue response is observed, by increasing the value of ξ. The improvement of V{sub bi} and blue response can be ascribed to the enlargement of the optical gap of a-SiO{sub x}:H(p) films in the μc-Si:H(p)/a-SiO{sub x}:H(p) window-layer. Finally, the conversion efficiency was increased by 22.0%, by employing μc-Si:H(p) as a buffer-layer and raising the ξ of the a-SiO{sub x}:H(p), compared to the optimized bufferless case, with a 10 nm-thick a-SiO{sub x}:H(p) window-layer. - Highlights: • Low Schottky barrier height benefits fill factor, and open-circuit voltage (V{sub oc}). • High band gap is beneficial for short-circuit current density (J{sub sc}). • Boron doped microcrystalline silicon is a suitable buffer-layer for

  12. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    Science.gov (United States)

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  13. Efficient small-molecule organic solar cells incorporating a doped buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Chou, Dei-Wei [Department of aviation and Communication Electronics, Air Force Institute of Technology, Kaohsiung 820, Taiwan (China); Chen, Kan-Lin [Department of Electronic Engineering, Fortune Institute of Technology, Kaohsiung 831, Taiwan (China); Huang, Chien-Jung, E-mail: chien@nuk.edu.tw [Department of Applied Physics, National University of Kaohsiung, Nanzih, Kaohsiung 811, Taiwan (China); Tsao, Yao-Jen [Department of Applied Physics, National University of Kaohsiung, Nanzih, Kaohsiung 811, Taiwan (China); Chen, Wen-Ray; Meen, Teen-Hang [Department of Electronic Engineering, National Formosa University, Hu-Wei, Yunlin 632, Taiwan (China)

    2013-06-01

    Small-molecule organic solar cells (OSCs) with an optimized structure of indium tin oxide/poly (3,4-ethylenedioxythioxythiophene):poly(styrenesulfonate)/copper phthalocyanine (CuPc) (10 nm)/CuPc: fullerene (C{sub 60}) mixed (20 nm)/C{sub 60} (20 nm)/4,7-diphenyl-1,10-phenanthroline (BPhen) (5 nm)/Ag were fabricated. In this study, the cesium carbonate-doped BPhen (Cs{sub 2}CO{sub 3}:BPhen) was adopted as the buffer layer to enhance the efficiency of the OSCs. The photovoltaic parameters of the OSCs, such as the short-circuit current density and fill factor, depend on the doping concentration of Cs{sub 2}CO{sub 3} in the BPhen layer. The cell with a Cs{sub 2}CO{sub 3}:BPhen (1:4) cathode buffer layer exhibits a power conversion efficiency (PCE) of 3.51%, compared to 3.37% for the device with the pristine BPhen layer. The enhancement of PCE was attributed to the energy-level alignment between the C{sub 60} layer and the Cs{sub 2}CO{sub 3}:BPhen layer. In addition, the characterization measured using atomic force microscopy shows that the Cs{sub 2}CO{sub 3}:BPhen layers have smoother surfaces. - Highlight: • Cs2CO3-doped 4,7-diphenyl-1,10-phenanthroline (BPhen) cathode buffer layer. • Cs2CO3:BPhen layer with different ratios affects organic solar cells performance. • Cell with 1:4 (Cs2CO3:BPhen) ratio shows 3.51% power conversion efficiency.

  14. Preparation of a Novel Ce0.9La0.1O2/Gd2Zr2O7 Buffer Layer Stack on NiW Alloy Substrates by the MOD Route

    DEFF Research Database (Denmark)

    Yue, Zhao; Grivel, Jean-Claude; Abrahamsen, Asger Bech

    2011-01-01

    An optimized buffer layer architecture prepared by a metal organic deposition method on biaxially textured metallic substrate is proposed and developed successfully. The major achievement of this work is to choose a ${\\rm Ce}_{0.9}{\\rm La}_{0.1}{\\rm O}_{2}$ layer as cap layer that possesses an ex...

  15. Integration of epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films on GaN/AlGaN/GaN/Si(111) substrates using rutile TiO{sub 2} buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Elibol, K. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Nguyen, M.D. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522NB Enschede (Netherlands); International Training Institute for Materials Science, Hanoi University of Science and Technology, No.1 Dai Co Viet road, Hanoi 10000 (Viet Nam); Hueting, R.J.E. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Gravesteijn, D.J. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); NXP Semiconductors Research, High Tech Campus 46, 5656AE Eindhoven (Netherlands); Koster, G., E-mail: g.koster@utwente.nl [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands); Rijnders, G. [MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500AE Enschede (Netherlands)

    2015-09-30

    The integration of ferroelectric layers on gallium nitride (GaN) offers a great potential for various applications. Lead zirconate titanate (PZT), in particular Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3}, is an interesting candidate. For that a suitable buffer layer should be grown on GaN in order to prevent the reaction between PZT and GaN, and to obtain PZT with a preferred orientation and phase. Here, we study pulsed laser deposited (100) rutile titanium oxide (R-TiO{sub 2}) as a potential buffer layer candidate for ferroelectric PZT. For this purpose, the growth, morphology and the surface chemical composition of R-TiO{sub 2} films were analyzed by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and X-ray photoelectron spectroscopy. We find optimally (100) oriented R-TiO{sub 2} growth on GaN (0002) using a 675 °C growth temperature and 2 Pa O{sub 2} deposition pressure as process conditions. More importantly, the R-TiO{sub 2} buffer layer grown on GaN/Si substrates prevents the unwanted formation of the PZT pyrochlore phase. Finally, the remnant polarization and coercive voltage of the PZT film on TiO{sub 2}/GaN/Si with an interdigitated-electrode structure were found to be 25.6 μC/cm{sup 2} and 8.1 V, respectively. - Highlights: • Epitaxial rutile TiO{sub 2} films were grown on GaN layer buffered Si substrate using pulsed laser deposition. • The rutile-TiO{sub 2} layer suppresses the formation of the pyrochlore phase in the epitaxial PZT film grown on GaN/Si. • An epitaxial PZT film on GaN/Si substrate with rutile TiO{sub 2} buffer layer exhibits good ferroelectric properties.

  16. Layer-based buffer aware rate adaptation design for SHVC video streaming

    Science.gov (United States)

    Gudumasu, Srinivas; Hamza, Ahmed; Asbun, Eduardo; He, Yong; Ye, Yan

    2016-09-01

    This paper proposes a layer based buffer aware rate adaptation design which is able to avoid abrupt video quality fluctuation, reduce re-buffering latency and improve bandwidth utilization when compared to a conventional simulcast based adaptive streaming system. The proposed adaptation design schedules DASH segment requests based on the estimated bandwidth, dependencies among video layers and layer buffer fullness. Scalable HEVC video coding is the latest state-of-art video coding technique that can alleviate various issues caused by simulcast based adaptive video streaming. With scalable coded video streams, the video is encoded once into a number of layers representing different qualities and/or resolutions: a base layer (BL) and one or more enhancement layers (EL), each incrementally enhancing the quality of the lower layers. Such layer based coding structure allows fine granularity rate adaptation for the video streaming applications. Two video streaming use cases are presented in this paper. The first use case is to stream HD SHVC video over a wireless network where available bandwidth varies, and the performance comparison between proposed layer-based streaming approach and conventional simulcast streaming approach is provided. The second use case is to stream 4K/UHD SHVC video over a hybrid access network that consists of a 5G millimeter wave high-speed wireless link and a conventional wired or WiFi network. The simulation results verify that the proposed layer based rate adaptation approach is able to utilize the bandwidth more efficiently. As a result, a more consistent viewing experience with higher quality video content and minimal video quality fluctuations can be presented to the user.

  17. The effect of the MgO buffer layer thickness on magnetic anisotropy in MgO/Fe/Cr/MgO buffer/MgO(001)

    Energy Technology Data Exchange (ETDEWEB)

    Kozioł-Rachwał, Anna, E-mail: a.koziolrachwal@aist.go.jp [National Institute of Advanced Industrial Science and Technology, Spintronics Research Center, Tsukuba, Ibaraki 305-8568 (Japan); AGH University of Science and Technology, Faculty of Physics and Applied Computer Science, al. Mickiewicza 30, 30-059 Kraków (Poland); Nozaki, Takayuki; Zayets, Vadym; Kubota, Hitoshi; Fukushima, Akio; Yuasa, Shinji [National Institute of Advanced Industrial Science and Technology, Spintronics Research Center, Tsukuba, Ibaraki 305-8568 (Japan); Suzuki, Yoshishige [National Institute of Advanced Industrial Science and Technology, Spintronics Research Center, Tsukuba, Ibaraki 305-8568 (Japan); Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan)

    2016-08-28

    The relationship between the magnetic properties and MgO buffer layer thickness d was studied in epitaxial MgO/Fe(t)/Cr/MgO(d) layers grown on MgO(001) substrate in which the Fe thickness t ranged from 0.4 nm to 1.1 nm. For 0.4 nm ≤ t ≤ 0.7 nm, a non-monotonic coercivity dependence on the MgO buffer thickness was shown by perpendicular magneto-optic Kerr effect magnetometry. For thicker Fe films, an increase in the buffer layer thickness resulted in a spin reorientation transition from perpendicular to the in-plane magnetization direction. Possible origins of these unusual behaviors were discussed in terms of the suppression of carbon contamination at the Fe surface and changes in the magnetoelastic anisotropy in the system. These results illustrate a method to control magnetic anisotropy in MgO/Fe/Cr/MgO(d) via an appropriate choice of MgO buffer layer thickness d.

  18. Fabrication of 5 cm long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer on textured Ni-5%W substrate for YBCO coated conductors via dip-coating PACSD method

    Energy Technology Data Exchange (ETDEWEB)

    Lei, M.; Wang, W.T.; Pu, M.H.; Yang, X.S.; He, L.J. [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China); Cheng, C.H. [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia); Zhao, Y., E-mail: yzhao@home.swjtu.edu.cn [Key Laboratory of Magnetic Levitation and Maglev Trains (Ministry of Education of China), Superconductivity R and D Center (SRDC), Mail Stop 165, Southwest Jiaotong University, Chengdu, Sichuan 610031 (China)] [Science and Engineering, University of New South Wales, Sydney 2052, New South Wales (Australia)

    2011-11-15

    Epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} single buffer layer for YBCO coated conductors was deposited via fluorine-free dip-coating CSD. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by carefully controlling the processing. YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via CSD approach. Five centimeters long epitaxial Sm{sub 0.2}Ce{sub 0.8}O{sub 1.9-x} (SCO) single buffer layer for YBCO coated conductors was deposited via dip-coating polymer-assisted chemical solution deposition (PACSD) approach on bi-axially textured Ni-5%W (2 0 0) alloy substrate. The film formation and texture evolution were investigated using X-ray diffraction and scanning electron microscopy. Flat, dense and crack-free SCO films with sharp (2 0 0) c-axis texture were obtained by way of carefully controlling the concentration of precursor solution, withdrawing speed, annealing temperature and dwelling time. On consideration of both microstructure and texture, epitaxial SCO single buffer layers were fabricated using precursor solution of 0.3 M cationic concentration, the withdrawing speed of 10 mm/min and heat treatment at 1100 deg. C in Ar-5%H{sub 2} mixture gas for 0.5 h. Epitaxial YBCO thin films with a homogeneous surface microstructure were deposited on the SCO-buffered NiW substrate via dip-coating PACSD approach. The PACSD approach was a promising way to fabricate long and low-cost YBCO coated conductors.

  19. Indium sulfide buffer layers deposited by dry and wet methods

    International Nuclear Information System (INIS)

    Asenjo, B.; Sanz, C.; Guillen, C.; Chaparro, A.M.; Gutierrez, M.T.; Herrero, J.

    2007-01-01

    Indium sulfide (In 2 S 3 ) thin films have been deposited on amorphous glass, glass coated by tin oxide (TCO) and crystalline silicon substrates by two different methods: modulated flux deposition (MFD) and chemical bath deposition (CBD). Composition, morphology and optical characterization have been carried out with Scanning Electron Microscopy (SEM), IR-visible-UV Spectrophotometry, X-ray diffraction (XRD) and Fourier transform infrared (FTIR) spectrometer. Different properties of the films have been obtained depending on the preparation techniques. With MFD, In 2 S 3 films present more compact and homogeneous surface than with CBD. Films deposited by CBD present also indium oxide in their composition and higher absorption edge values when deposited on glass

  20. Growth, microstructure, and hard magnetic properties of Nd-Fe-B layers; Wachstum, Mikrostruktur und hartmagnetische Eigenschaften von Nd-Fe-B-Schichten

    Energy Technology Data Exchange (ETDEWEB)

    Hannemann, U.

    2004-07-01

    In this thesis with pulsed laser deposition Nd-Fe-B layers were deposited. The Nd-Fe-B layers were deposited both on chromium and on tantalum buffers. The layers, which were deposed on tantalum buffers, showed a strong dependence of the nicrostructure and the magnetic properties on the deposition temperature. On layers which were deposited at deposition temperatures around 630 C on the tantalum buffer, the epitactical growth of Nd{sub 2}Fe{sub 14}B could be observed. Summarizingly these layers can be described as micrometer-large and parallely oriented single crystals.

  1. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  2. Operation voltage behavior of organic light emitting diodes with polymeric buffer layers doped by weak electron acceptor

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Hyeon Soo; Cho, Sang Hee [Department of Information Display and Advanced Display Research Center, Kyung Hee University, Seoul 130-701 (Korea, Republic of); Seo, Jaewon; Park, Yongsup [Department of Physics, Kyung Hee University, Seoul 130-701 (Korea, Republic of); Suh, Min Chul, E-mail: mcsuh@khu.ac.kr [Department of Information Display and Advanced Display Research Center, Kyung Hee University, Seoul 130-701 (Korea, Republic of)

    2013-11-01

    We present polymeric buffer materials based on poly[2,7-(9,9-dioctyl-fluorene)-co-(1,4-phenylene -((4-sec-butylphenyl)imino)-1,4-phenylene)] (TFB) for highly efficient solution processed organic light emitting diodes (OLEDs). Doped TFB with 9,10-dicyanoanthracene, a weak electron acceptor results in significant improvement of current flow and driving voltage. Maximum current- and power-efficiency value of 12.6 cd/A and 18.1 lm/W are demonstrated from phosphorescent red OLEDs with this doped polymeric anode buffer system. - Highlights: • Polymeric buffer materials for organic light emitting diodes (OLEDs). • Method to control hole conductivity of polymeric buffer layer in OLED device. • Enhanced current density of buffer layers upon 9,10-dicyanoanthracene (DCA) doping. • Comparison of OLED devices having polymeric buffer layer with or without DCA. • Effect on operating voltage by doping DCA in the buffer layer.

  3. Fabrication of Ni-5 at. %W Long Tapes with CeO2 Buffer Layer by Reel-to-Reel Method

    DEFF Research Database (Denmark)

    Ma, Lin; Tian, Hui; Yue, Zhao

    2015-01-01

    A 10-m-long homemade textured Ni-5at.%W (Ni5W) long tape with a CeO2 buffer layer has been prepared successfully by means of rolling-assisted biaxially textured substrate (RABiTS) route followed by a chemical solution deposition method in a reel-to-reel manner. Globally, the Ni5W substrate and CeO2...

  4. Effect of Initial Surface Quality on Final Roughness and Texture of Annealed Ni-5at.%W Tapes Coated with a Gd2Zr2O7 Buffer Layer

    DEFF Research Database (Denmark)

    Wulff, Anders Christian; Yue, Zhao; Mishin, Oleg

    2012-01-01

    Surface roughness of Ni-5at.%W tapes in coldrolled and annealed conditions after subsequent deposition of a Gd2Zr2O7 buffer layer has been studied as a function of the polishing grade, taking grain boundary grooving into account. It is found that annealing decreases the initial mean surface...... roughness achieved by mechanical polishing of the cold-rolled material, except after very fine polishing. Furthermore, compared to the surface of the tape annealed after fine polishing, the mean roughness slightly increases after the deposition of the buffer layer. Grain boundary grooving was found...... to impose a lower limit for the mean surface roughness. In the annealed tapes, the fraction of orientations within 5◦ from the ideal cube orientation was observed to be very sensitive to the surface roughness before annealing....

  5. Numerical analysis of the influence of buffer layer thickness on the residual stresses in YBCO/La2Zr2O7/Ni superconducting materials

    International Nuclear Information System (INIS)

    Celik, Erdal; Sayman, Onur; Karakuzu, Ramazan; Ozman, Yilmaz

    2007-01-01

    The present paper addresses a numerical investigation of the influence of buffer layer thickness on the residual stress in YBCO/La 2 Zr 2 O 7 /Ni architectured materials under cryogenic conditions by using classical lamination theory (CLT) and finite element method (FEM) for coated conductor applications. YBCO/La 2 Zr 2 O 7 multilayer films were fabricated on Ni tape substrate using reel-to-reel sol-gel and pulse laser deposition (PLD) systems. The microstructural evolution of high temperature superconducting YBCO film and buffer layers with La 2 Zr 2 O 7 configuration grown on textured Ni tape substrates was investigated by using a scanning electron microscope (SEM). Thermal stress analysis of YBCO/La 2 Zr 2 O 7 /Ni multilayer sample was performed by using CLT in the temperature range of 298-175 K in liquid helium media. The YBCO/La 2 Zr 2 O 7 /Ni sample strip was solved by using FEM for linear or nonlinear cases in the temperature range of 298-3 K in liquid helium media. SEM observations revealed that crack-free, pinhole-free, continuous superconducting film and buffer layer were obtained by sol-gel and PLD systems. In addition to microstructural observations, it was found that the largest compressive stresses and failure occur in La 2 Zr 2 O 7 buffer layer due to its smallest thermal expansion coefficient. The thickness of La 2 Zr 2 O 7 buffer layer affects the failure. The stress component of σ x is the smallest in Ni tape substrate due to its largest thickness

  6. Effects of buffer layer temperature on the magnetic properties of NdFeB thin film magnets

    International Nuclear Information System (INIS)

    Kim, Y.B.; Cho, S.H.; Kim, H.T.; Ryu, K.S.; Lee, S.H.; Lee, K.H.; Kapustin, G.A.

    2004-01-01

    Effects of the buffer layer temperature (T b ) on the magnetic properties and microstructure of [Mo/NdFeB/Mo]-type thin films have been investigated. The Mo-buffer layer with low T b is composed of fine grains while that with high T b has coarse grains. The subsequent NdFeB layer also grows with fine or coarse grains following the buffer layer structure. The NdFeB layer grown on a low T b buffer shows high coercivity and strong perpendicular anisotropy. The best magnetic properties of i H c =1.01 MA/m (12.7 kOe), B r =1.31 T (13.1 kG) and BH max =329 kJ/m 3 (41.4 MGOe) were obtained from the film with T b =400 deg. C

  7. Swelling of the buffer of KBS-3V deposition hole

    International Nuclear Information System (INIS)

    Lempinen, A.

    2006-12-01

    At the time of the installation of spent nuclear fuel canister in the KBS-3V deposition hole, empty space is left around bentonite buffer for technical reasons. The gap between the buffer and the canister is about 10 mm, and the gap between the buffer and the rock is 30 to 35 mm. In this study, the swelling of the buffer to fill the gaps was simulated, when the gaps are initially filled with water and no external water is available. The model used here is a thermodynamical model for swelling clay, with parameters determined for bentonite. The simulations presented here were performed with Freefem++ software, which is a finite element application for partial differential equations. These equations come from the material model. The simulation results show that the swelling fills the outer gaps in few years, but no significant swelling pressure is generated. For swelling pressure, external water supply is required. (orig.)

  8. Non-Toxic Buffer Layers in Flexible Cu(In,GaSe2 Photovoltaic Cell Applications with Optimized Absorber Thickness

    Directory of Open Access Journals (Sweden)

    Md. Asaduzzaman

    2017-01-01

    Full Text Available Absorber layer thickness gradient in Cu(In1−xGaxSe2 (CIGS based solar cells and several substitutes for typical cadmium sulfide (CdS buffer layers, such as ZnS, ZnO, ZnS(O,OH, Zn1−xSnxOy (ZTO, ZnSe, and In2S3, have been analyzed by a device emulation program and tool (ADEPT 2.1 to determine optimum efficiency. As a reference type, the CIGS cell with CdS buffer provides a theoretical efficiency of 23.23% when the optimum absorber layer thickness was determined as 1.6 μm. It is also observed that this highly efficient CIGS cell would have an absorber layer thickness between 1 μm and 2 μm whereas the optimum buffer layer thickness would be within the range of 0.04–0.06 μm. Among all the cells with various buffer layers, the best energy conversion efficiency of 24.62% has been achieved for the ZnO buffer layer based cell. The simulation results with ZnS and ZnO based buffer layer materials instead of using CdS indicate that the cell performance would be better than that of the CdS buffer layer based cell. Although the cells with ZnS(O,OH, ZTO, ZnSe, and In2S3 buffer layers provide slightly lower efficiencies than that of the CdS buffer based cell, the use of these materials would not be deleterious for the environment because of their non-carcinogenic and non-toxic nature.

  9. Electrical and mechanical stability of aluminum-doped ZnO films grown on flexible substrates by atomic layer deposition

    International Nuclear Information System (INIS)

    Luka, G.; Witkowski, B.S.; Wachnicki, L.; Jakiela, R.; Virt, I.S.; Andrzejczuk, M.; Lewandowska, M.; Godlewski, M.

    2014-01-01

    Highlights: • Transparent and conductive ZnO:Al films were grown by atomic layer deposition. • The films were grown on flexible substrates at low growth temperatures (110–140 °C). • So-obtained films have low resistivities, of the order of 10 −3 Ω cm. • Bending tests indicated a critical bending radius of ≈1.2 cm. • Possible sources of the film resistivity changes upon bending are proposed. - Abstract: Aluminum-doped zinc oxide (AZO) films were grown on polyethylene terephthalate (PET) substrates by atomic layer deposition (ALD) at low deposition temperatures (110–140 °C). The films have low resistivities, ∼10 −3 Ω cm, and high transparency (∼90%) in the visible range. Bending tests indicated a critical bending radius of ≈1.2 cm, below which the resistivity changes became irreversible. The films deposited on PET with additional buffer layer are more stable upon bending and temperature changes

  10. Organic field-effect transistors with surface modification by using a PVK buffer layer on flexible substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hyung, Gun Woo; Lee, Dong Hyung; Koo, Ja Ryong; Kim, Young Kwan [Hongik University, Seoul (Korea, Republic of); Park, Jae Hoon [Electronics and Telecommunications Research Institute, Daejeon (Korea, Republic of)

    2012-11-15

    We have fabricated pentacene thin-film transistors (TFTs) with a gate dielectric such as crosslinked poly(vinyl alcohol) (c-PVA), with poly(9-vinylcarbazole) (PVK) buffer layer on a polyethersulfone (PES) flexible substrate, and with substrate heating at a temperature below 120 .deg. C, and we demonstrated the possibility of using an organic gate dielectric layer as a potential pentacene TFT with a PVK buffer layer for low-voltage operation on a plastic substrate. We report the excellent electrical properties of organic TFTs with a PVK buffer layer. The PVK buffer layer improves the performance of the devices and reduces the operating voltage of the devices. Our pentacene TFTs can be fabricated with mobilities > 2.54 cm{sup 2}/Vs and on/off current ratios > 7.5E5 and with flexible organic dielectrics and substrates.

  11. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  12. A comparative study of the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based solar cells with an indium sulfide buffer layer, partly submitted to wet chemical treatments

    Energy Technology Data Exchange (ETDEWEB)

    Hönes, C., E-mail: christian.hoenes@de.bosch.com [Corporate Research and Advance Engineering, Robert Bosch GmbH, Robert-Bosch-Straße 2, D-71701 Schwieberdingen (Germany); Laboratory for Photovoltaics, University of Luxembourg, 41 rue du Brill, L-4422 Belvaux (Luxembourg); Hackenberg, J. [Corporate Research and Advance Engineering, Robert Bosch GmbH, Robert-Bosch-Straße 2, D-71701 Schwieberdingen (Germany); Zweigart, S. [Corporate Research and Advance Engineering, Robert Bosch GmbH, Postfach 10 60 50, D-70049 Stuttgart (Germany); Wachau, A.; Hergert, F. [Bosch Solar CISTech GmbH, D-14772 Brandenburg (Germany); Siebentritt, S., E-mail: susanne.siebentritt@uni.lu [Laboratory for Photovoltaics, University of Luxembourg, 41 rue du Brill, L-4422 Belvaux (Luxembourg)

    2015-03-07

    Indium sulfide thin films deposited via thermal evaporation from compound source material have been successfully utilized as a cadmium free buffer layer for Cu(In,Ga)Se{sub 2} based solar cells. However, high efficiencies are only reached after an additional annealing step. In this work, the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based indium sulfide buffered solar cells is compared to the annealing behavior of similar cells, which were submitted to wet chemical treatments partly containing cadmium ions. Upon annealing a significant improvement of the initial solar cell characteristics is observed for the untreated cell and is related to the increase of activation energy for the carrier recombination process and a decrease of the ideality factor within the one diode model. It is shown here that this improvement can also be achieved by wet treatments of the absorber prior to buffer layer deposition. Upon annealing these treated cells still gain in collection length but lose open circuit voltage, which is explained here within a model including a highly p-doped absorber surface layer and supported by simulations showing that a decrease in doping density of such a surface layer would lead to the observed effects.

  13. The function of buffer layer in resistive switching device.

    Czech Academy of Sciences Publication Activity Database

    Zhang, B.; Prokop, V.; Střižík, L.; Zima, Vítězslav; Kutálek, P.; Vlček, Milan; Wágner, T.

    2017-01-01

    Roč. 14, č. 8 (2017), s. 291-295 ISSN 1584-8663 Institutional support: RVO:61389013 Keywords : resistive switching * chalcogenide glasses * buffer layer Subject RIV: CA - Inorganic Chemistry Impact factor: 0.732, year: 2016 http://www.chalcogen.ro/291_ZhangB.pdf

  14. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan; Hsu, Hung-Chan [Department of Physics, National Taiwan Normal University, 88, Sec. 4, Ting-Chou Rd, Taipei 116, Taiwan (China)

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kinds of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.

  15. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  16. Magnetic domain observation of FeCo thin films fabricated by alternate monoatomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ohtsuki, T., E-mail: ohtsuki@spring8.or.jp; Kotsugi, M.; Ohkochi, T. [Japan Synchrotron Radiation Research Institute (JASRI), 1-1-1 Koto, Sayo-cho, Sayo-gun, Hyogo 679-5198 (Japan); Kojima, T.; Mizuguchi, M.; Takanashi, K. [Institute for Materials Research, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan)

    2014-01-28

    FeCo thin films are fabricated by alternate monoatomic layer deposition method on a Cu{sub 3}Au buffer layer, which in-plane lattice constant is very close to the predicted value to obtain a large magnetic anisotropy constant. The variation of the in-plane lattice constant during the deposition process is investigated by reflection high-energy electron diffraction. The magnetic domain images are also observed by a photoelectron emission microscope in order to microscopically understand the magnetic structure. As a result, element-specific magnetic domain images show that Fe and Co magnetic moments align parallel. A series of images obtained with various azimuth reveal that the FeCo thin films show fourfold in-plane magnetic anisotropy along 〈110〉 direction, and that the magnetic domain structure is composed only of 90∘ wall.

  17. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  18. Preparation of MgO Films as Buffer Layers by Laser-ablation at Various Substrate Temperatures

    Institute of Scientific and Technical Information of China (English)

    LI Ling; WANG Chuanbin; WANG Fang; SHEN Qiang; ZHANG Lianmeng

    2011-01-01

    MgO thin films were deposited on Si(100) substrates by laser ablation under various substrate temperatures (Tsub),expecting to provide a candidate buffer layer for the textured growth of functional perovskite oxide films on Si substrates.The effect of Tsub on the preferred orientation,crystallinity and surface morphology of the films was investigated.MgO films in single-phase were obtained at 473-973 K.With increasing Tsub,the preferred orientation of the films changed from (200) to (111).The crystallinity and surface morphology was different too,depending on Tsub·At Tsub=673 K,the MgO film became uniform and smooth,exhibiting high crystallinity and a dense texture.

  19. Improved hole-injection and power efficiency of organic light-emitting diodes using an ultrathin cerium fluoride buffer layer

    Science.gov (United States)

    Lu, Hsin-Wei; Kao, Po-Ching; Chu, Sheng-Yuan

    2016-09-01

    In this study, the efficiency of organic light-emitting diodes (OLEDs) was enhanced by depositing a CeF3 film as an ultra-thin buffer layer between the ITO and NPB hole transport layer, with the structure configuration ITO/CeF3 (1 nm)/NPB (40 nm)/Alq3 (60 nm)/LiF (1 nm)/Al (150 nm). The enhancement mechanism was systematically investigated via several approaches. The work function increased from 4.8 eV (standard ITO electrode) to 5.2 eV (1-nm-thick UV-ozone treated CeF3 film deposited on the ITO electrode). The turn-on voltage decreased from 4.2 V to 4.0 V at 1 mA/cm2, the luminance increased from 7588 cd/m2 to 10820 cd/m2, and the current efficiency increased from 3.2 cd/A to 3.5 cd/A when the 1-nm-thick UV-ozone treated CeF3 film was inserted into the OLEDs.

  20. Buffer-layer enhanced crystal growth of BaB6 (1 0 0) thin films on MgO (1 0 0) substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kato, Yushi; Yamauchi, Ryosuke; Arai, Hideki; Tan, Geng; Tsuchimine, Nobuo; Kobayashi, Susumu; Saeki, Kazuhiko; Takezawa, Nobutaka; Mitsuhashi, Masahiko; Kaneko, Satoru; Yoshimoto, Mamoru

    2012-01-01

    Crystalline BaB 6 (1 0 0) thin films can be fabricated on MgO (1 0 0) substrates by inserting a 2-3 nm-thick epitaxial SrB 6 (1 0 0) buffer layer by pulsed laser deposition (PLD) in ultra-high vacuum (i.e., laser molecular beam epitaxy). Reflection high-energy electron diffraction and X-ray diffraction measurements indicated the heteroepitaxial structure of BaB 6 (1 0 0)/SrB 6 (1 0 0)/MgO (1 0 0) with the single domain of the epitaxial relationship. Conversely, BaB 6 thin films without the buffer layer were not epitaxial instead they developed as polycrystalline films with a random in-plane configuration and some impurity phases. As a result, the buffer layer is considered to greatly affect the initial growth of epitaxial BaB 6 thin films; therefore, in this study, buffering effects have been discussed. From the conventional four-probe measurement, it was observed that BaB 6 epitaxial thin films exhibit n-type semiconducting behavior with a resistivity of 2.90 × 10 -1 Ω cm at room temperature.

  1. Pulsed laser deposition of YBCO coated conductor using Y2O3 as the seed and cap layer

    International Nuclear Information System (INIS)

    Barnes, P N; Nekkanti, R M; Haugan, T J; Campbell, T A; Yust, N A; Evans, J M

    2004-01-01

    Although a variety of buffer layers have been routinely reported, a standard architecture commonly used for the Y Ba 2 Cu 3 O 7-x (YBCO) coated conductor is Y BCO/CeO 2 /Y SZ/CeO 2 /substrate or Y BCO/CeO 2 /Y SZ/Y 2 O 3 /substrate where ceria is typically the cap layer. CeO 2 is generally used as only a seed (or cap layer) since cracking within the film occurs in thicker CeO 2 layers due to the stress of lattice mismatching. Y 2 O 3 has been proposed as a seed and as a cap layer but usually not for both in a given architecture, especially with all layers deposited in situ. Yttrium oxide films grown on nickel by electron beam evaporation processes were found to be dense and crack free with good epitaxy. In this report, pulsed laser deposition (PLD) of Y 2 O 3 is given where Y 2 O 3 serves as both the seed and cap layer in the YBCO architecture. A comparison to PLD CeO 2 is provided. Deposited layers of the YBCO coated conductor are also grown by laser ablation. Initial deposition resulted in specimens on textured Ni substrates with current densities of more than 1 MA cm -2 at 77 K, self-field

  2. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  3. Efficient Polymer Solar Cells with Alcohol-Soluble Zirconium(IV Isopropoxide Cathode Buffer Layer

    Directory of Open Access Journals (Sweden)

    Zhen Luo

    2018-02-01

    Full Text Available Interfacial materials are essential to the performance and stability of polymer solar cells (PSCs. Herein, solution-processed zirconium(IV isopropoxide (Zr[OCH(CH32]4, ZrIPO has been employed as an efficient cathode buffer layer between the Al cathode and photoactive layer. The ZrIPO buffer layer is prepared simply via spin-coating its isopropanol solution on the photoactive layer at room temperature without any post-treatment. When using ZrIPO/Al instead of the traditionally used Ca/Al cathode in PSCs, the short-circuit current density (Jsc is significantly improved and the series resistance of the device is decreased. The power conversion efficiency (PCE of the P3HT:PCBM-based device with ZrIPO buffer layer reaches 4.47% under the illumination of AM1.5G, 100 mW/cm2. A better performance with PCE of 8.07% is achieved when a low bandgap polymer PBDTBDD is selected as donor material. The results indicate that ZrIPO is a promising electron collection material as a substitute of the traditional low-work-function cathode for high performance PSCs.

  4. Intermixing at the heterointerface between ZnS /Zn(S,O) bilayer buffer and CuInS2 thin film solar cell absorber

    Science.gov (United States)

    Bär, M.; Ennaoui, A.; Klaer, J.; Kropp, T.; Sáez-Araoz, R.; Lehmann, S.; Grimm, A.; Lauermann, I.; Loreck, Ch.; Sokoll, St.; Schock, H.-W.; Fischer, Ch.-H.; Lux-Steiner, M. C.; Jung, Ch.

    2006-09-01

    The application of Zn compounds as buffer layers was recently extended to wide-gap CuInS2 (CIS) based thin-film solar cells. Using an alternative chemical deposition route for the buffer preparation aiming at the deposition of a single-layer, nominal ZnS buffer without the need for any toxic reactants such as hydrazine has helped us to achieve a similar efficiency as respective CdS-buffered reference devices. After identifying the deposited Zn compound, as ZnS /Zn(S,O) bilayer buffer in former investigations [M. Bär et al., J. Appl. Phys. 99, 123503 (2006)], this time the focus lies on potential diffusion/intermixing processes at the buffer/absorber interface possibly, clarifying the effect of the heat treatment, which drastically enhances the device performance of respective final solar cells. The interface formation was investigated by x-ray photoelectron and x-ray excited Auger electron spectroscopy. In addition, photoelectron spectroscopy (PES) measurements were also conducted using tunable monochromatized synchrotron radiation in order to gain depth-resolved information. The buffer side of the buffer/absorber heterointerface was investigated by means of the characterization of Zn(S ,O)/ZnS/CIS structures where the ZnS /Zn(S,O) bilayer buffer was deposited successively by different deposition times. In order to make the (in terms of PES information depth) deeply buried absorber side of the buffer/absorber heterointerface accessible for characterization, in these cases the buffer layer was etched away by dilute HClaq. We found indications that while (out-leached) Cu from the absorber layer forms together with the educts in the chemical bath a [Zn(1-Z ),Cu2Z]S-like interlayer between buffer and absorber, Zn is incorporated in the uppermost region of the absorber. Both effects are strongly enhanced by postannealing the Zn(S ,O)/ZnS/CIS samples. However, it was determined that the major fraction of the Cu and Zn can be found quite close to the heterointerface in

  5. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  6. MOD approach for the growth of epitaxial CeO2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors

    International Nuclear Information System (INIS)

    Bhuiyan, M S; Paranthaman, M; Sathyamurthy, S; Aytug, T; Kang, S; Lee, D F; Goyal, A; Payzant, E A; Salama, K

    2003-01-01

    We have grown epitaxial CeO 2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors using a newly developed metal organic decomposition (MOD) approach. Precursor solution of 0.25 M concentration was spin coated on short samples of Ni-3 at%W (Ni-W) substrates and heat-treated at 1100 C in a gas mixture of Ar-4%H 2 for 15 min. Detailed x-ray studies indicate that CeO 2 films have good out-of-plane and in-plane textures with full-width-half-maximum values of 5.8 deg. and 7.5 deg., respectively. High temperature in situ XRD studies show that the nucleation of CeO 2 films starts at 600 C and the growth completes within 5 min when heated at 1100 C. SEM and AFM investigations of CeO 2 films reveal a fairly dense microstructure without cracks and porosity. Highly textured YSZ barrier layers and CeO 2 cap layers were deposited on MOD CeO 2 -buffered Ni-W substrates using rf-magnetron sputtering. Pulsed laser deposition (PLD) was used to grow YBCO films on these substrates. A critical current, J c , of about 1.5 MA cm -2 at 77 K and self-field was obtained on YBCO (PLD)/CeO 2 (sputtered)/YSZ (sputtered)/CeO 2 (spin-coated)/Ni-W

  7. Simple solution-processed CuOX as anode buffer layer for efficient organic solar cells

    International Nuclear Information System (INIS)

    Shen, Wenfei; Yang, Chunpeng; Bao, Xichang; Sun, Liang; Wang, Ning; Tang, Jianguo; Chen, Weichao; Yang, Renqiang

    2015-01-01

    Graphical abstract: - Highlights: • Simple solution-processed CuO X hole transport layer for efficient organic solar cell. • Good photovoltaic performances as hole transport layer in OSCs with P3HT and PBDTTT-C as donor materials. • The device with CuO X as hole transport layer shows great improved stability compared with that of device with PEDOT:PSS as hole transport layer. - Abstract: A simple, solution-processed ultrathin CuO X anode buffer layer was fabricated for high performance organic solar cells (OSCs). XPS measurement demonstrated that the CuO X was the composite of CuO and Cu 2 O. The CuO X modified ITO glass exhibit a better surface contact with the active layer. The photovoltaic performance of the devices with CuO X layer was optimized by varying the thickness of CuO X films through changing solution concentration. With P3HT:PC 61 BM as the active layer, we demonstrated an enhanced PCE of 4.14% with CuO X anode buffer layer, compared with that of PEDOT:PSS layer. The CuO X layer also exhibits efficient photovoltaic performance in devices with PBDTTT-C:PC 71 BM as the active layer. The long-term stability of CuO X device is better than that of PEDOT:PSS device. The results indicate that the easy solution-processed CuO X film can act as an efficient anode buffer layer for high-efficiency OSCs

  8. Development of MoOx thin films as back contact buffer for CdTe solar cells in substrate configuration

    International Nuclear Information System (INIS)

    Gretener, C.; Perrenoud, J.; Kranz, L.; Baechler, C.; Yoon, S.; Romanyuk, Y.E.; Buecheler, S.; Tiwari, A.N.

    2013-01-01

    Molybdenum oxide compounds exhibit unique electrical and optical properties depending on oxygen vacancy concentration and composition and therefore, have recently attracted a lot of attention as a hole transport layer in various devices. In this work CdTe solar cells in substrate configuration were grown with evaporated MoO x back contact buffer layers and efficiencies of up to 10% could be achieved without using Cu in the back contact processing. The buffer layer – at the CdTe/back contact interface – in the finished cell was found to consist of MoO 2 phase instead of the expected MoO 3 phase as observed in as-deposited or annealed MoO x layers without CdTe deposition. In order to obtain MoO x buffer layers with desired stoichiometry, MoO x thin films were deposited by radio-frequency sputtering under different growth conditions. The chemical phase, composition, microstructure and optical properties of such layers were studied for their possible use in CdTe solar cells. - Highlights: ► MoO x is used as a back contact buffer in CdTe solar cells in substrate configuration. ► Efficiency of 10.0% was achieved without the addition of Cu. ► The back contact buffer in the finished device consists only of MoO 2 . ► Phases and microstructure of MoO x can be controlled by sputtering conditions

  9. Inverted bulk-heterojunction organic solar cells with the transfer-printed anodes and low-temperature-processed ultrathin buffer layers

    Science.gov (United States)

    Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi

    2018-03-01

    We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.

  10. Atomic Layer Deposition of SnO2 on MXene for Li-Ion Battery Anodes

    KAUST Repository

    Ahmed, Bilal

    2017-02-24

    In this report, we show that oxide battery anodes can be grown on two-dimensional titanium carbide sheets (MXenes) by atomic layer deposition. Using this approach, we have fabricated a composite SnO2/MXene anode for Li-ion battery applications. The SnO2/MXene anode exploits the high Li-ion capacity offered by SnO2, while maintaining the structural and mechanical integrity by the conductive MXene platform. The atomic layer deposition (ALD) conditions used to deposit SnO2 on MXene terminated with oxygen, fluorine, and hydroxyl-groups were found to be critical for preventing MXene degradation during ALD. We demonstrate that SnO2/MXene electrodes exhibit excellent electrochemical performance as Li-ion battery anodes, where conductive MXene sheets act to buffer the volume changes associated with lithiation and delithiation of SnO2. The cyclic performance of the anodes is further improved by depositing a very thin passivation layer of HfO2, in the same ALD reactor, on the SnO2/MXene anode. This is shown by high-resolution transmission electron microscopy to also improve the structural integrity of SnO2 anode during cycling. The HfO2 coated SnO2/MXene electrodes demonstrate a stable specific capacity of 843 mAh/g when used as Li-ion battery anodes.

  11. Excimer laser recrystallization of nanocrystalline-Si films deposited by inductively coupled plasma chemical vapour deposition at 150 deg. C

    International Nuclear Information System (INIS)

    Park, Joong-Hyun; Han, Sang-Myeon; Park, Sang-Geun; Han, Min-Koo; Shin, Moon-Young

    2006-01-01

    Polycrystalline silicon thin film transistors (poly-Si TFTs) fabricated at low temperature (under 200 deg. C) have been widely investigated for flexible substrate applications such as a transparent plastic substrate. Unlike the conventional TFT process using glass substrate, the maximum process temperature should be kept less than 200 deg. C in order to avoid thermal damage on flexible substrates. We report the characteristics of nanocrystalline silicon (nc-Si) irradiated by an excimer laser. Nc-Si precursors were deposited on various buffer layers by inductively coupled plasma chemical vapour deposition (ICP-CVD) at 150 deg. C. We employed various buffer layers, such as silicon nitride (SiN X ) and silicon dioxide (SiO 2 ), in order to report recrystallization characteristics in connection with a buffer layer of a different thermal conductivity. The dehydrogenation and recrystallization was performed by step-by-step excimer laser annealing (ELA) (XeCl,λ=308 nm) in order to prevent the explosive release of hydrogen atoms. The grain size of the poly-Si film, which was recrystallized on the various buffer layers, was measured by scanning electron microscopy (SEM) at each laser energy density. The process margin of step-by-step ELA employing the SiN X buffer layer is wider than SiO 2 and the maximum grain size slightly increased

  12. Surface modification by preparation of buffer zone in glow-discharge plasma

    International Nuclear Information System (INIS)

    Cho, D.L.

    1986-01-01

    Reactive species, energetic particles, and uv radiation in the plasma created by a glow discharge strongly interact with solid surfaces under the influence of the plasma. As a result of the strong interaction, various physical and chemical reactions, unique and advantageous for the surface modification of solid materials, occur on the solid surfaces. The surface modification is carried out through formation of a thin buffering layer on the solid surface. The preparation of a buffer zone on solid surfaces for surface modification is described. Two kinds of a buffer zone are prepared by plasma polymerization, or simultaneous sputter deposition of electrode material with plasma polymerization: a transitional buffer zone and a graded buffer zone. Important factors for preparation of the buffer zone (pre-conditioning of a substrate surface, thin-film deposition, post-treatment of the film, magnetron discharge, energy input, geometry of a substrate and a plasma) are discussed

  13. Simulation studies on the effect of a buffer layer on the external ...

    Indian Academy of Sciences (India)

    Wintec

    parameters of hydrogenated amorphous silicon p–i–n solar cells ... of a buffer layer in between the p- and i-layers of the p–i–n solar cell on the external parameters such as dark .... H 1988 Photovoltaic specialist conference, in Conference re-.

  14. Spatial atomic layer deposition: a route towards further industrialization of atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Cameron, D.C.; Dickey, E.; George, S.M.; Kuznetsov, Vladimir; Parsons, G.N.; Roozeboom, F.; Sundaram, G.; Vermeer, A.

    2012-01-01

    Spatial atomic layer deposition can be used as a high-throughput manufacturing technique in functional thin film deposition for applications such as flexible electronics. This; however, requires low-temperature processing and handling of flexible substrates. The authors investigate the process

  15. Multi-filamentary REBCO tapes fabricated by scratching a buffer layer along the tape longitudinal direction

    Energy Technology Data Exchange (ETDEWEB)

    Kurihara, Chihaya, E-mail: chihaya.kurihara@jp.fujikura.com [Fujikura Ltd., 1440, Mutsuzaki, Sakura, Chiba 285-8550 (Japan); Fujita, Shinji; Nakamura, Naonori; Igarashi, Mitsunori; Iijima, Yasuhiro [Fujikura Ltd., 1440, Mutsuzaki, Sakura, Chiba 285-8550 (Japan); Higashikawa, Kohei; Uetsuhara, Dai; Kiss, Takanobu; Iwakuma, Masataka [Kyushu University, 744 Motooka, Nishi-Ku, Fukuoka 819-0395 (Japan)

    2016-11-15

    Highlights: • We developed new method of slitting tape between buffer layer processes for multi-filamentary tape. • By scratching buffer layer along the tape longitudinal direction, we find that REBCO layer is divided easily without damaging it. • We have developed 100 m class multi-filamentary REBCO tapes which are suitable for superconducting coils. - Abstract: A method for making multi-filamentary REBCO tapes by only scratching buffer layer was developed for coil application which requires accurate magnetic fields. By continuous I{sub c} measurement, we found that our new multi-filamentary tape could provide almost equal I{sub c} compared to conventional tapes. Then, using EBSD and RTR-SHPM methods, a divided structure of REBCO layer was surely confirmed. AC loss was also decreased. Furthermore, the result of delamination test of our new multi-filamentary tape showed enough mechanical property. As a result, we have succeeded in developing 100 m class multi-filamentary tape for superconducting coil.

  16. Multi-filamentary REBCO tapes fabricated by scratching a buffer layer along the tape longitudinal direction

    International Nuclear Information System (INIS)

    Kurihara, Chihaya; Fujita, Shinji; Nakamura, Naonori; Igarashi, Mitsunori; Iijima, Yasuhiro; Higashikawa, Kohei; Uetsuhara, Dai; Kiss, Takanobu; Iwakuma, Masataka

    2016-01-01

    Highlights: • We developed new method of slitting tape between buffer layer processes for multi-filamentary tape. • By scratching buffer layer along the tape longitudinal direction, we find that REBCO layer is divided easily without damaging it. • We have developed 100 m class multi-filamentary REBCO tapes which are suitable for superconducting coils. - Abstract: A method for making multi-filamentary REBCO tapes by only scratching buffer layer was developed for coil application which requires accurate magnetic fields. By continuous I_c measurement, we found that our new multi-filamentary tape could provide almost equal I_c compared to conventional tapes. Then, using EBSD and RTR-SHPM methods, a divided structure of REBCO layer was surely confirmed. AC loss was also decreased. Furthermore, the result of delamination test of our new multi-filamentary tape showed enough mechanical property. As a result, we have succeeded in developing 100 m class multi-filamentary tape for superconducting coil.

  17. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  18. Effects of buffer layer on the structural and electrical properties of InAsSb epilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jayavel, P.; Nakamura, S.; Koyama, T.; Hayakawa, Y.

    2006-01-01

    InAsSb ternary epilayers with arsenic composition of 0.5 have been grown on GaAs(001) substrates. Linear-graded and step-graded InAsSb buffer layers with an InSb layer have been used to relax lattice mismatch between the epilayer and substrate. X-ray diffraction results of the epilayers indicate that an enhancement in the peak intensity of the buffer layer samples is due to improved crystalline quality of the epilayers. We find that the growth technique of the buffer layer strongly influences the surface morphology and roughness of the epilayer. Hall effect measurements of the step-graded buffer layer samples show an order of magnitude higher electron mobility than the direct and linear-graded buffer layer samples. These results demonstrate that high crystalline quality and electron mobility of the InAs 0.5 Sb 0.5 ternary epilayers can be achieved by using the step-graded InAsSb buffer layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. High quality InAsSb grown on InP substrates using AlSb/AlAsSb buffer layers

    International Nuclear Information System (INIS)

    Wu, B.-R.; Liao, C.; Cheng, K. Y.

    2008-01-01

    High quality InAsSb grown on semi-insulating InP substrates by molecular beam epitaxy was achieved using AlSb/AlAsSb structure as the buffer layer. A 1000 A InAsSb layer grown on top of 1 μm AlSb/AlAsSb buffer layer showed a room temperature electron mobility of ∼12 000 cm 2 /V s. High structural quality and low misfit defect density were also demonstrated in the InAsSb layer. This novel AlSb/AlAsSb buffer layer structure with the AlAsSb layer lattice matched to InP substrates could enhance the performance of optoelectronic devices utilizing 6.1 A family of compound semiconductor alloys

  20. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  1. Significant Improvement of Organic Thin-Film Transistor Mobility Utilizing an Organic Heterojunction Buffer Layer

    International Nuclear Information System (INIS)

    Pan Feng; Qian Xian-Rui; Huang Li-Zhen; Wang Hai-Bo; Yan Dong-Hang

    2011-01-01

    High-mobility vanadyl phthalocyanine (VOPc)/5,5‴-bis(4-fluorophenyl)-2,2':5',2″:5″,2‴-quaterthiophene (F2-P4T) thin-film transistors are demonstrated by employing a copper hexadecafluorophthalocyanine (F 16 CuPc)/copper phthalocyanine (CuPc) heterojunction unit, which are fabricated at different substrate temperatures, as a buffer layer. The highest mobility of 4.08cm 2 /Vs is achieved using a F 16 CuPc/CuPc organic heterojunction buffer layer fabricated at high substrate temperature. Compared with the random small grain-like morphology of the room-temperature buffer layer, the high-temperature organic heterojunction presents a large-sized fiber-like film morphology, resulting in an enhanced conductivity. Thus the contact resistance of the transistor is significantly reduced and an obvious improvement in device mobility is obtained. (cross-disciplinary physics and related areas of science and technology)

  2. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    International Nuclear Information System (INIS)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G.; Mankad, Ravin; Haight, Richard; Gunawan, Oki; Mitzi, David B.

    2014-01-01

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10 19 to 10 20 cm −3 with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10 19 to 10 14 cm −3 for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications

  3. Development of MoO{sub x} thin films as back contact buffer for CdTe solar cells in substrate configuration

    Energy Technology Data Exchange (ETDEWEB)

    Gretener, C., E-mail: christina.gretener@empa.ch [Laboratory for Thin Films and Photovoltaics, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland); Perrenoud, J.; Kranz, L.; Baechler, C. [Laboratory for Thin Films and Photovoltaics, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland); Yoon, S. [Laboratory for Solid State Chemistry and Catalysis, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland); Romanyuk, Y.E.; Buecheler, S.; Tiwari, A.N. [Laboratory for Thin Films and Photovoltaics, Empa — Swiss Federal Laboratories for Materials Science and Technology, Überlandstr. 129, 8600 Dübendorf (Switzerland)

    2013-05-01

    Molybdenum oxide compounds exhibit unique electrical and optical properties depending on oxygen vacancy concentration and composition and therefore, have recently attracted a lot of attention as a hole transport layer in various devices. In this work CdTe solar cells in substrate configuration were grown with evaporated MoO{sub x} back contact buffer layers and efficiencies of up to 10% could be achieved without using Cu in the back contact processing. The buffer layer – at the CdTe/back contact interface – in the finished cell was found to consist of MoO{sub 2} phase instead of the expected MoO{sub 3} phase as observed in as-deposited or annealed MoO{sub x} layers without CdTe deposition. In order to obtain MoO{sub x} buffer layers with desired stoichiometry, MoO{sub x} thin films were deposited by radio-frequency sputtering under different growth conditions. The chemical phase, composition, microstructure and optical properties of such layers were studied for their possible use in CdTe solar cells. - Highlights: ► MoO{sub x} is used as a back contact buffer in CdTe solar cells in substrate configuration. ► Efficiency of 10.0% was achieved without the addition of Cu. ► The back contact buffer in the finished device consists only of MoO{sub 2}. ► Phases and microstructure of MoO{sub x} can be controlled by sputtering conditions.

  4. Buffer Film Assisted Growth of Dense MWCNTs on Copper Foils for Flexible Electrochemical Applications

    Directory of Open Access Journals (Sweden)

    Udomdej Pakdee

    2017-01-01

    Full Text Available The novel Inconel buffer films were prepared on copper foils using unbalance direct current (DC magnetron sputtering. These films were employed as buffer layers for supporting the dense growth of multiwalled carbon nanotubes (MWCNTs. Thermal chemical vapor deposition (CVD with metal alloys such as stainless steel (SS type 304 films was considered to synthesize MWCNTs. To understand the effectiveness of these buffer films, the MWCNTs grown on buffer-free layer were carried out as a comparison. The main problem such as the diffusion of catalysts into the oxide layer of metal substrate during the CVD process was solved together with a creation of good electrical contact between substrate and nanotubes. The morphologies, crystallinities, and electrochemical behaviors of MWCNTs grown on Inconel buffer films with 304 SS catalysts revealed the better results for applying in flexible electrochemical applications.

  5. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  6. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    Science.gov (United States)

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Buffer layers for growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x films on silicon

    CERN Document Server

    Razumov, S V

    2001-01-01

    The results of the studies on the structural characteristics of the SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 buffer layers, obtained through the ion-plasma spraying on the silicon substrates, are presented. It is shown that the phase composition and internal stresses in the films are strongly dependent on the deposition temperature. The technological conditions of growth of primarily oriented SrTiO sub 3 , NdGaO sub 3 and CeO sub 2 films are dortmund. The structural quality of the obtained buffer films is sufficient for further growth of the YBa sub 2 Cu sub 3 O sub 7 sub - sub x high-quality films on the silicon substrates

  8. In situ analysis of the Zn(S,O) buffer layer preparation for chalcopyrite solar cells by Zn L-edge X-ray absorption spectroscopy.

    Science.gov (United States)

    Lauermann, Iver; Kropp, Timo; Vottier, Damien; Ennaoui, Ahmed; Eberhardt, Wolfgang; Aziz, Emad F

    2009-02-23

    Bridging the gap between high-vacuum soft X-ray absorption spectroscopy and real systems under ambient conditions probes chemical reactions in situ during deposition and annealing processes. The origin of highly efficient buffer layers in Zn(S,O) is the complex formation between Zn(2+) and the S=C group of thiourea (see schematic), which allows ligand-to-metal and metal-to-ligand charge transfer (LMCT and MLCT).

  9. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Byunggu; Leem, Jae-Young [Inje University, Gimhae (Korea, Republic of)

    2017-01-15

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  10. Effects of Doping Concentration on the Structural and Optical Properties of Spin-Coated In-doped ZnO Thin Films Grown on Thermally Oxidized ZnO Film/ZnO Buffer Layer/Mica Substrate

    International Nuclear Information System (INIS)

    Kim, Byunggu; Leem, Jae-Young

    2017-01-01

    ZnO buffer layers were deposited on mica substrates using a sol-gel spin coating method. Then, a thin film of metallic Zn was deposited onto the ZnO buffer layer/mica substrate using a thermal evaporator, and the deposited Zn thin films were then thermally oxidized in a furnace at 500 ℃ for 2 h in air. Finally, In-doped ZnO (IZO) thin films with different In concentrations were grown on the oxidized ZnO film/ZnO buffer layer/mica substrates using the sol-gel spin-coating method. All the IZO films showed ZnO peaks with similar intensities. The full width at half maximum values of the ZnO (002) peak for the IZO thin films decreased with an increase in the In concentration to 1 at%, because the crystallinity of the films was enhanced. However, a further increase in the In concentration caused the crystal quality to degrade. This might be attributed to the fact that the higher In doping resulted in an increase in the number of ionized impurities. The Urbach energy (EU) values of the IZO thin film decreased with an increase in the In concentration to 1 at % because of the enhanced crystal quality of the films. The EU values for the IZO thin films increased with the In concentration from 1 at%to 3 at%, reflecting the broadening of localized band tail state near the conduction band edge of the films.

  11. Effects of water inflow into a deposition hole - Influence of pellets type and of buffer block manufacturing technique

    Energy Technology Data Exchange (ETDEWEB)

    Johannesson, Lars-Erik; Jense, Viktor [Clay Technology AB, Lund (Sweden)

    2012-10-15

    During the installation of buffer and canister in a deposition hole a number of different problems can arise. The problems are mainly connected to water flow from fractures in the rock into the deposition hole. According to the reference design for the KBS-3V concept, the buffer is protected with a special sheet made of rubber during the installation phase. This protection sheet will at some stage be removed and the outer gap between the buffer blocks and the rock surface will be filled with bentonite pellets. The interaction of buffer blocks and pellets have previously been investigated. The focuses of those studies were the following processes: 1. Erosion. Erosion of bentonite from the deposition hole up into the tunnel backfill material. This process will continue until a tunnel plug has been installed and the backfill is saturated. 2. Heave. Early wetting of the pellets filling may cause a heave of the buffer blocks into the backfill that will decrease the density of the buffer. The laboratory tests presented in this study are complementing previous investigations by focusing on how the choice of manufacturing process for the bentonite blocks (isostatic or uniaxial compaction) and pellets (roller compaction or extrusion) are affecting erosion and the heaving effect.

  12. Effects of water inflow into a deposition hole - Influence of pellets type and of buffer block manufacturing technique

    International Nuclear Information System (INIS)

    Johannesson, Lars-Erik; Jense, Viktor

    2012-10-01

    During the installation of buffer and canister in a deposition hole a number of different problems can arise. The problems are mainly connected to water flow from fractures in the rock into the deposition hole. According to the reference design for the KBS-3V concept, the buffer is protected with a special sheet made of rubber during the installation phase. This protection sheet will at some stage be removed and the outer gap between the buffer blocks and the rock surface will be filled with bentonite pellets. The interaction of buffer blocks and pellets have previously been investigated. The focuses of those studies were the following processes: 1. Erosion. Erosion of bentonite from the deposition hole up into the tunnel backfill material. This process will continue until a tunnel plug has been installed and the backfill is saturated. 2. Heave. Early wetting of the pellets filling may cause a heave of the buffer blocks into the backfill that will decrease the density of the buffer. The laboratory tests presented in this study are complementing previous investigations by focusing on how the choice of manufacturing process for the bentonite blocks (isostatic or uniaxial compaction) and pellets (roller compaction or extrusion) are affecting erosion and the heaving effect

  13. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.; Capellini, G. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); Niermann, T.; Lehmann, M. [Technische Universität Berlin, Institut für Optik und Atomare Physik, Straße des 17. Juni 135, 10623 Berlin (Germany); Thapa, S. B.; Haeberlen, M.; Storck, P. [SILTRONIC AG, Hanns-Seidel-Platz 4, 81737 München (Germany); Schroeder, T. [IHP, Im Technologiepark 25, 15236 Frankfurt, Oder (Germany); BTU Cottbus, Konrad-Zuse-Str. 1, 03046 Cottbus (Germany)

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  14. Optimization of the buffer layer of a side polished fiber slab coupler based on 3 D ADI beam propagation method

    International Nuclear Information System (INIS)

    Lee, Cherl Hee; Kim, Cheol; Park, Jae Hee

    2008-01-01

    A side polished fiber slab coupler has been widely applied to a sensor, which has the advantages of short response time, simple manufacturing process, and reusability as well as in line fiber component. A new type of a side polished fiber sensor providing remote sensing with an improved performance was also recently developed. The side polished fiber slab coupler is modeled as a fiber to planar waveguide coupler with four layers, including the fiber cladding, a buffer layer, planar waveguide and overlay material. The coupling effects by the buffer layer of a side polished fiber slab coupler are analyzed by using 3 dimensional alternating direction implicit (ADI)beam propagation method, where the refractive index and thickness of the buffer layer were tuned for efficient light coupling. The coupling is easily tuned and more occurred by the refractive index and thickness of the buffer layer for efficient coupling. This study tried to optimize the buffer layer parameters for achieving the desired light coupling and power transfer performance

  15. Spin-Assisted Layer-by-Layer Assembly: Variation of Stratification as Studied with Neutron Reflectivity

    International Nuclear Information System (INIS)

    Kharlampieva, Eugenia; Kozlovskaya, Veronika; Chan, Jennifer; Ankner, John Francis; Tsukruk, Vladimir V.

    2009-01-01

    We apply neutron reflectivity to probe the internal structure of spin-assisted layer-by-layer (LbL) films composed of electrostatically assembled polyelectrolytes. We find that the level of stratification and the degree of layer intermixing can be controlled by varying the type and concentration of salt during LbL assembly. We observe well-defined layer structure in spin-assisted LbL films when deposited from salt-free solutions. These films feature 2-nm-thick bilayers, which are ∼3-fold thicker than those in dipped LbL films assembled under similar conditions. Addition of a 10mM phosphate buffer promotes progressive layer inter-diffusion with increasing distance from the substrate. However, adding 0.1M NaCl to the phosphate buffer solution restores the layer stratification. We also find that spin-assisted LbL films obtained from buffer solutions are more highly stratified as compared to the highly intermixed layers seen in dipped LbL films assembled from buffer. Our results yield new insight into the mechanism of spin-assisted LbL assembly that should prove useful for biotechnological applications.

  16. Cooptimization of Adhesion and Power Conversion Efficiency of Organic Solar Cells by Controlling Surface Energy of Buffer Layers.

    Science.gov (United States)

    Lee, Inhwa; Noh, Jonghyeon; Lee, Jung-Yong; Kim, Taek-Soo

    2017-10-25

    Here, we demonstrate the cooptimization of the interfacial fracture energy and power conversion efficiency (PCE) of poly[N-9'-heptadecanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)] (PCDTBT)-based organic solar cells (OSCs) by surface treatments of the buffer layer. The investigated surface treatments of the buffer layer simultaneously changed the crack path and interfacial fracture energy of OSCs under mechanical stress and the work function of the buffer layer. To investigate the effects of surface treatments, the work of adhesion values were calculated and matched with the experimental results based on the Owens-Wendt model. Subsequently, we fabricated OSCs on surface-treated buffer layers. In particular, ZnO layers treated with poly[(9,9-bis(3'-(N,N-dimethylamino)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)] (PFN) simultaneously satisfied the high mechanical reliability and PCE of OSCs by achieving high work of adhesion and optimized work function.

  17. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    Energy Technology Data Exchange (ETDEWEB)

    Tang, Kun, E-mail: ktang@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Huang, Shimin [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Gu, Shulin, E-mail: slgu@nju.edu.cn [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Zhu, Shunming [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Ye, Jiandong [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China); Nanjing University Institute of Optoelectronics at Yangzhou, Yangzhou 225009 (China); Xu, Zhonghua; Zheng, Youdou [Nanjing National Laboratory of Microstructures and School of Electronic Science and Engineering, Nanjing University, Nanjing 210023 (China)

    2016-12-01

    Highlights: • The growth mechanism has been revealed for the ZnO buffers with different thickness. • The surface morphology has been determined as the key factor to affect the epitaxial growth. • The relation between the hexagonal pits from buffers and epi-films has been established. • The hexagonal pits formed in the epi-films have been attributed to the V-shaped defects inheriting from the dislocations in the buffers. • The structural and electrical properties of the V-defects have been presented and analyzed. - Abstract: In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  18. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    International Nuclear Information System (INIS)

    Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou

    2016-01-01

    Highlights: • The growth mechanism has been revealed for the ZnO buffers with different thickness. • The surface morphology has been determined as the key factor to affect the epitaxial growth. • The relation between the hexagonal pits from buffers and epi-films has been established. • The hexagonal pits formed in the epi-films have been attributed to the V-shaped defects inheriting from the dislocations in the buffers. • The structural and electrical properties of the V-defects have been presented and analyzed. - Abstract: In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  19. Chemical solution deposition method of fabricating highly aligned MgO templates

    Science.gov (United States)

    Paranthaman, Mariappan Parans [Knoxville, TN; Sathyamurthy, Srivatsan [Knoxville, TN; Aytug, Tolga [Knoxville, TN; Arendt, Paul N [Los Alamos, NM; Stan, Liliana [Los Alamos, NM; Foltyn, Stephen R [Los Alamos, NM

    2012-01-03

    A superconducting article includes a substrate having an untextured metal surface; an untextured barrier layer of La.sub.2Zr.sub.2O.sub.7 or Gd.sub.2Zr.sub.2O.sub.7 supported by and in contact with the surface of the substrate; a biaxially textured buffer layer supported by the untextured barrier layer; and a biaxially textured superconducting layer supported by the biaxially textured buffer layer. Moreover, a method of forming a buffer layer on a metal substrate includes the steps of: providing a substrate having an untextured metal surface; coating the surface of the substrate with a barrier layer precursor; converting the precursor to an untextured barrier layer; and depositing a biaxially textured buffer layer above and supported by the untextured barrier layer.

  20. Atomic layer deposition of Al-incorporated Zn(O,S) thin films with tunable electrical properties

    Energy Technology Data Exchange (ETDEWEB)

    Park, Helen Hejin; Jayaraman, Ashwin; Heasley, Rachel; Yang, Chuanxi; Hartle, Lauren; Gordon, Roy G., E-mail: gordon@chemistry.harvard.edu [Harvard University, Cambridge, Massachusetts 02138 (United States); Mankad, Ravin; Haight, Richard; Gunawan, Oki [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Mitzi, David B. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States); Duke University, Durham, North Carolina 27708 (United States)

    2014-11-17

    Zinc oxysulfide, Zn(O,S), films grown by atomic layer deposition were incorporated with aluminum to adjust the carrier concentration. The electron carrier concentration increased up to one order of magnitude from 10{sup 19} to 10{sup 20} cm{sup −3} with aluminum incorporation and sulfur content in the range of 0 ≤ S/(Zn+Al) ≤ 0.16. However, the carrier concentration decreased by five orders of magnitude from 10{sup 19} to 10{sup 14} cm{sup −3} for S/(Zn+Al) = 0.34 and decreased even further when S/(Zn+Al) > 0.34. Such tunable electrical properties are potentially useful for graded buffer layers in thin-film photovoltaic applications.

  1. High-Efficiency Polycrystalline CdS/CdTe Solar Cells on Buffered Commercial TCO-Coated Glass

    Science.gov (United States)

    Colegrove, E.; Banai, R.; Blissett, C.; Buurma, C.; Ellsworth, J.; Morley, M.; Barnes, S.; Gilmore, C.; Bergeson, J. D.; Dhere, R.; Scott, M.; Gessert, T.; Sivananthan, Siva

    2012-10-01

    Multiple polycrystalline CdS/CdTe solar cells with efficiencies greater than 15% were produced on buffered, commercially available Pilkington TEC Glass at EPIR Technologies, Inc. (EPIR, Bolingbrook, IL) and verified by the National Renewable Energy Laboratory (NREL). n-CdS and p-CdTe were grown by chemical bath deposition (CBD) and close space sublimation, respectively. Samples with sputter-deposited CdS were also investigated. Initial results indicate that this is a viable dry-process alternative to CBD for production-scale processing. Published results for polycrystalline CdS/CdTe solar cells with high efficiencies are typically based on cells using research-grade transparent conducting oxides (TCOs) requiring high-temperature processing inconducive to low-cost manufacturing. EPIR's results for cells on commercial glass were obtained by implementing a high-resistivity SnO2 buffer layer and by optimizing the CdS window layer thickness. The high-resistivity buffer layer prevents the formation of CdTe-TCO junctions, thereby maintaining a high open-circuit voltage and fill factor, whereas using a thin CdS layer reduces absorption losses and improves the short-circuit current density. EPIR's best device demonstrated an NREL-verified efficiency of 15.3%. The mean efficiency of hundreds of cells produced with a buffer layer between December 2010 and June 2011 is 14.4%. Quantum efficiency results are presented to demonstrate EPIR's progress toward NREL's best-published results.

  2. Polyelectrolyte layer-by-layer deposition in cylindrical nanopores.

    Science.gov (United States)

    Lazzara, Thomas D; Lau, K H Aaron; Abou-Kandil, Ahmed I; Caminade, Anne-Marie; Majoral, Jean-Pierre; Knoll, Wolfgang

    2010-07-27

    Layer-by-layer (LbL) deposition of polyelectrolytes within nanopores in terms of the pore size and the ionic strength was experimentally studied. Anodic aluminum oxide (AAO) membranes, which have aligned, cylindrical, nonintersecting pores, were used as a model nanoporous system. Furthermore, the AAO membranes were also employed as planar optical waveguides to enable in situ monitoring of the LbL process within the nanopores by optical waveguide spectroscopy (OWS). Structurally well-defined N,N-disubstituted hydrazine phosphorus-containing dendrimers of the fourth generation, with peripherally charged groups and diameters of approximately 7 nm, were used as the model polyelectrolytes. The pore diameter of the AAO was varied between 30-116 nm and the ionic strength was varied over 3 orders of magnitude. The dependence of the deposited layer thickness on ionic strength within the nanopores is found to be significantly stronger than LbL deposition on a planar surface. Furthermore, deposition within the nanopores can become inhibited even if the pore diameter is much larger than the diameter of the G4-polyelectrolyte, or if the screening length is insignificant relative to the dendrimer diameter at high ionic strengths. Our results will aid in the template preparation of polyelectrolyte multilayer nanotubes, and our experimental approach may be useful for investigating theories regarding the partitioning of nano-objects within nanopores where electrostatic interactions are dominant. Furthermore, we show that the enhanced ionic strength dependence of polyelectrolyte transport within the nanopores can be used to selectively deposit a LbL multilayer atop a nanoporous substrate.

  3. Electrically conducting oxide buffer layers on biaxially textured nickel alloy tapes by reel-to-reel MOCVD process

    International Nuclear Information System (INIS)

    Stadel, O; Samoilenkov, S V; Muydinov, R Yu; Schmidt, J; Keune, H; Wahl, G; Gorbenko, O Yu; Korsakov, I E; Melnikov, O V; Kaul, A R

    2006-01-01

    Reel-to-reel MOCVD process for continuous growth of electrically conducting buffer layers on biaxially textured Ni5W tapes has been developed. The new buffer layer architechture is presented: 200 nm (La, Ba) 2 CuO 4 /40 nm (La, Ba)MnO 3 /Ni5W. Constituting layers with high structural quality have been grown on moving tapes (in plane FWHM ≤ 6 0 and out of plane FWHM ≤ 3 0 )

  4. Improving the Long-Term Stability of Perovskite Solar Cells with a Porous Al 2 O 3 Buffer Layer

    KAUST Repository

    Guarnera, Simone; Abate, Antonio; Zhang, Wei; Foster, Jamie M.; Richardson, Giles; Petrozza, Annamaria; Snaith, Henry J.

    2015-01-01

    © 2015 American Chemical Society. Hybrid perovskites represent a new paradigm for photovoltaics, which have the potential to overcome the performance limits of current technologies and achieve low cost and high versatility. However, an efficiency drop is often observed within the first few hundred hours of device operation, which could become an important issue. Here, we demonstrate that the electrode's metal migrating through the hole transporting material (HTM) layer and eventually contacting the perovskite is in part responsible for this early device degradation. We show that depositing the HTM within an insulating mesoporous "buffer layer" comprised of Al2O3 nanoparticles prevents the metal electrode migration while allowing for precise control of the HTM thickness. This enables an improvement in the solar cell fill factor and prevents degradation of the device after 350 h of operation. (Graph Presented).

  5. Improving the Long-Term Stability of Perovskite Solar Cells with a Porous Al 2 O 3 Buffer Layer

    KAUST Repository

    Guarnera, Simone

    2015-02-05

    © 2015 American Chemical Society. Hybrid perovskites represent a new paradigm for photovoltaics, which have the potential to overcome the performance limits of current technologies and achieve low cost and high versatility. However, an efficiency drop is often observed within the first few hundred hours of device operation, which could become an important issue. Here, we demonstrate that the electrode\\'s metal migrating through the hole transporting material (HTM) layer and eventually contacting the perovskite is in part responsible for this early device degradation. We show that depositing the HTM within an insulating mesoporous "buffer layer" comprised of Al2O3 nanoparticles prevents the metal electrode migration while allowing for precise control of the HTM thickness. This enables an improvement in the solar cell fill factor and prevents degradation of the device after 350 h of operation. (Graph Presented).

  6. Atomic layer deposition for graphene device integration

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Kessels, W.M.M.; Bol, A.A.

    2017-01-01

    Graphene is a two dimensional material with extraordinary properties, which make it an interesting material for many optical and electronic devices. The integration of graphene in these devices often requires the deposition of thin dielectric layers on top of graphene. Atomic layer deposition (ALD)

  7. Solvent effects of a dimethyldicyanoquinonediimine buffer layer as N-type material on the performance of organic photovoltaic cells.

    Science.gov (United States)

    Yang, Eui Yeol; Oh, Se Young

    2014-08-01

    In the present work, we have fabricated organic photovoltaic cells consisting of ITO/PEDOT:PSS/P3HT:PCBM/DMDCNQI/Al using a dip-coating method with various solvent systems. We have investigated solvent effects (such as solubility, viscosity and vapor pressure) in deposition of a thin DMDCNQI buffer layer on the performance of organic photovoltaic cells. The solvent system which had low viscosity and good solubility properties, made a dense and uniform DMDCNQI ultra thin film, resulting in a high performance device. In particular, a prepared organic photovoltaic cell was fabricated using a cosolvent system (methanol:methylenechloride = 3:1) and showed a maximum power conversion efficiency of 4.53%.

  8. ZnO buffer layer for metal films on silicon substrates

    Science.gov (United States)

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  9. Semi-insulating Sn-Zr-O: Tunable resistance buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Barnes, Teresa M.; Burst, James M.; Reese, Matthew O.; Perkins, Craig L. [National Renewable Energy Laboratory, Golden, Colorado 80401 (United States)

    2015-03-02

    Highly resistive and transparent (HRT) buffer layers are critical components of solar cells and other opto-electronic devices. HRT layers are often undoped transparent conducting oxides. However, these oxides can be too conductive to form an optimal HRT. Here, we present a method to produce HRT layers with tunable electrical resistivity, despite the presence of high concentrations of unintentionally or intentionally added dopants in the film. This method relies on alloying wide-bandgap, high-k dielectric materials (e.g., ZrO{sub 2}) into the host oxide to tune the resistivity. We demonstrate Sn{sub x}Zr{sub 1−x}O{sub 2}:F films with tunable resistivities varying from 0.001 to 10 Ω cm, which are controlled by the Zr mole fraction in the films. Increasing Zr suppresses carriers by expanding the bandgap almost entirely by shifting the valence-band position, which allows the HRT layers to maintain good conduction-band alignment for a low-resistance front contact.

  10. Simple solution-processed CuO{sub X} as anode buffer layer for efficient organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Wenfei [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, 189 Songling Road, Qingdao 266101 (China); Institute of Hybrid Materials, The Growing Base for State Key Laboratory, Qingdao University, 308 Ningxia Road, Qingdao 266071 (China); Yang, Chunpeng [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, 189 Songling Road, Qingdao 266101 (China); Bao, Xichang, E-mail: baoxc@qibebt.ac.cn [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, 189 Songling Road, Qingdao 266101 (China); Sun, Liang; Wang, Ning [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, 189 Songling Road, Qingdao 266101 (China); Tang, Jianguo [Institute of Hybrid Materials, The Growing Base for State Key Laboratory, Qingdao University, 308 Ningxia Road, Qingdao 266071 (China); Chen, Weichao [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, 189 Songling Road, Qingdao 266101 (China); Yang, Renqiang, E-mail: yangrq@qibebt.ac.cn [CAS Key Laboratory of Bio-based Materials, Qingdao Institute of Bioenergy and Bioprocess Technology, Chinese Academy of Sciences, 189 Songling Road, Qingdao 266101 (China)

    2015-10-15

    Graphical abstract: - Highlights: • Simple solution-processed CuO{sub X} hole transport layer for efficient organic solar cell. • Good photovoltaic performances as hole transport layer in OSCs with P3HT and PBDTTT-C as donor materials. • The device with CuO{sub X} as hole transport layer shows great improved stability compared with that of device with PEDOT:PSS as hole transport layer. - Abstract: A simple, solution-processed ultrathin CuO{sub X} anode buffer layer was fabricated for high performance organic solar cells (OSCs). XPS measurement demonstrated that the CuO{sub X} was the composite of CuO and Cu{sub 2}O. The CuO{sub X} modified ITO glass exhibit a better surface contact with the active layer. The photovoltaic performance of the devices with CuO{sub X} layer was optimized by varying the thickness of CuO{sub X} films through changing solution concentration. With P3HT:PC{sub 61}BM as the active layer, we demonstrated an enhanced PCE of 4.14% with CuO{sub X} anode buffer layer, compared with that of PEDOT:PSS layer. The CuO{sub X} layer also exhibits efficient photovoltaic performance in devices with PBDTTT-C:PC{sub 71}BM as the active layer. The long-term stability of CuO{sub X} device is better than that of PEDOT:PSS device. The results indicate that the easy solution-processed CuO{sub X} film can act as an efficient anode buffer layer for high-efficiency OSCs.

  11. Atomic-Layer-Deposited Transparent Electrodes for Silicon Heterojunction Solar Cells

    International Nuclear Information System (INIS)

    Demaurex, Benedicte; Seif, Johannes P.; Smit, Sjoerd; Macco, Bart; Kessels, W. M.; Geissbuhler, Jonas; De Wolf, Stefaan; Ballif, Christophe

    2014-01-01

    We examine damage-free transparent-electrode deposition to fabricate high-efficiency amorphous silicon/crystalline silicon heterojunction solar cells. Such solar cells usually feature sputtered transparent electrodes, the deposition of which may damage the layers underneath. Using atomic layer deposition, we insert thin protective films between the amorphous silicon layers and sputtered contacts and investigate their effect on device operation. We find that a 20-nm-thick protective layer suffices to preserve, unchanged, the amorphous silicon layers beneath. Insertion of such protective atomic-layer-deposited layers yields slightly higher internal voltages at low carrier injection levels. However, we identify the presence of a silicon oxide layer, formed during processing, between the amorphous silicon and the atomic-layer-deposited transparent electrode that acts as a barrier, impeding hole and electron collection

  12. Atomic and molecular layer deposition for surface modification

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Sievänen, Jenni; Salo, Erkki; Heikkilä, Pirjo; Kenttä, Eija [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland); Johansson, Leena-Sisko, E-mail: leena-sisko.johansson@aalto.fi [Aalto University, School of Chemical Technology, Department of Forest Products Technology, PO Box 16100, FI‐00076 AALTO (Finland); Koskinen, Jorma T.; Harlin, Ali [VTT Technical Research Centre of Finland, PO Box 1000, FI‐02044 VTT (Finland)

    2014-06-01

    Atomic and molecular layer deposition (ALD and MLD, respectively) techniques are based on repeated cycles of gas–solid surface reactions. A partial monolayer of atoms or molecules is deposited to the surface during a single deposition cycle, enabling tailored film composition in principle down to molecular resolution on ideal surfaces. Typically ALD/MLD has been used for applications where uniform and pinhole free thin film is a necessity even on 3D surfaces. However, thin – even non-uniform – atomic and molecular deposited layers can also be used to tailor the surface characteristics of different non-ideal substrates. For example, print quality of inkjet printing on polymer films and penetration of water into porous nonwovens can be adjusted with low-temperature deposited metal oxide. In addition, adhesion of extrusion coated biopolymer to inorganic oxides can be improved with a hybrid layer based on lactic acid. - Graphical abstract: Print quality of a polylactide film surface modified with atomic layer deposition prior to inkjet printing (360 dpi) with an aqueous ink. Number of printed dots illustrated as a function of 0, 5, 15 and 25 deposition cycles of trimethylaluminum and water. - Highlights: • ALD/MLD can be used to adjust surface characteristics of films and fiber materials. • Hydrophobicity after few deposition cycles of Al{sub 2}O{sub 3} due to e.g. complex formation. • Same effect on cellulosic fabrics observed with low temperature deposited TiO{sub 2}. • Different film growth and oxidation potential with different precursors. • Hybrid layer on inorganic layer can be used to improve adhesion of polymer melt.

  13. Stability of nanocrystalline electrochemically deposited layers

    DEFF Research Database (Denmark)

    Pantleon, Karen; Somers, Marcel A. J.

    2009-01-01

    have different microstructure and properties compared to bulk materials and the thermodynamic non-equilibrium state of as-deposited layers frequently results in changes of the microstructure as a function of time and/or temperature. The evolving microstructure affects the functionality and reliability......The technological demand for manufacturing components with complex geometries of micrometer or sub-micrometer dimensions and ambitions for ongoing miniaturization have attracted particular attention to electrochemical deposition methods. Thin layers of electrochemically deposited metals and alloys...... of electrodeposited components, which can be beneficial, as for the electrical conductivity of copper interconnect lines, or detrimental, as for reduced strength of nickel in MEMS applications. The present work reports on in-situ studies of the microstructure stability of as-deposited nanocrystalline Cu-, Ag- and Ni...

  14. Effect of a gate buffer layer on the performance of a 4H-SiC Schottky barrier field-effect transistor

    International Nuclear Information System (INIS)

    Zhang Xianjun; Yang Yintang; Chai Changchun; Duan Baoxing; Song Kun; Chen Bin

    2012-01-01

    A lower doped layer is inserted between the gate and channel layer and its effect on the performance of a 4H-SiC Schottky barrier field-effect transistor (MESFET) is investigated. The dependences of the drain current and small signal parameters on this inserted gate-buffer layer are obtained by solving one-dimensional (1-D) and two-dimensional (2-D) Poisson's equations. The drain current and small signal parameters of the 4H-SiC MESFET with a gate-buffer layer thickness of 0.15 μm are calculated and the breakdown characteristics are simulated. The results show that the current is increased by increasing the thickness of the gate-buffer layer; the breakdown voltage is 160 V, compared with 125 V for the conventional 4H-SiC MESFET; the cutoff frequency is 27 GHz, which is higher than 20 GHz of the conventional structure due to the lower doped gate-buffer layer. (semiconductor devices)

  15. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    Science.gov (United States)

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  16. Layer-by-layer deposition of nanostructured CsPbBr3 perovskite thin films

    Science.gov (United States)

    Reshetnikova, A. A.; Matyushkin, L. B.; Andronov, A. A.; Sokolov, V. S.; Aleksandrova, O. A.; Moshnikov, V. A.

    2017-11-01

    Layer-by-layer deposition of nanostructured perovskites cesium lead halide thin films is described. The method of deposition is based on alternate immersion of the substrate in the precursor solutions or colloidal solution of nanocrystals and methyl acetate/lead nitrate solution using the device for deposition of films by SILAR and dip-coating techniques. An example of obtaining a photosensitive structure based on nanostructures of ZnO nanowires and layers of CsBbBr3 nanocrystals is also shown.

  17. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  18. Epitaxial growth of ultra-thin NbN films on AlxGa1−xN buffer-layers

    International Nuclear Information System (INIS)

    Krause, S; Meledin, D; Desmaris, V; Pavolotsky, A; Belitsky, V; Rudziński, M; Pippel, E

    2014-01-01

    The suitability of Al x Ga 1−x N epilayers to deposit onto ultra-thin NbN films has been demonstrated for the first time. High quality single-crystal films with 5 nm thickness confirmed by high resolution transmission electron microscopy (HRTEM) have been deposited in a reproducible manner by means of reactive DC magnetron sputtering at elevated temperatures and exhibit critical temperatures (T c ) as high as 13.2 K and residual resistivity ratio (RRR) ∼1 on hexagonal GaN epilayers. On increasing the Al content x in the Al x Ga 1−x N epilayer above 20%, a gradual deterioration of T c to 10 K was observed. Deposition of NbN on bare silicon substrates served as a reference and comparison. Excellent spatial homogeneity of the fabricated films was confirmed by R(T) measurements of patterned micro-bridges across the entire film area. The superconducting properties of these films were further characterized by critical magnetic field and critical current measurements. It is expected that the employment of GaN material as a buffer-layer for the deposition of ultra-thin NbN films will prospectively benefit terahertz electronics, particularly hot electron bolometer (HEB) mixers. (paper)

  19. Study of Ni2-Mn-Ga phase formation by magnetron sputtering film deposition at low temperature onto Si substrates and LaNiO3/Pb(Ti,Zr)O3 buffer

    International Nuclear Information System (INIS)

    Figueiras, F.; Rauwel, E.; Amaral, V. S.; Vyshatko, N.; Kholkin, A. L.; Soyer, C.; Remiens, D.; Shvartsman, V. V.; Borisov, P.; Kleemann, W.

    2010-01-01

    Film deposition of Ni 2 MnGa phaselike alloy by radio frequency (rf) magnetron sputtering was performed onto bare Si(100) substrates and LaNiO 3 /Pb(Ti,Zr)O 3 (LNO/PZT) ferroelectric buffer layer near room temperature. The prepared samples were characterized using conventional x-ray diffraction (XRD), superconducting quantum interference device, and electron dispersive x-ray spectroscopy from scanning electron microscope observations. The optimized films deposited under high rf power and low argon pressure present good surface quality and highly textured phase crystallization. The positioning distance between the substrate and the target-holder axis has some limited effect on the film's composition due to the specific diffusion behavior of each element in the sputtering plasma. Extended four pole high resolution XRD analysis allowed one to discriminate the intended Ni-Mn-Ga tetragonal martensitic phase induced by the (100) LNO/PZT oriented buffer. This low temperature process appears to be very promising, allowing separate control of the functional layer's properties, while trying to achieve high electromagnetoelastic coupling.

  20. Surface properties of Co-doped BaFe{sub 2}As{sub 2} thin films deposited on MgO with Fe buffer layer and CaF{sub 2} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sobota, R. [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia); Plecenik, T., E-mail: tomas.plecenik@fmph.uniba.sk [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia); Gregor, M.; Truchly, M.; Satrapinskyy, L.; Vidis, M.; Secianska, K. [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia); Kurth, F.; Holzapfel, B.; Iida, K. [Institute for Metallic Materials, IFW Dresden, PO Box 270116, D-01171 Dresden (Germany); Kus, P.; Plecenik, A. [Department of Experimental Physics, FMPI, Comenius University, 842 48 Bratislava (Slovakia)

    2014-09-01

    Highlights: • Surfaces of Co-doped Ba-122 films on various substrates were studied. • Substrate influences topography and surface conductivity distribution of the films. • Surface conductivity of Co-doped Ba-122 is highly inhomogeneous. • Point contact spectroscopy results can be affected by the surface differences. - Abstract: Surface properties of Co-doped BaFe{sub 2}As{sub 2} (Ba-122) thin films prepared by pulsed laser deposition on MgO with Fe buffer layer and CaF{sub 2} substrates were inspected by atomic force microscopy, scanning spreading resistance microscopy, scanning tunneling microscopy, X-ray photoelectron spectroscopy, auger electron spectroscopy/microscopy and point contact spectroscopy (PCS). Selected PCS spectra were fitted by extended 1D BTK model. The measurements were done on as-received as well as ion beam etched surfaces. Our results show that the substrate is considerably influencing the surface properties of the films, particularly the topography and surface conductivity distribution, what can affect results obtained by surface-sensitive techniques like PCS.

  1. Mechanical characteristics of a tool steel layer deposited by using direct energy deposition

    Science.gov (United States)

    Baek, Gyeong Yun; Shin, Gwang Yong; Lee, Eun Mi; Shim, Do Sik; Lee, Ki Yong; Yoon, Hi-Seak; Kim, Myoung Ho

    2017-07-01

    This study focuses on the mechanical characteristics of layered tool steel deposited using direct energy deposition (DED) technology. In the DED technique, a laser beam bonds injected metal powder and a thin layer of substrate via melting. In this study, AISI D2 substrate was hardfaced with AISI H13 and M2 metal powders for mechanical testing. The mechanical and metallurgical characteristics of each specimen were investigated via microstructure observation and hardness, wear, and impact tests. The obtained characteristics were compared with those of heat-treated tool steel. The microstructures of the H13- and M2-deposited specimens show fine cellular-dendrite solidification structures due to melting and subsequent rapid cooling. Moreover, the cellular grains of the deposited M2 layer were smaller than those of the H13 structure. The hardness and wear resistance were most improved in the M2-deposited specimen, yet the H13-deposited specimen had higher fracture toughness than the M2-deposited specimen and heat-treated D2.

  2. Growth modes of InN (000-1) on GaN buffer layers on sapphire

    International Nuclear Information System (INIS)

    Liu Bing; Kitajima, Takeshi; Chen Dongxue; Leone, Stephen R.

    2005-01-01

    In this work, using atomic force microscopy and scanning tunneling microscopy, we study the surface morphologies of epitaxial InN films grown by plasma-assisted molecular beam epitaxy with intervening GaN buffer layers on sapphire substrates. On smooth GaN buffer layers, nucleation and evolution of three-dimensional InN islands at various coverages and growth temperatures are investigated. The shapes of the InN islands are observed to be predominantly mesalike with large flat (000-1) tops, which suggests a possible role of indium as a surfactant. Rough GaN buffer layers composed of dense small GaN islands are found to significantly improve uniform InN wetting of the substrates, on which atomically smooth InN films are obtained that show the characteristics of step-flow growth. Scanning tunneling microscopy imaging reveals the defect-mediated surface morphology of smooth InN films, including surface terminations of screw dislocations and a high density of shallow surface pits with depths less than 0.3 nm. The mechanisms of the three-dimensional island size and shape evolution and formation of defects on smooth surfaces are considered

  3. Structure and magnetic properties of NdFeB thin films with Cr, Mo, Nb, Ta, Ti, and V buffer layers

    International Nuclear Information System (INIS)

    Jiang, H.; O'Shea, M.J.

    2000-01-01

    Layers of NdFeB of the form A(20 nm)/NdFeB(d nm)/A(20 nm) where A represents Cr, Mo, Nb, Ta, Ti, V were prepared on a silicon substrate by magnetron sputtering. The purpose is to determine how (i) the chosen buffer layer and (ii) NdFeB layer thickness d (especially d 2 Fe 14 B with no preferred crystalline orientation. Our highest coercivities occur for buffer layer elements from row five of the periodic table, 20 kOe (1600 kA/m) in a Nb buffered sample with d of 180 nm and 17 kOe (1350 kA/m) in a Mo buffered sample with d of 180 nm. Buffer layers from row four (Ti, V, and Cr) and row six (Ta) all give lower coercivities. Our largest energy product, 10.3 MG-Oe (82 kJ/m 3 ), is obtained for the Mo buffered sample. Average Nd 2 Fe 14 B crystallite size for this sample is 27 nm. Only the Cr and Ti buffered films show a large coercivity (≥2 kOe) for d of 54 nm with the Cr films showing the highest coercivity, 2.7 kOe (215 kA/m). In films subjected to a rapid thermal anneal (anneal time 30 s) we find that both the coercivity and energy product are larger than in samples subjected to a 20 min anneal. In our Nb buffered systems we obtain coercivities as high as 26.3 kOe (2090 kA/m) after a rapid thermal anneal

  4. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    Energy Technology Data Exchange (ETDEWEB)

    Li, X.; Nilsson, D.; Danielsson, Ö.; Pedersen, H.; Janzén, E.; Forsberg, U. [Department of Physics, Chemistry, and Biology (IFM), Linköping University, Linköping 58183 (Sweden); Bergsten, J.; Rorsman, N. [Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, Göteborg 41296 (Sweden)

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement shows a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.

  5. Improvement of the energy conversion efficiency of Cu(In,Ga)Se{sub 2} solar cells using an additional Zn(S,O) buffer

    Energy Technology Data Exchange (ETDEWEB)

    Choi, In-Hwan, E-mail: ihchoi@cau.ac.kr [Chung-Ang University, Department of Physics, Seoul 156-756 (Korea, Republic of); Choi, Chul-Hwan [LG Innotek, Gyeonggi-do, Ansan-si 426-791 (Korea, Republic of)

    2012-12-15

    CuInGaSe{sub 2} (CIGS) solar cells were prepared with two different buffer structures. Sample A had a single, thin CdS buffer, {approx} 25 nm in thickness, and Sample B had a very thin CdS buffer (< 5 nm thickness) with an additional Zn(S,O) buffer layer. The CIGS and CdS layers in these samples were prepared using a 3-step co-evaporation method and chemical bath deposition, respectively, whereas the additional Zn(S,O) buffer and boron (B)-doped ZnO window layer were prepared by metal organic chemical vapor deposition. The current-voltage curves, quantum efficiency, depth profile by secondary ion mass spectrometry, and transmission electron microscopy images of both samples were analyzed. Sample B showed greater open circuit voltage than Sample A, whereas the short circuit current of Sample B was less than that of Sample A. Even though the energy conversion efficiency is not markedly improved compared to the highest recorded value of each sample, it was quite obvious throughout this experiment that the additional buffer cells had higher reliability and homogeneous properties than CdS buffer cells. - Highlights: Black-Right-Pointing-Pointer CuInGaSe{sub 2} solar cells were prepared with two different buffer structures. Black-Right-Pointing-Pointer One sample had a CdS buffer only, and the other had a very thin CdS and Zn(S,O) buffer. Black-Right-Pointing-Pointer Additional Zn(S,O) buffer was prepared by metal organic chemical vapor deposition. Black-Right-Pointing-Pointer Energy conversion efficiency of the additional buffer cells was slightly improved. Black-Right-Pointing-Pointer CdS/Zn(S,O) cells had higher reliability and homogeneous properties than CdS cells.

  6. Fabrication of selenization-free superstrate-type CuInS{sub 2} solar cells based on all-spin-coated layers

    Energy Technology Data Exchange (ETDEWEB)

    Cheshme khavar, Amir Hossein [Department of Chemistry, Tarbiat Modares University, P.O. Box. 14155-4383, Gisha Bridge, Tehran (Iran, Islamic Republic of); Mahjoub, Alireza, E-mail: mahjouba@modares.ac.ir [Department of Chemistry, Tarbiat Modares University, P.O. Box. 14155-4383, Gisha Bridge, Tehran (Iran, Islamic Republic of); Samghabadi, Farnaz Safi [Physics Department, Sharif University of Technology, Tehran, 14588 (Iran, Islamic Republic of); Taghavinia, Nima, E-mail: taghavinia@sharif.edu [Physics Department, Sharif University of Technology, Tehran, 14588 (Iran, Islamic Republic of); Institute for Nanoscience and Nanotechnology, Sharif University of Technology, Tehran, 14588 (Iran, Islamic Republic of)

    2017-01-15

    Today manufacturing of high efficiency chalcogenide thin film solar cells is based on high cost vacuum-based deposition processes at high temperature (>500 °C) and in chalcogen -containing atmosphere. In this paper, we introduce a simple vacuum-free and selenization-free, solution processing for fabricating a superstrate-type CuInS{sub 2} (CIS) solar cell. The absorber, buffer and blocking layers were all deposited by spin coating of molecular precursor inks. We demonstrate the deposition of In{sub 2}S{sub 3} buffer layer by sol-gel spin casting for the first time. The rapid sintering process of CIS layer was carried out at 250 °C that is considered a very low temperature in CIGS thin-film technologies. A novel molecular-ink route to deposit In{sub 2}S{sub 3} type buffer layer is presented. For the back contact we employed carbon, deposited by simple knife coating method. Different parameters including type of buffer, thickness of absorber layer, CIS and In{sub 2}S{sub 3} annealing temperature and morphology were optimized. Our air stable simple device structure consisting of showed promising power conversion efficiency (PCE) of 2.67%. - Highlights: • This work is an effort on the fabrication of all spin-coated CIS solar cells. • A novel molecular-ink route to deposit In{sub 2}S{sub 3} type buffer layer is presented. • The best devices showed power conversion efficiency (PCE) of 2.67%.

  7. Glycine buffered synthesis of layered iron(II)-iron(III) hydroxides (green rusts)

    DEFF Research Database (Denmark)

    Yin, Weizhao; Huang, Lizhi; Pedersen, Emil Bjerglund

    2017-01-01

    Layered Fe(II)-Fe(III) hydroxides (green rusts, GRs) are efficient reducing agents against oxidizing contaminants such as chromate, nitrate, selenite, and nitroaromatic compounds and chlorinated solvents. In this study, we adopted a buffered precipitation approach where glycine (GLY) was used...

  8. Cd-free buffer layer materials on Cu2ZnSn(SxSe1-x)4: Band alignments with ZnO, ZnS, and In2S3

    Science.gov (United States)

    Barkhouse, D. Aaron R.; Haight, Richard; Sakai, Noriyuki; Hiroi, Homare; Sugimoto, Hiroki; Mitzi, David B.

    2012-05-01

    The heterojunctions formed between Cu2ZnSn(SxSe1-x)4 (CZTSSe) and three Cd-free n-type buffers, ZnS, ZnO, and In2S3, were studied using femtosecond ultraviolet photoemission and photovoltage spectroscopy. The electronic properties including the Fermi level location at the interface, band bending in the CZTSSe substrate, and valence and conduction band offsets were determined and correlated with device properties. We also describe a method for determining the band bending in the buffer layer and demonstrate this for the In2S3/CZTSSe system. The chemical bath deposited In2S3 buffer is found to have near optimal conduction band offset (0.15 eV), enabling the demonstration of Cd-free In2S3/CZTSSe solar cells with 7.6% power conversion efficiency.

  9. Deposition and Characterization of TRISO Coating Layers

    International Nuclear Information System (INIS)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun; Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk

    2008-03-01

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed

  10. Deposition and Characterization of TRISO Coating Layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Do Kyung; Kim, Min Woo; Lee, Hyeon Keun [KAIST, Daejeon (Korea, Republic of); Choi, Doo Jin; Kim, Jun Kyu; Cho, Sung Hyuk [Younsei University, Seoul (Korea, Republic of)

    2008-03-15

    Both ZrC and SiC layers are crucial layers in TRISO coated fuel particles since they prevent diffusion of fission products and provide mechanical strength for the fuel particle. However, each layer has its own defects, so the purpose of this study is to complement such defects of these layers. In this study, we carried out thermodynamic simulations before actual experiments. With these simulation results, we deposited the ZrC layers on SiC/graphite substrates through CVD process. SiC films on graphite have different microstructures which are a hemispherical angular, domed top and faceted structure at different deposition temperature, respectively. According to the microstructures of SiC, preferred orientation, hardness and elastic modules of deposited ZrC layer were changed. TRISO particles. The fracture the SiC coating layer occurred by the tensile stress due to the traditional pressure vessel failure criteria. It is important to find fracture stress of SiC coating layer by the internal pressurization test method. The finite-element analysis was carried out to obtain the empirical equation of strength evaluation. By using this empirical equation, the mechanical properties of several types of SiC coating film with different microstructure and thicknesses will discussed.

  11. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  12. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1−xN Buffer Layer

    Directory of Open Access Journals (Sweden)

    Chang-Ju Lee

    2017-07-01

    Full Text Available The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded AlxGa−xN buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded AlxGa1−xN buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10−2 A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  13. Modeling and performance analysis dataset of a CIGS solar cell with ZnS buffer layer

    Directory of Open Access Journals (Sweden)

    Md. Billal Hosen

    2017-10-01

    Full Text Available This article represents the baseline data of the several semiconductor materials used in the model of a CIGS thin film solar cell with an inclusion of ZnS buffer layer. As well, input parameters, contact layer data and operating conditions for CIGS solar cell simulation with ZnS buffer layer have been described. The schematic diagram of photovoltaic solar cell has been depicted. Moreover, the most important performance measurement graph, J-V characteristic curve, resulting from CIGS solar cell simulation has been analyzed to estimate the optimum values of fill factor and cell efficiency. These optimum results have been obtained from the open circuit voltage, short circuit current density, and the maximum points of voltage and current density generated from the cell.

  14. Buffer layer dependence of magnetoresistance effects in Co2Fe0.4Mn0.6Si/MgO/Co50Fe50 tunnel junctions

    Science.gov (United States)

    Sun, Mingling; Kubota, Takahide; Takahashi, Shigeki; Kawato, Yoshiaki; Sonobe, Yoshiaki; Takanashi, Koki

    2018-05-01

    Buffer layer dependence of tunnel magnetoresistance (TMR) effects was investigated in Co2Fe0.4Mn0.6Si (CFMS)/MgO/Co50Fe50 magnetic tunnel junctions (MTJs). Pd, Ru and Cr were selected for the buffer layer materials, and MTJs with three different CFMS thicknesses (30, 5, and 0.8 nm) were fabricated. A maximum TMR ratio of 136% was observed in the Ru buffer layer sample with a 30-nm-thick CFMS layer. TMR ratios drastically degraded for the CFMS thickness of 0.8 nm, and the values were 26% for Cr buffer layer and less than 1% for Pd and Ru buffer layers. From the annealing temperature dependence of the TMR ratios, amounts of interdiffusion and effects from the lattice mismatch were discussed.

  15. Tuning the two-dimensional electron liquid at oxide interfaces by buffer-layer-engineered redox reactions

    DEFF Research Database (Denmark)

    Chen, Yunzhong; Green, Robert J.; Sutarto, Ronny

    2017-01-01

    Polar discontinuities and redox reactions provide alternative paths to create two-dimensional electron liquids (2DELs) at oxide interfaces. Herein, we report high mobility 2DELs at interfaces involving SrTiO3 (STO) achieved using polar La7/8Sr1/8MnO3 (LSMO) buffer layers to manipulate both...... polarities and redox reactions from disordered overlayers grown at room temperature. Using resonant x-ray reflectometry experiments, we quantify redox reactions from oxide overlayers on STO as well as polarity induced electronic reconstruction at epitaxial LSMO/STO interfaces. The analysis reveals how...... these effects can be combined in a STO/LSMO/disordered film trilayer system to yield high mobility modulation doped 2DELs, where the buffer layer undergoes a partial transformation from perovskite to brownmillerite structure. This uncovered interplay between polar discontinuities and redox reactions via buffer...

  16. Vibration atomic layer deposition for conformal nanoparticle coating

    Energy Technology Data Exchange (ETDEWEB)

    Park, Suk Won; Woo Kim, Jun; Jong Choi, Hyung; Hyung Shim, Joon, E-mail: shimm@korea.ac.kr [School of Mechanical Engineering, Korea University, Seoul 136-701 (Korea, Republic of)

    2014-01-15

    A vibration atomic layer deposition reactor was developed for fabricating a conformal thin-film coating on nanosize particles. In this study, atomic layer deposition of 10–15-nm-thick Al{sub 2}O{sub 3} films was conducted on a high-surface-area acetylene black powder with particle diameters of 200–250 nm. Intense vibration during the deposition resulted in the effective separation of particles, overcoming the interparticle agglomeration force and enabling effective diffusion of the precursor into the powder chunk; this phenomenon led to the formation of a conformal film coating on the nanopowder particles. It was also confirmed that the atomic layer deposition Al{sub 2}O{sub 3} films initially grew on the high-surface-area acetylene black powder particles as discrete islands, presumably because chemisorption of the precursor and water occurred only on a few sites on the high-surface-area acetylene black powder surface. Relatively sluggish growth of the films during the initial atomic layer deposition cycles was identified from composition analysis.

  17. Characterization of hafnium oxide resistive memory layers deposited on copper by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Briggs, B.D.; Bishop, S.M. [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States); Leedy, K.D. [Air Force Research Laboratory, 2241 Avionics Circle, Wright Patterson Air Force Base, Dayton, OH 45433 (United States); Cady, N.C., E-mail: ncady@albany.edu [SUNY College of Nanoscale Science and Engineering, 255 Fuller Road, Albany, NY 12203 (United States)

    2014-07-01

    Hafnium oxide-based resistive memory devices have been fabricated on copper bottom electrodes. The HfO{sub x} active layers in these devices were deposited by atomic layer deposition (ALD) at 250 °C with tetrakis(dimethylamido)hafnium(IV) as the metal precursor and an O{sub 2} plasma as the reactant. Depth profiles of the HfO{sub x} by X-ray photoelectron spectroscopy and secondary ion mass spectroscopy revealed a copper concentration on the order of five atomic percent throughout the HfO{sub x} film. In addition to the Cu doped HfO{sub x}, a thin layer (20 nm) of Cu{sub x}O is present at the surface. This surface layer is believed to have formed during the ALD process, and greatly complicates the analysis of the switching mechanism. The resistive memory structures fabricated from the ALD HfO{sub x} exhibited non-polar resistive switching, independent of the top metal electrode (Ni, Pt, Al, Au). Resistive switching current voltage (I–V) curves were analyzed using Schottky emission and ionic hopping models to gain insight into the physical mechanisms underpinning the device behavior. During the forming process it was determined that, at voltages in excess of 2.5 V, an ionic hopping model is in good agreement with the I–V data. The extracted ion hopping distance ∼ 4 Å was within the range of interatomic spacing of HfO{sub 2} during the forming process consistent with ionic motion of Cu{sup 2+} ions. Lastly the on state I–V data was dominated at larger voltages by Schottky emission with an estimated barrier height of ∼ 0.5 eV and a refractive index of 2.59. The consequence of the Schottky emission analysis indicates the on state resistance to be a product of a Pt/Cu{sub 2}O/Cu filament(s)/Cu{sub 2}O/Cu structure. - Highlights: • HfO{sub 2} was grown via atomic layer deposition at 250 and 100 °C on Cu substrates. • A Cu{sub 2}O surface layer and Cu doping were observed in post-deposition of HfO{sub 2}. • Resistive memory devices were fabricated and

  18. Topography and stratigraphy of Martian polar layered deposits

    Science.gov (United States)

    Blasius, K. R.; Cutts, J. A.; Howard, A. D.

    1982-01-01

    The first samples of high resolution Viking Orbiter topographic and stratigraphic data for the layered polar deposits of Mars are presented, showing that these deposits are with respect to both slopes and angular relief similar to those in the south. It is also demonstrated that, in conjunction with stereophotogrammetry, photoclinometry holds promise as a tool for detailed layered deposit studies. The spring season photography, which lends itself to photoclinometric analysis, covers the entire area of the north polar deposits. Detailed tests of layered terrain evolution hypotheses will be made, upon refinement of the data by comparison with stereo data. A more promising refining technique will make use of averaging perpendicular to selected sections to enhance SNR. Local reliefs of 200-800 m, and slopes of 1-8 deg, lead to initial calculations of average layer thickness which yields results of 14-46 m, linearly correlated with slope.

  19. Patterned deposition by atmospheric pressure plasma-enhanced spatial atomic layer deposition

    NARCIS (Netherlands)

    Poodt, P.; Kniknie, B.J.; Branca, A.; Winands, G.J.J.; Roozeboom, F.

    2011-01-01

    An atmospheric pressure plasma enhanced atomic layer deposition reactor has been developed, to deposit Al2O3 films from trimethyl aluminum and an He/O2 plasma. This technique can be used for 2D patterned deposition in a single in-line process by making use of switched localized plasma sources. It

  20. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    Science.gov (United States)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  1. Controlling Piezoelectric Responses in Pb(Zr0.52Ti0.48)O3 Films through Deposition Conditions and Nanosheet Buffer Layers on Glass

    NARCIS (Netherlands)

    Nguyen, Minh D.; Houwman, Evert P.; Yuan, Huiyu; Wylie- Van Eerd, Benjamin; Dekkers, Matthijn; Koster, Gertjan; Ten Elshof, Johan E.; Rijnders, Guus

    2017-01-01

    Nanosheet Ca2Nb3O10 (CNOns) layers were deposited on ultralow expansion glass substrates by the Langmuir-Blodgett method to obtain preferential (001)-oriented growth of Pb(Zr0.52Ti0.48)O3 (PZT) thin films using pulsed laser deposition (PLD) to enhance the ferroelectric and piezoelectric properties

  2. Effect of surface pretreatment on interfacial chemical bonding states of atomic layer deposited ZrO2 on AlGaN

    International Nuclear Information System (INIS)

    Ye, Gang; Arulkumaran, Subramaniam; Ng, Geok Ing; Li, Yang; Ang, Kian Siong; Wang, Hong; Ng, Serene Lay Geok; Ji, Rong; Liu, Zhi Hong

    2015-01-01

    Atomic layer deposition (ALD) of ZrO 2 on native oxide covered (untreated) and buffered oxide etchant (BOE) treated AlGaN surface was analyzed by utilizing x-ray photoelectron spectroscopy (XPS) and high-resolution transmission electron microscopy. Evidenced by Ga–O and Al–O chemical bonds by XPS, parasitic oxidation during deposition is largely enhanced on BOE treated AlGaN surface. Due to the high reactivity of Al atoms, more prominent oxidation of Al atoms is observed, which leads to thicker interfacial layer formed on BOE treated surface. The results suggest that native oxide on AlGaN surface may serve as a protecting layer to inhibit the surface from further parasitic oxidation during ALD. The findings provide important process guidelines for the use of ALD ZrO 2 and its pre-ALD surface treatments for high-k AlGaN/GaN metal–insulator–semiconductor high electron mobility transistors and other related device applications

  3. Atomic layer deposited oxide films as protective interface layers for integrated graphene transfer

    Science.gov (United States)

    Cabrero-Vilatela, A.; Alexander-Webber, J. A.; Sagade, A. A.; Aria, A. I.; Braeuninger-Weimer, P.; Martin, M.-B.; Weatherup, R. S.; Hofmann, S.

    2017-12-01

    The transfer of chemical vapour deposited graphene from its parent growth catalyst has become a bottleneck for many of its emerging applications. The sacrificial polymer layers that are typically deposited onto graphene for mechanical support during transfer are challenging to remove completely and hence leave graphene and subsequent device interfaces contaminated. Here, we report on the use of atomic layer deposited (ALD) oxide films as protective interface and support layers during graphene transfer. The method avoids any direct contact of the graphene with polymers and through the use of thicker ALD layers (≥100 nm), polymers can be eliminated from the transfer-process altogether. The ALD film can be kept as a functional device layer, facilitating integrated device manufacturing. We demonstrate back-gated field effect devices based on single-layer graphene transferred with a protective Al2O3 film onto SiO2 that show significantly reduced charge trap and residual carrier densities. We critically discuss the advantages and challenges of processing graphene/ALD bilayer structures.

  4. Influence of Cu(In,Ga)(Se,S)2 surface treatments on the properties of 30 × 30 cm2 large area modules with atomic layer deposited Zn(O,S) buffers

    International Nuclear Information System (INIS)

    Merdes, S.; Steigert, A.; Ziem, F.; Lauermann, I.; Klenk, R.; Hergert, F.; Kaufmann, C.A.; Schlatmann, R.

    2015-01-01

    We report the effect of Cu(In,Ga)(Se,S) 2 absorber surface treatments on the properties of atomic layer deposited-Zn(O,S) buffered 30 × 30 cm 2 large area modules. The absorber is prepared by the sequential process. H 2 O and KCN solution treatments are investigated. The absorber surface treatment is found to influence significantly the open circuit voltage and the fill factor of the full modules. Light soaking related metastabilities are also found to depend on the type of treatment. While both H 2 O and KCN treatments are efficient at removing Se-oxides and Na 2 HCO 3 , the KCN treatment is found to remove additionally Ga-oxides and elemental Se that are detected on the surface of the absorber. A 30 × 30 cm 2 module aperture efficiency up to 12.3% could be achieved with KCN surface treatment of the absorber. - Highlights: • The Cu(In,Ga)(Se,S) 2 surface influences the Zn(O,S)-buffered module performance. • Surface treatment by H 2 O efficiently removes Se-oxides and sodium compounds. • KCN treatment of the absorber removes Se-oxides, Ga-oxides and elemental Se. • The devices' light soaking behavior depends on the absorber's surface chemistry. • A 30 × 30 cm 2 module efficiency of 12.3% is achieved with KCN treatment

  5. Development of Production PVD-AIN Buffer Layer System and Processes to Reduce Epitaxy Costs and Increase LED Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Cerio, Frank

    2013-09-14

    The DOE has set aggressive goals for solid state lighting (SSL) adoption, which require manufacturing and quality improvements for virtually all process steps leading to an LED luminaire product. The goals pertinent to this proposed project are to reduce the cost and improve the quality of the epitaxial growth processes used to build LED structures. The objectives outlined in this proposal focus on achieving cost reduction and performance improvements over state-of-the-art, using technologies that are low in cost and amenable to high efficiency manufacturing. The objectives of the outlined proposal focus on cost reductions in epitaxial growth by reducing epitaxy layer thickness and hetero-epitaxial strain, and by enabling the use of larger, less expensive silicon substrates and would be accomplished through the introduction of a high productivity reactive sputtering system and an effective sputtered aluminum-nitride (AlN) buffer/nucleation layer process. Success of the proposed project could enable efficient adoption of GaN on-silicon (GaN/Si) epitaxial technology on 150mm silicon substrates. The reduction in epitaxy cost per cm{sup 2} using 150mm GaN-on-Si technology derives from (1) a reduction in cost of ownership and increase in throughput for the buffer deposition process via the elimination of MOCVD buffer layers and other throughput and CoO enhancements, (2) improvement in brightness through reductions in defect density, (3) reduction in substrate cost through the replacement of sapphire with silicon, and (4) reduction in non-ESD yield loss through reductions in wafer bow and temperature variation. The adoption of 150mm GaN/Si processing will also facilitate significant cost reductions in subsequent wafer fabrication manufacturing costs. There were three phases to this project. These three phases overlap in order to aggressively facilitate a commercially available production GaN/Si capability. In Phase I of the project, the repeatability of the performance

  6. Improvement of the surface morphology of a-plane InN using low-temperature InN buffer layers

    International Nuclear Information System (INIS)

    Shikata, G.; Hirano, S.; Inoue, T.; Hijikata, Y.; Orihara, M.; Yaguchi, H.; Yoshida, S.

    2008-01-01

    We report on the improvement of the surface morphology of a-plane InN films grown by RF molecular beam epitaxy. By using low-temperature (LT) InN buffer layers, we could successfully obtain InN films with a smooth surface. The full width at half maximum values of the X-ray diffraction (11-20) rocking curve along the [0001]InN direction were 2870 arcsec and 3410 arcsec for a-plane InN samples grown at 500 C with and without LT-InN buffer layers, respectively. Thus, we could improve also the crystalline quality of a-plane InN films by using LT-InN buffer layers. We observed strong polarization anisotropy in the photoluminescence spectra of a-plane InN, which is typical of nonpolar wurtzite III-nitride films. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Feasibility study of using thin aluminum nitride film as a buffer layer for dual metal gate process

    International Nuclear Information System (INIS)

    Park, Chang Seo; Cho, Byung Jin; Balasubramanian, N.; Kwong, Dim-Lee

    2004-01-01

    We evaluated the feasibility of using an ultra thin aluminum nitride (AlN) buffer layer for dual metal gates CMOS process. Since the buffer layer should not affect the thickness of gate dielectric, it should be removed or consumed during subsequent process. In this work, it was shown that a thin AlN dielectric layer would be reacted with initial gate metals and would be consumed during subsequent annealing, resulting in no increase of equivalent oxide thickness (EOT). The reaction of AlN layer with tantalum (Ta) and hafnium (Hf) during subsequent annealing, which was confirmed with X-ray photoelectron spectroscopy (XPS) analysis, shifted the flat-band voltage of AlN buffered MOS capacitors. No contribution to equivalent oxide thickness (EOT) was also an indication showing the full consumption of AIN, which was confirmed with TEM analysis. The work functions of gate metals were modulated through the reaction, suggesting that the consumption of AlN resulted in new thin metal alloys. Finally, it was found that the barrier heights of the new alloys were consistent with their work functions

  8. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  9. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  10. A Review of Atomic Layer Deposition for Nanoscale Devices

    Directory of Open Access Journals (Sweden)

    Edy Riyanto

    2012-12-01

    Full Text Available Atomic layer deposition (ALD is a thin film growth technique that utilizes alternating, self-saturation chemical reactions between gaseous precursors to achieve a deposited nanoscale layers. It has recently become a subject of great interest for ultrathin film deposition in many various applications such as microelectronics, photovoltaic, dynamic random access memory (DRAM, and microelectromechanic system (MEMS. By using ALD, the conformability and extreme uniformity of layers can be achieved in low temperature process. It facilitates to be deposited onto the surface in many variety substrates that have low melting temperature. Eventually it has advantages on the contribution to the wider nanodevices.

  11. Fabrication of GaN epitaxial thin film on InGaZnO4 single-crystalline buffer layer

    International Nuclear Information System (INIS)

    Shinozaki, Tomomasa; Nomura, Kenji; Katase, Takayoshi; Kamiya, Toshio; Hirano, Masahiro; Hosono, Hideo

    2010-01-01

    Epitaxial (0001) films of GaN were grown on (111) YSZ substrates using single-crystalline InGaZnO 4 (sc-IGZO) lattice-matched buffer layers by molecular beam epitaxy with a NH 3 source. The epitaxial relationships are (0001) GaN //(0001) IGZO //(111) YSZ in out-of-plane and [112-bar 0] GaN //[112-bar 0] IGZO //[11-bar 0] YSZ in in-plane. This is different from those reported for GaN on many oxide crystals; the in-plane orientation of GaN crystal lattice is rotated by 30 o with respect to those of oxide substrates except for ZnO. Although these GaN films showed relatively large tilting and twisting angles, which would be due to the reaction between GaN and IGZO, the GaN films grown on the sc-IGZO buffer layers exhibited stronger band-edge photoluminescence than GaN grown on a low-temperature GaN buffer layer.

  12. Deuterium trapping in tungsten deposition layers formed by deuterium plasma sputtering

    International Nuclear Information System (INIS)

    Alimov, V.Kh.; Roth, J.; Shu, W.M.; Komarov, D.A.; Isobe, K.; Yamanishi, T.

    2010-01-01

    A study of the influence of the deposition conditions on the surface morphology and deuterium (D) concentration in tungsten (W) deposition layers formed by magnetron sputtering and in the linear plasma generator has been carried out. Thick W layers (≥0.4 μm) deposited onto copper substrates demonstrate areas of pilling and, after post-deposition heating to 1300 K, flaking-off and fracturing. For thin W layers (≤80 nm) deposited onto stainless steel (SS) and W substrates, no areas of flaking-off and fracturing exist both after deposition and after post-deposition heating to 673 K for the SS substrate and to 1300 K for the W substrate. The concentration of deuterium in the W layers was found to decrease with increasing substrate temperature and with increasing tungsten deposition rate. For layers with relatively high concentration of oxygen (0.20-0.60 O/W), a decrease of the D concentration with increasing substrate temperature is more pronounced than that for layers deposited in good vacuum conditions. To describe the evolution of the D/W ratio with the substrate temperature and the tungsten deposition rate, an empirical equation proposed by De Temmerman and Doerner [J. Nucl. Mater. 389 (2009) 479] but with alternative parameters has been used.

  13. Efficiency enhancement of polymer solar cells by applying poly(vinylpyrrolidone) as a cathode buffer layer via spin coating or self-assembly.

    Science.gov (United States)

    Wang, Haitao; Zhang, Wenfeng; Xu, Chenhui; Bi, Xianghong; Chen, Boxue; Yang, Shangfeng

    2013-01-01

    A non-conjugated polymer poly(vinylpyrrolidone) (PVP) was applied as a new cathode buffer layer in P3HT:PCBM bulk heterojunction polymer solar cells (BHJ-PSCs), by means of either spin coating or self-assembly, resulting in significant efficiency enhancement. For the case of incorporation of PVP by spin coating, power conversion efficiency (PCE) of the ITO/PEDOT:PSS/P3HT:PCBM/PVP/Al BHJ-PSC device (3.90%) is enhanced by 29% under the optimum PVP spin-coating speed of 3000 rpm, which leads to the optimum thickness of PVP layer of ~3 nm. Such an efficiency enhancement is found to be primarily due to the increase of the short-circuit current (J(sc)) (31% enhancement), suggesting that the charge collection increases upon the incorporation of a PVP cathode buffer layer, which originates from the conjunct effects of the formation of a dipole layer between P3HT:PCBM active layer and Al electrodes, the chemical reactions of PVP molecules with Al atoms, and the increase of the roughness of the top Al film. Incorporation of PVP layer by doping PVP directly into the P3HT:PCBM active layer leads to an enhancement of PCE by 13% under the optimum PVP doping ratio of 3%, and this is interpreted by the migration of PVP molecules to the surface of the active layer via self-assembly, resulting in the formation of the PVP cathode buffer layer. While the formation of the PVP cathode buffer layer is fulfilled by both fabrication methods (spin coating and self-assembly), the dependence of the enhancement of the device performance on the thickness of the PVP cathode buffer layer formed by self-assembly or spin coating is different, because of the different aggregation microstructures of the PVP interlayer.

  14. Long length coated conductor fabrication by inclined substrate deposition and evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Prusseit, W [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Hoffmann, C [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Nemetschek, R [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Sigl, G [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Handke, J [THEVA Duennschichttechnik GmbH, Rote-Kreuz-Str. 8, 85737 Ismaning (Germany); Luemkemann, A [Technical University Munich, James- Franck-Str. 1, 85748 Garching (Germany); Kinder, H [Technical University Munich, James- Franck-Str. 1, 85748 Garching (Germany)

    2006-06-01

    The commercial development of coated conductors is rapidly progressing. As a result we present an economic route to produce second generation HTS tape from the initial substrate preparation to the final metal coating. The most important and technically challenging steps are the deposition of an oriented buffer layer and the superconductor film in a reel-to-reel configuration. New evaporation techniques have been developed to enable reliable, high rate tape coating. Highly oriented MgO - buffer layers are realized by inclined substrate deposition (ISD) and DyBCO is deposited by simple e-gun evaporation yielding critical currents beyond 200 A/cm. Coated conductors have been fabricated up to 40 m length and are currently tested in a variety of applications.

  15. Atomic layer deposition for semiconductors

    CERN Document Server

    Hwang, Cheol Seong

    2014-01-01

    This edited volume discusses atomic layer deposition (ALD) for all modern semiconductor devices, moving from the basic chemistry of ALD and modeling of ALD processes to sections on ALD for memories, logic devices, and machines.

  16. Enhancement in (BHmax of PLD-made isotropic Nd-Fe-B thick film magnets deposited on Si substrates

    Directory of Open Access Journals (Sweden)

    M. Nakano

    2017-05-01

    Full Text Available Increase in Nd contents of a PLD-made isotropic Nd-Fe-B thick-film magnet enabled us to enhance the thickness of the film magnet deposited on a Si substrate because the linear expansion coefficient of Nd is an intermediate value between Nd2Fe14B and Si. The large amount of Nd, however, degraded the residual magnetic polarization and (BHmax. In the study, we reduced the Nd contents of each Nd-Fe-B film by inserting a Nd or a Nd-rich Nd-Fe-B buffer layer between a Nd-Fe-B film and a Si substrate in order to suppress the mechanical destruction together with the improvement in magnetic properties. It was found that the mechanical property of a Nd-Fe-B film comprising the Nd-Fe-B buffer layer in the thickness range from 10 to 60 μm was superior than that of a sample with the Nd buffer layer. Resultantly, an average (BHmax value of Nd-Fe-B films with each Nd-Fe-B buffer layer deposited on Si substrates could be enhanced by approximately 15 kJ/m3 compared to that of non-buffer-layered films.

  17. Improved interface properties of yttrium oxide buffer layer on silicon substrate for ferroelectric random access memory applications

    International Nuclear Information System (INIS)

    Lim, Dong-Gun; Kwak, Dong-Joo; Yi Junsin

    2002-01-01

    In this paper, we report upon an investigation into the feasibility of Y 2 O 3 films as buffer layers for metal ferroelectric insulator semiconductor type capacitors. Buffer layers were prepared by a two-step process of low temperature film growth using the RF reactive magnetron sputtering method and subsequent rapid thermal annealing. By applying an yttrium metal seed layer of 4 nm, unwanted SiO 2 layer generation was successfully suppressed at the interface between the buffer layer and the Si substrate. Increasing the post-annealing temperature above 700 deg. C reduced the surface roughness of the Y 2 O 3 films, and increasing the O 2 partial pressure from 10 to 20% increased the surface roughness from 4.0 to 15.1 nm. The Y 2 O 3 films, prepared using an O 2 partial pressure of 20% and annealed at 900 deg. C, exhibited the best surface roughness characteristics of the samples studied. For a substrate temperature above 400 deg. C and an O 2 partial pressure of 20%, we observed that a cubic Y 2 O 3 phase dominated the X-ray diffraction spectra. The lowest lattice mismatch achieved between the Y 2 O 3 film and the Si substrate was 1.75%. By using a two-step process, we reduced the leakage current density of Y 2 O 3 films by two orders of magnitude and the D it to as low as 8.72x10 10 cm -2 eV -1 . A Y 2 O 3 buffer layer grown at 400 deg. C in a 20% O 2 partial pressure and rapidly annealed at 900 deg. C in an oxygen enviroment exhibited the best overall properties for a single transistor ferroelectric random access memory

  18. Improvement of the interfacial Dzyaloshinskii-Moriya interaction by introducing a Ta buffer layer

    NARCIS (Netherlands)

    Kim, N.H.; Han, D.S.; Jung, J.; Cho, J.; Kim, J.S.; Swagten, H.J.M.; You, C.Y.

    2015-01-01

    We report systematic measurements of the interfacial Dzyaloshinskii-Moriya interaction (iDMI) by employing Brillouin light scattering in Pt/Co/AlOx and Ta/Pt/Co/AlOx structures. By introducing a tantalum buffer layer, the saturation magnetization and the interfacial perpendicular magnetic anisotropy

  19. Comparison of stress in single and multiple layer depositions of plasma-deposited amorphous silicon dioxide

    International Nuclear Information System (INIS)

    Au, V; Charles, C; Boswell, R W

    2006-01-01

    The stress in a single-layer continuous deposition of amorphous silicon dioxide (SiO 2 ) film is compared with the stress within multiple-layer intermittent or 'stop-start' depositions. The films were deposited by helicon activated reactive evaporation (plasma assisted deposition with electron beam evaporation source) to a 1 μm total film thickness. The relationships for stress as a function of film thickness for single, two, four and eight layer depositions have been obtained by employing the substrate curvature technique on a post-deposition etch-back of the SiO 2 film. At film thicknesses of less than 300 nm, the stress-thickness relationships clearly show an increase in stress in the multiple-layer samples compared with the relationship for the single-layer film. By comparison, there is little variation in the film stress between the samples when it is measured at 1 μm film thickness. Localized variations in stress were not observed in the regions where the 'stop-start' depositions occurred. The experimental results are interpreted as a possible indication of the presence of unstable, strained Si-O-Si bonds in the amorphous SiO 2 film. It is proposed that the subsequent introduction of a 'stop-start' deposition process places additional strain on these bonds to affect the film structure. The experimental stress-thickness relationships were reproduced independently by assuming a linear relationship between the measured bow and film thickness. The constants of the linear model are interpreted as an indication of the density of the amorphous film structure

  20. Local deposition of high-purity Pt nanostructures by combining electron beam induced deposition and atomic layer deposition

    NARCIS (Netherlands)

    Mackus, A.J.M.; Mulders, J.J.L.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2010-01-01

    An approach for direct-write fabrication of high-purity platinum nanostructures has been developed by combining nanoscale lateral patterning by electron beam induced deposition (EBID) with area-selective deposition of high quality material by atomic layer deposition (ALD). Because virtually pure,

  1. Deposits of the Peruvian Pisco Formation compared to layered deposits on Mars

    Science.gov (United States)

    Sowe, M.; Bishop, J. L.; Gross, C.; Walter, S.

    2013-09-01

    Deposits of the Peruvian Pisco Formation are morphologically similar to the mounds of Juventae Chasma at the equatorial region on Mars (Fig. 1). By analyzing these deposits, we hope to gain information about the environmental conditions that prevailed during sediment deposition and erosion, hence conditions that might be applicable to the Martian layered and hydrated deposits. Mariner 9 data of the Martian mid-latitudes have already shown evidence of the wind-sculptured landforms that display the powerful prevailing eolian regime [1]. In addition, [2] reported on similarities between Martian erosional landforms and those of the rainless coastal desert of central Peru from the Paracas peninsula to the Rio Ica. As indicated by similar erosional patterns, hyper-arid conditions and unidirectional winds must have dominated at least after deposition of the sediments, which are intermixed volcaniclastic materials and evaporate minerals at both locations. Likewise, variations in composition are displayed by alternating layers of different competence. The Pisco formation bears yardangs on siltstones, sandstones and clays with volcaniclastic admixtures [3] whereas the presence of sulphate minerals and the omnipresent mafic mineralogy has been reported for the layered mounds of Juventae Chasma equally [4]. Likewise, a volcanic airfall deposition and lacustrine formation have been proposed for the sulphate-rich deposits of Juventae Chasma [5,6]. In order to find out about potential spectral similarities, we performed a detailed spectral analysis of the surface by using LANDSAT and Advanced Spaceborne Thermal Emission and Reflection Radiometer (ASTER) VNIR/ SWIR data (visible to near-infrared and shortwave infrared region).

  2. Effects of Cr underlayer and Pt buffer layer on the interfacial structure and magnetic characteristics of sputtered FePt films

    International Nuclear Information System (INIS)

    Sun, A.-C.; Hsu, J.-H.; Huang, H.L.; Kuo, P.C.

    2006-01-01

    This work develops a new method for growing L1 0 FePt(0 0 1) thin film on a Pt/Cr bilayer using an amorphous glass substrate. Semi-coherent epitaxial growth was initiated from the Cr(0 0 2) underlayer, continued through the Pt(0 0 1) buffer layer, and extended into the L1 0 FePt(0 0 1) magnetic layer. The squareness of the L1 0 FePt film in the presence of both a Cr underlayer and a Pt buffer layer was close to unity as the magnetic field was applied perpendicular to the film plane. The single L1 0 FePt(1 1 1) orientation was observed in the absence of a Cr underlayer. When a Cr underlayer is inserted, the preferred orientation switched from L1 0 FePt(1 1 1) to L1 0 FePt(0 0 1) and the magnetic film exhibited perpendicular magnetic anisotropy. However, in the absence of an Pt intermediate layer, the Cr atoms diffused directly into the FePt magnetic layer and prevented the formation of the L1 0 FePt(0 0 1) preferred orientation. When a Pt buffer layer was introduced between the FePt and Cr underlayer, the L1 0 FePt(0 0 1) peak appeared. The thickness of the Pt buffer layer also substantially affected the magnetic properties and atomic arrangement at the FePt/Pt and Pt/Cr interfaces

  3. Development of Buffer Layer Technologies for LWIR and VLWIR HgCdTe Integration on Si

    National Research Council Canada - National Science Library

    Golding, Terry D

    2005-01-01

    This program proposed to develop manufacturable, cost-effective buffer layer technologies that would allow either hybrid or monolithic integration of LWIR and VLWIR HgCdTe infrared focal plane arrays...

  4. An evaluation of phase separated, self-assembled LaMnO3-MgO nanocomposite films directly on IBAD-MgO as buffer layers for flux pinning enhancements in YBa2YCu3O7-& coated conductors

    Energy Technology Data Exchange (ETDEWEB)

    Polat, Ozgur [ORNL; Aytug, Tolga [ORNL; Paranthaman, Mariappan Parans [ORNL; Leonard, Keith J [ORNL; Lupini, Andrew R [ORNL; Pennycook, Stephen J [ORNL; Meyer III, Harry M [ORNL; Kim, Kyunghoon [ORNL; Qiu, Xiaofeng [ORNL; Cook, Sylvester W [ORNL; Thompson, James R [ORNL; Christen, David K [ORNL; Goyal, Amit [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2010-01-01

    Technological applications of high temperature superconductors (HTS) require high critical current density, Jc, under operation at high magnetic field strengths. This requires effective flux pinning by introducing artificial defects through creative processing. In this work, we evaluated the feasibility of mixed-phase LaMnO3:MgO (LMO:MgO) films as a potential cap buffer layer for the epitaxial growth and enhanced performance of YBa2Cu3O7-d (YBCO) films. Such composite films were sputter deposited directly on IBAD-MgO templates (with no additional homo-epitaxial MgO layer) and revealed the formation of two phase-separated, but at the same time vertically aligned, self-assembled composite nanostructures that extend throughout the entire thickness of the film. The YBCO coatings deposited on these nanostructured cap layers showed correlated c-axis pinning and improved in-field Jc performance compared to those of YBCO films fabricated on standard LMO buffers. Microstructural characterization revealed additional extended disorder in the YBCO matrix. The present results demonstrate the feasibility of novel and potentially practical approaches in the pursuit of more efficient, economical, and high performance superconducting devices.

  5. Atomic layer deposition of alternative glass microchannel plates

    Energy Technology Data Exchange (ETDEWEB)

    O' Mahony, Aileen, E-mail: aom@incomusa.com; Craven, Christopher A.; Minot, Michael J.; Popecki, Mark A.; Renaud, Joseph M.; Bennis, Daniel C.; Bond, Justin L.; Stochaj, Michael E.; Foley, Michael R.; Adams, Bernhard W. [Incom, Inc., 294 Southbridge Road, Charlton, Massachusetts 01507 (United States); Mane, Anil U.; Elam, Jeffrey W. [Argonne National Laboratory, 9700 S. Cass Ave., Argonne, Illinois 60439 (United States); Ertley, Camden; Siegmund, Oswald H. W. [Space Sciences Laboratory, University of California, 7 Gauss Way, Berkeley, California 94720 (United States)

    2016-01-15

    The technique of atomic layer deposition (ALD) has enabled the development of alternative glass microchannel plates (MCPs) with independently tunable resistive and emissive layers, resulting in excellent thickness uniformity across the large area (20 × 20 cm), high aspect ratio (60:1 L/d) glass substrates. Furthermore, the use of ALD to deposit functional layers allows the optimal substrate material to be selected, such as borosilicate glass, which has many benefits compared to the lead-oxide glass used in conventional MCPs, including increased stability and lifetime, low background noise, mechanical robustness, and larger area (at present up to 400 cm{sup 2}). Resistively stable, high gain MCPs are demonstrated due to the deposition of uniform ALD resistive and emissive layers on alternative glass microcapillary substrates. The MCP performance characteristics reported include increased stability and lifetime, low background noise (0.04 events cm{sup −2} s{sup −1}), and low gain variation (±5%)

  6. Electrical and piezoelectric properties of BiFeO3 thin films grown on SrxCa1−xRuO3-buffered SrTiO3 substrates

    KAUST Repository

    Yao, Yingbang

    2012-06-01

    (001)-oriented BiFeO 3 (BFO) thin films were grown on Sr xCa 1-xRuO 3- (SCRO; x = 1, 0.67, 0.33, 0) buffered SrTiO 3 (001) substrates using pulsed laser deposition. The microstructural, electrical, ferroelectric, and piezoelectric properties of the thin films were considerably affected by the buffer layers. The interface between the BFO films and the SCRO-buffer layer was found to play a dominant role in determining the electrical and piezoelectric behaviors of the films. We found that films grown on SrRuO 3-buffer layers exhibited minimal electrical leakage while films grown on Sr 0.33Ca 0.67RuO 3-buffer layers had the largest piezoelectric response. The origin of this difference is discussed. © 2012 American Institute of Physics.

  7. Stratigraphy and erosional landforms of layered deposits in Valles Marineris, Mars

    Science.gov (United States)

    Komatsu, G.; Geissler, P. E.; Strom, R. G.; Singer, R. B.

    1993-01-01

    Satellite imagery is used to identify stratigraphy and erosional landforms of 13 layered deposits in the Valles Marineris region of Mars (occurring, specifically, in Gangis, Juventae, Hebes, Ophir-Candor, Melas, and Capri-Eos Chasmata), based on albedo and erosional styles. Results of stratigraphic correlations show that the stratigraphy of layered deposits in the Hebes, Juventae, and Gangis Chasmata are not well correlated, indicating that at least these chasmata had isolated depositional environments resulting in different stratigraphic sequences. On the other hand, the layered deposits in Ophir-Candor and Melas Chasmata appear to have been connected in each chasma. Some of the layered deposits display complexities which indicate changes in space and time in the dominant source materials.

  8. Nature of Y1Ba2Cu3O7 nucleation centers on ceria buffers

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F; Li Qiang; Qing Jie; Zhou Juan; Develos-Bagarinao, Katherine

    2010-01-01

    The purpose of this study is to enhance properties of the second-generation wires by increasing the density of Y 1 Ba 2 Cu 3 O 7 (YBCO) nucleation centers on the surface of the ceria buffer. To identify the nature of the nucleation centers, we compare nucleation kinetics and performance of YBCO layers deposited by the metal-organic process on a standard RABiTS tape to YBCO on ceria buffers with well-controlled structure and surface morphology. The structure of the YBCO layer at the early stage of nucleation and growth is determined by high-flux synchrotron x-ray diffraction. It is shown that the best buffers exhibit high YBCO nucleation rates and produce YBCO nuclei with the least cation disorder. The high YBCO nucleation rate is associated with a high density of threading dislocation outcrops. A strategy for buffer optimization is identified.

  9. Finding the lost open-circuit voltage in polymer solar cells by UV-ozone treatment of the nickel acetate anode buffer layer.

    Science.gov (United States)

    Wang, Fuzhi; Sun, Gang; Li, Cong; Liu, Jiyan; Hu, Siqian; Zheng, Hua; Tan, Zhan'ao; Li, Yongfang

    2014-06-25

    Efficient polymer solar cells (PSCs) with enhanced open-circuit voltage (Voc) are fabricated by introducing solution-processed and UV-ozone (UVO)-treated nickel acetate (O-NiAc) as an anode buffer layer. According to X-ray photoelectron spectroscopy data, NiAc partially decomposed to NiOOH during the UVO treatment. NiOOH is a dipole species, which leads to an increase in the work function (as confirmed by ultraviolet photoemission spectroscopy), thus benefitting the formation of ohmic contact between the anode and photoactive layer and leading to increased Voc. In addition, the UVO treatment improves the wettability between the substrate and solvent of the active layer, which facilitates the formation of an upper photoactive layer with better morphology. Further, the O-NiAc layer can decrease the series resistance (Rs) and increase the parallel resistance (Rp) of the devices, inducing enhanced Voc in comparison with the as-prepared NiAc-buffered control devices without UVO treatment. For PSCs based on the P3HT:PCBM system, Voc increases from 0.50 to 0.60 V after the NiAc buffer layer undergoes UVO treatment. Similarly, in the P3HT:ICBA system, the Voc value of the device with a UVO-treated NiAc buffer layer increases from 0.78 to 0.88 V, showing an enhanced power conversion efficiency of 6.64%.

  10. White OLED with high stability and low driving voltage based on a novel buffer layer MoOx

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Xueyin [School of Materials Science and Engineering, Shanghai University, Jiading, Shanghai 201800 (China); Zhang Zhilin [School of Materials Science and Engineering, Shanghai University, Jiading, Shanghai 201800 (China); Cao Jin [Key Laboratory of Advanced Display and System Application, Ministry of Education, Shanghai University, Shanghai 200072 (China); Khan, M A [School of Materials Science and Engineering, Shanghai University, Jiading, Shanghai 201800 (China); Khizar-ul-Haq [School of Materials Science and Engineering, Shanghai University, Jiading, Shanghai 201800 (China); Zhu Wenqing [School of Materials Science and Engineering, Shanghai University, Jiading, Shanghai 201800 (China)

    2007-09-21

    White organic light emitting diodes (WOLEDs) with copper phthalocyanine (CuPc), 4,4',4-prime-tris(N-3-methylphenyl-N-phenyl-amino) triphenylamine (m-MTDATA), tungsten oxide (WO{sub 3}) and molybdenum oxide (MoOx) as buffer layers have been investigated. The MoOx based device shows superior performance with low driving voltage, high power efficiency and much longer lifetime than those with other buffer layers. For the Cell using MoOx as buffer layer and 4,7-diphenyl-1,10-phenanthroline (Bphen) as electron transporting layer (ETL), at the luminance of 1000 cd m{sup -2}, the driving voltage is 4.9 V, which is 4.2 V, 2 V and 0.7 V lower than that of the devices using CuPc (Cell-CuPc), m-MTDATA (Cell-m-MTDATA) and WO{sub 3} (Cell-WO{sub 3}) as buffer layers, respectively. Its power efficiency is 7.67 Lm W{sup -1}, which is 2.37 times higher than that of Cell-CuPc and a little higher than that of Cell-m-MTDATA. The projected half-life under the initial luminance of 100 cd m{sup -2} is 55 260 h, which is more than 4.6 times longer than that of Cell-m-MTDATA and Cell-CuPc. The superior performance of Cell-MoOx is attributed to its high hole injection ability and the stable interface between MoOx and organic material. The work function of MoOx has been measured by the contact potential difference method. The J-V curves of 'hole-only' devices indicate that a small hole injection barrier between MoOx/N,'-bis(naphthalene-1-y1)-N, N'-bis(phenyl)-benzidine (NPB) leads to a strong hole injection, resulting in a low driving voltage and a high stability.

  11. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  12. Chitosan Derivatives/Calcium Carbonate Composite Capsules Prepared by the Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Takashi Sasaki

    2008-01-01

    Full Text Available Core/shell capsules composed of calcium carbonate whisker core (rod-like shape and chitosan/chitosansulfate shell were prepared by the layer-by-layer deposition technique. Two chitosan samples of different molecular weights (Mw=9.7×104 and 1.09×106g·mol-1 were used as original materials. Hollow capsules were also obtained by dissolution of the core in hydrochloric acid. Electron microscopy revealed that the surface of the shell is rather ragged associated with some agglomerates. The shell thickness l obeys a linear relation with respect to the number of deposited layers m as l=md+a(a>0. The values of d (thickness per layer were 4.0 and 1.0 nm for the higher and lower Mw chitosan materials, respectively, both of which are greater than the thickness of the monolayer. The results suggest that the feature of the deposition does not obey an ideal homogeneous monolayer-by-monolayer deposition mechanism. Shell crosslinked capsules were also prepared via photodimerization reaction of cinnamoyl groups after a deposition of cinnamoyl chitosan to the calcium carbonate whisker core. The degree of crosslink was not enough to stabilize the shell structure, and hollow capsule was not obtained.

  13. Organometallic tris(8-hydroxyquinoline)aluminum complexes as buffer layers and dopants in inverted organic solar cells

    International Nuclear Information System (INIS)

    Tolkki, Antti; Kaunisto, Kimmo; Heiskanen, Juha P.; Omar, Walaa A.E.; Huttunen, Kirsi; Lehtimäki, Suvi; Hormi, Osmo E.O.; Lemmetyinen, Helge

    2012-01-01

    Tris(8-hydroxyquinoline)aluminum (Alq 3 ) is a frequently used material for organic light emitting diodes. The electronic properties and solubility can be tuned by chemical tailoring of the quinoline part, which makes it an interesting candidate for organic solar cells. Steady-state absorption and fluorescence, as well as time-resolved fluorescence properties of the parent Alq 3 and a series of complexes consisting of derivatives, such as 4-substituted pyrazol, methylpyrazol, arylvinyl, and pyridinoanthrene moieties, of the quinoline ligand, were studied in solutions and in thin films. Suitability of the complexes as anodic buffer layers or dopants in inverted organic solar cells based on the well known bulk heterojunction of poly(3-hexylthiophene) (P3HT) and phenyl-C 61 -butyric acid methyl ester (PCBM) was tested. The devices equipped with the derivatives showed higher power conversion efficiency (η) compared to the photocells containing the parent Alq 3 . Open circuit voltage (V oc ) was increased when the derivatives were utilized as the anodic buffer layer. Doping of the P3HT:PCBM with a small amount of Alq 3 or its derivative improved short circuit current density, V oc , fill factor, and η, while the series resistance decreased. In addition, the devices were stable in air over several weeks without encapsulation. Possible mechanisms leading to the improvements in the photovoltaic performance by using the parent Alq 3 or its derivative as buffer layer or dopant are discussed. - Highlights: ► Tris(8-hydroxyquinoline)aluminum (Alq 3 ) complexes in inverted organic solar cells. ► The Alq 3 complexes were used as an anodic buffer layer and as a dopant. ► Efficiency increased and the derivatives revealed varying open circuit voltage. ► Photovoltaic performance was stable after storage in a dark ambient atmosphere.

  14. Simulating characteristics of Si/Ge tandem monolithic solar cell with Si1-xGex buffer layer

    Directory of Open Access Journals (Sweden)

    Gnilenko A. B.

    2015-12-01

    Full Text Available In spite of many efforts to propose new semiconductor materials and sophisticated constructions of solar cells, crystalline silicone remains the main photovoltaic material widely used up to now. There are various methods to enhance the efficiency of silicone solar cells. One of them is to combine silicone with an additional semiconductor material with the different bandgap to form a tandem construction. For example, the germanium sub-cell used as the bottom cascade for the silicone sub-cell in the tandem monolithic solar cell makes it possible to utilize the "red" sub-band of solar spectra increasing overall solar cell efficiency. The problem of the 4.2% mismatch in lattice constant between Si and Ge can be resolved in such a case by the use of SiGe buffer layer. In the paper the results of the computer simulation for Si/Ge tandem monolithic solar cell with Si1-xGex buffer layer are presented. In the solar cell under consideration, the step graded Si1-xGex buffer layer is located between the top silicone and the bottom germanium cascades to reduce the threading dislocation density in mismatched materials. The cascades are commutated by the use of the germanium tunnel diode between the bottom sub-cell and the buffer layer. For the solar cell modeling, the physically-based device simulator ATLAS of Silvaco TCAD software is employed to predict the electrical behavior of the semiconductor structure and to provide a deep insight into the internal physical processes. The voltage-current characteristic, photovoltaic parameters and the distribution of basic physical values are obtained for the investigated tandem solar cell. The influence of layer thicknesses on the photovoltaic parameters is studied. The calculated efficiency of the tandem solar cell reaches 13% which is a quarter more than the efficiency of a simple silicone solar cell with the same constructive parameters and under the same illumination conditions.

  15. Simple O2 Plasma-Processed V2O5 as an Anode Buffer Layer for High-Performance Polymer Solar Cells

    DEFF Research Database (Denmark)

    Bao, Xichang; Zhu, Qianqian; Wang, Ting

    2015-01-01

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating...... the illumination of AM 1.5G (100 mW/cm2). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PEDOT:PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2...... plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge...

  16. Atomic Layer Deposition of Chemical Passivation Layers and High Performance Anti-Reflection Coatings on Back-Illuminated Detectors

    Science.gov (United States)

    Hoenk, Michael E. (Inventor); Greer, Frank (Inventor); Nikzad, Shouleh (Inventor)

    2014-01-01

    A back-illuminated silicon photodetector has a layer of Al2O3 deposited on a silicon oxide surface that receives electromagnetic radiation to be detected. The Al2O3 layer has an antireflection coating deposited thereon. The Al2O3 layer provides a chemically resistant separation layer between the silicon oxide surface and the antireflection coating. The Al2O3 layer is thin enough that it is optically innocuous. Under deep ultraviolet radiation, the silicon oxide layer and the antireflection coating do not interact chemically. In one embodiment, the silicon photodetector has a delta-doped layer near (within a few nanometers of) the silicon oxide surface. The Al2O3 layer is expected to provide similar protection for doped layers fabricated using other methods, such as MBE, ion implantation and CVD deposition.

  17. Epataxial growth of the high-temperature superconductors YBa2Cu3O7-x on silicon single crystals with buffer layers

    International Nuclear Information System (INIS)

    Lubig, A.

    1991-09-01

    In this work the growth of thin films of the high-temperature superconductor YBa 2 Cu 3 O 7-x on Si(001) substrates has been investigated by Rutherford backscattering, channeling, X-ray diffraction, high resolution transmission electron microscopy, and electrical measurements. Epitaxial buffer layers of electrically insulating, pure and yttria-stabilized ZrO 2 ([Y 2 O 3 ] 0.06 [ZrO 2 ] 0.94 = YSZ) as well as of metallic CoSi 2 were employed to largely prevent the interdiffusion and chemical reaction between the superconductor film and the substrate in spite of the high deposition temperatures of the YBa 2 Cu 3 O 7-x in the range of 600 to 800deg C. (orig.)

  18. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  19. Anomalous Hall effect suppression in anatase Co:TiO2 by the insertion of an interfacial TiO2 buffer layer

    NARCIS (Netherlands)

    Lee, Y.J.; de Jong, Machiel Pieter; van der Wiel, Wilfred Gerard; Kim, Y.; Brock, J.D.

    2010-01-01

    We present the effect of introducing a TiO2 buffer layer at the SrTiO3 /Co:TiO2 interface on the magnetic and structural properties of anatase Co:TiO2 1.4 at. % Co. Inserting the buffer layer leads to suppression of the room-temperature anomalous Hall effect, accompanied by a reduced density of Co

  20. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    Directory of Open Access Journals (Sweden)

    Rui Sun

    2016-06-01

    Full Text Available We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100 substrates with a TiN buffer layer. A 50-nm-thick (200-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large IcRN product of 3.8 mV, a sharp quasiparticle current rise with a ΔVg of 0.4 mV, and a small subgap leakage current. The junction quality factor Rsg/RN was about 23 for the junction with a Jc of 47 A/cm2 and was about 6 for the junction with a Jc of 3.0 kA/cm2. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200-orientated TiN buffer layer and had a highly crystalline structure with the (200 orientation.

  1. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Rui [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Makise, Kazumasa; Terai, Hirotaka [Advanced ICT Research Institute, National Institute of Information and Communications Technology (Japan); Zhang, Lu [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); Wang, Zhen, E-mail: zwang@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology (SIMIT), Chinese Academy of Sciences (CAS), Shanghai 200050 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Shanghai Tech University, Shanghai 201210 (China)

    2016-06-15

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{sup 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.

  2. Electron beam deposition system causing little damage to organic layers

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Minoru [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan); Matsumura, Michio, E-mail: matsu@chem.es.osaka-u.ac.jp [Research Center for Solar Energy Chemistry, Osaka University, 1-3 Machikaneyama, Toyonaka, Osaka 560-8531 (Japan); Maeda, Yasuhiro [Business Incubation Department, Hitachi Zosen Corporation, 2-11 Funamachi 2-Chome, Taisho-ku, Osaka 551-0022 (Japan)

    2011-07-29

    Conditions for deposition of an aluminum (Al) layer on an organic light-emitting layer with an electron beam (EB) deposition system were optimized with respect to deposition rate and damage to organic layers. The damage to the organic layers was found to be mostly caused by X-rays emitted from a target bombarded with accelerated electrons. In order to decrease the X-ray intensity while maintaining a high deposition rate, we used an EB source which emits high-density EB at low acceleration voltage. In addition, we inserted a heat reflector and a sintered-carbon liner between the Al target and copper crucible to improve heat insulation. As a result, the voltage needed for the deposition of Al electrodes at a rate of about 8 nm/s was lowered from normal voltages of 2.0 kV or higher to as low as 1.5 kV. To reduce the number of electrons hitting the substrate, we set pole pieces near the target and an electron trap in the chamber. The devices on which Al electrodes were deposited with the EB system showed almost the same properties as those of devices on which the Al electrodes were deposited by a resistive-heating method.

  3. Electrodeposition of ZnO-doped films as window layer for Cd-free CIGS-based solar cells

    Science.gov (United States)

    Tsin, Fabien; Vénérosy, Amélie; Hildebrandt, Thibaud; Hariskos, Dimitrios; Naghavi, Negar; Lincot, Daniel; Rousset, Jean

    2016-02-01

    The Cu(In,Ga)Se2 (CIGS) thin film solar cell technology has made a steady progress within the last decade reaching efficiency up to 22.3% on laboratory scale, thus overpassing the highest efficiency for polycrystalline silicon solar cells. High efficiency CIGS modules employ a so-called buffer layer of cadmium sulfide CdS deposited by Chemical Bath Deposition (CBD), which presence and Cd-containing waste present some environmental concerns. A second potential bottleneck for CIGS technology is its window layer made of i-ZnO/ZnO:Al, which is deposited by sputtering requiring expensive vacuum equipment. A non-vacuum deposition of transparent conductive oxide (TCO) relying on simpler equipment with lower investment costs will be more economically attractive, and could increase competitiveness of CIGS-based modules with the mainstream silicon-based technologies. In the frame of Novazolar project, we have developed a low-cost aqueous solution photo assisted electrodeposition process of the ZnO-based window layer for high efficiency CIGS-based solar cells. The window layer deposition have been first optimized on classical CdS buffer layer leading to cells with efficiencies similar to those measured with the sputtered references on the same absorber (15%). The the optimized ZnO doped layer has been adapted to cadmium free devices where the CdS is replaced by chemical bath deposited zinc oxysulfide Zn(S,O) buffer layer. The effect of different growth parameters has been studied on CBD-Zn(S,O)-plated co-evaporated Cu(In,Ga)Se2 substrates provided by the Zentrum für Sonnenenergie-und Wasserstoff-Forschung (ZSW). This optimization of the electrodeposition of ZnO:Cl on CIGS/Zn(S,O) stacks led to record efficiency of 14%, while the reference cell with a sputtered (Zn,Mg)O/ZnO:Al window layer has an efficiency of 15.2%.

  4. The effect of buffer-layer on the steady-state energy release rate of a tunneling crack in a wind turbine blade joint

    DEFF Research Database (Denmark)

    Jørgensen, Jeppe Bjørn; Sørensen, Bent F.; Kildegaard, Casper

    2018-01-01

    propagation of tunneling cracks. However, for wind turbine blade relevant material combinations it is found more effective to reduce the thickness of the adhesive layer since the stiffness mismatch between the existing laminate and the adhesive is already high. The effect of material orthotropy was found......The effect of a buffer-layer on the steady-state energy release rate of a tunneling crack in the adhesive layer of a wind turbine blade joint, loaded in tension, is investigated using a parametric 2D tri-material finite element model. The idea of embedding a buffer-layer in-between the adhesive...... and the basis glass fiber laminate to improve the existing joint design is novel, but the implications hereof need to be addressed.The results show that it is advantageous to embed a buffer-layer near the adhesive with controllable thickness-and stiffness properties in order to improve the joint design against...

  5. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  6. Large-area few-layer MoS 2 deposited by sputtering

    KAUST Repository

    Huang, Jyun-Hong

    2016-06-06

    Direct magnetron sputtering of transition metal dichalcogenide targets is proposed as a new approach for depositing large-area two-dimensional layered materials. Bilayer to few-layer MoS2 deposited by magnetron sputtering followed by post-deposition annealing shows superior area scalability over 20 cm(2) and layer-by-layer controllability. High crystallinity of layered MoS2 was confirmed by Raman, photo-luminescence, and transmission electron microscopy analysis. The sputtering temperature and annealing ambience were found to play an important role in the film quality. The top-gate field-effect transistor by using the layered MoS2 channel shows typical n-type characteristics with a current on/off ratio of approximately 10(4). The relatively low mobility is attributed to the small grain size of 0.1-1 mu m with a trap charge density in grain boundaries of the order of 10(13) cm(-2).

  7. Laser detritiation and co-deposited layer characterisation for future ITER Installation

    International Nuclear Information System (INIS)

    Semerok, Alexandre; Brygo, Francois; Fomichev, Sergey V.; Champonnois, Francois; Weulersse, Jean-Marc; Thro, Pierre-Yves; Fichet, Pascal; Grisolia, Christian

    2006-01-01

    The experimental equipment in combination with pulsed Nd-YAG lasers was developed and applied to investigate co-deposited layer characterisation and ablation. Heating and ablation regimes were distinguished by ablation threshold fluence that was determined experimentally for graphite samples from TexTor (Germany) and TORE SUPRA (France) tokamaks. With 100 ns pulses, the ablation threshold for graphite substrate (2.5±0.5 J/cm 2 ) was much higher than the one for co-deposited layer (0.4±0.1 J cm -2 ). These threshold features are very promising to ensure self-controlled laser cleaning without substrate surface damage. The obtained optimal conditions (laser fluence F=1-2 J/cm 2 , 10-20 kHz repetition rate) were applied for co-deposited layer cleaning. The TexTor 50 μm thickness layer was almost completely removed after a single scanning without any damage of the graphite substrate. Cleaning rate of 0.2 m 2 /hour was demonstrated experimentally for 20 W mean laser power. A theoretical model of a complex surface heating (graphite or metal with a co-deposited layer) was developed to explain the experimental results and to obtain laser cleaning optimisation. A good agreement of the theoretical data with the experimental results was obtained. The studies on LIBS method for co-deposited layer characterisation have determined the analytical spectral lines for hydrogen, carbon, and other impurities (B, Fe, Si, and Cu) in TexTor graphite tile. The obtained results should be regarded optimistic for co-deposited layers characterisation by LIBS method. The development of certain laser methods and their application for in-situ detritiation and co-deposited layer characterisation are presented and discussed. (authors)

  8. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  9. Development of all chemical solution derived Ce0.9La0.1O2 − y/Gd2Zr2O7 buffer layer stack for coated conductors: influence of the post-annealing process on surface crystallinity

    DEFF Research Database (Denmark)

    Yue, Zhao; Li, Xiaofen; Khoryushin, Alexey

    2012-01-01

    Preparation and characterization of a biaxially textured Gd2Zr2O7 and Ce0.9La0.1O2 − y (CLO, cap)/Gd2Zr2O7 (GZO, barrier) buffer layer stack by the metal–organic deposition route are reported. YBa2Cu3O7 − d (YBCO) superconductor films were deposited by the pulsed-laser deposition (PLD) technique ...

  10. Characteristics of the epitaxy of InGaN-based light-emitting diodes grown by nanoscale epitaxial lateral overgrowth using a nitrided titanium buffer layer

    International Nuclear Information System (INIS)

    Shieh, Chen-Yu; Li, Zhen-Yu; Chang, Jenq-Yang; Chi, Gou-Chung

    2015-01-01

    In this work, a buffer layer of nitrided titanium (Ti) achieved through the nitridation of a Ti metal layer on a sapphire substrate was used for the epitaxial growth of InGaN-based light-emitting diodes (LEDs) achieved by low pressure metal-organic chemical vapor deposition. The effect of in-situ Ti metal nitridation on the performance of these InGaN-based LEDs was then investigated. It was very clear that the use of the nitrided Ti buffer layer (NTBL) induced the formation of a nanoscale epitaxial lateral overgrowth layer during the epitaxial growth. When evaluated by Raman spectroscopy, this epi-layer exhibited large in-plane compressive stress releasing with a Raman shift value of 567.9 cm -1 . Cathodoluminescence spectroscopy and transmission electron microscopy results indicated that the InGaN-based LEDs with an NTBL have improved crystal quality, with a low threading dislocations density being yielded via the strain relaxation in the InGaN-based LEDs. Based on the results mentioned above, the electroluminescence results indicate that the light performance of InGaN-based LEDs with an NTBL can be enhanced by 45% and 42% at 20 mA and 100 mA, respectively. These results suggest that the strain relaxation and quality improvement in the GaN epilayer could be responsible for the enhancement of emission power. - Highlights: • The crystal-quality of InGaN-based LEDs with NTBL by NELOG was improved. • The InGaN-based LEDs with NTBL have strain releases by NELOG. • The optical properties of InGaN-based LEDs were shown by CL and EL measurements

  11. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  12. High production rate of IBAD-MgO buffered substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yoshizumi, M., E-mail: myoshizumi@istec.or.j [Superconductivity Research Laboratory, ISTEC, Shinonome 1-10-13, Koto-ku, Tokyo 135-0062 (Japan); Miyata, S.; Ibi, A.; Fukushima, H.; Yamada, Y.; Izumi, T.; Shiohara, Y. [Superconductivity Research Laboratory, ISTEC, Shinonome 1-10-13, Koto-ku, Tokyo 135-0062 (Japan)

    2009-10-15

    The conventional IBAD (Ion Beam Assisted Deposition) process using fluorite materials yields low production rates, resulting in high production cost, which reduces the motivation for practical application in spite of its high quality. The IBAD process using rock salt materials, e.g. MgO, is well known as a strong candidate of practical application due to its potential of high production rate and high in-plane grain alignment. In this work, the IBAD-MgO process was investigated for a newly developed architecture of PLD (Pulsed Laser Deposition)-CeO{sub 2}/sputter-LMO (LaMnO{sub 3})/IBAD-MgO/sputter-GZO (Gd{sub 2}Zr{sub 2}O{sub 7})/Hastelloy{sup TM} to make long buffered metal tapes with high properties and a high production rate. The 50 m-long IBAD-MgO substrates with about 4 deg. of DELTAphiCeO{sub 2} in an XRD phi scan could be fabricated repeatedly. A GdBCO (GdBa{sub 2}Cu{sub 3}O{sub x}) layer deposited on the buffered substrate showed the minimum I{sub c} value of 325 A/cm-w in a 41 m-long tape. Almost of the tape showed 500-600 A/cm-w of I{sub c} value. The deposition time for the IBAD-MgO layer was 60 s which was about 2 orders of magnitude shorter than the conventional IBAD process. The production rate of 24 m/h was realized at the IBAD-MgO process to fabricate the GdBCO coated conductor with high J{sub c} and I{sub c} properties.

  13. Composition and structural study of solution-processed Zn(S,O,OH) thin films grown using H{sub 2}O{sub 2} based deposition route

    Energy Technology Data Exchange (ETDEWEB)

    Buffière, M., E-mail: marie.buffiere@imec.be [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); 44Solar, 14 rue Kepler, 44240 La Chapelle-sur-Erdre (France); Gautron, E. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Hildebrandt, T. [Institut de Recherche et Développement sur l' Energie Photovoltaïque (IRDEP)-UMR 7174 EDF-CNRS-ENSCP, 6 quai Watier-78401 Chatou Cedex (France); Harel, S.; Guillot-Deudon, C.; Arzel, L. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Naghavi, N. [Institut de Recherche et Développement sur l' Energie Photovoltaïque (IRDEP)-UMR 7174 EDF-CNRS-ENSCP, 6 quai Watier-78401 Chatou Cedex (France); Barreau, N. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); Kessler, J. [Institut des Matériaux Jean Rouxel (IMN)-UMR 6502, Université de Nantes, CNRS, 2 rue de la Houssinière, BP 32229, 44322 Nantes Cedex 3 (France); 44Solar, 14 rue Kepler, 44240 La Chapelle-sur-Erdre (France)

    2013-05-01

    Recent results have revealed that the low deposition time issue of chemical bath deposited (CBD) Zn(S,O,OH) buffer layer used in Cu(In,Ga)Se{sub 2} (CIGSe) solar cells could be resolved using H{sub 2}O{sub 2} as an additive in the chemical bath solution. Although the use of this additive does not hinder the electrical properties of the resulting Zn(S,O,OH)-buffered CIGSe solar cells, the impact of H{sub 2}O{sub 2} on the Zn(S,O,OH) properties remains unclear. The present contribution aims at determining the chemical composition and the microstructure of Zn(S,O,OH) film deposited by CBD using the alternative deposition bath containing the standard zinc sulfate, thiourea, ammonia but also H{sub 2}O{sub 2} additive. Both X-ray photoemission spectroscopy and energy dispersive X-ray spectroscopy analyses reveal higher sulfur content in alternatively deposited Zn(S,O,OH), since the first step growth of the layer. According to transmission electron microscopy analyses, another consequence of the higher deposition rate achieved when adding H{sub 2}O{sub 2} in the bath is the modification of the absorber/buffer interface. This could be explained by the enhancement of the cluster growth mechanism of the layer. - Highlights: ► The Zn(S,O,OH) layer composition can vary with the chemical bath process used. ► The alternative process leads to a faster incorporation of sulfur in the layer. ► No ZnS epitaxial layer has been found at absorber/alternative buffer interface. ► The use of H{sub 2}O{sub 2} enhances the cluster-by-cluster growth mechanism.

  14. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  15. Effect of layer thickness on the thermal release from Be-D co-deposited layers

    Science.gov (United States)

    Baldwin, M. J.; Doerner, R. P.

    2014-08-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967-70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D2 release from co-deposited Be-(0.05)D layers produced at ˜323 K. Bake desorption of layers of thickness 0.2-0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be-D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction.

  16. Influence of the deposition-induced stress on the magnetic properties of magnetostrictive amorphous (Fe80Co20)80B20 multilayers with orthogonal anisotropy

    International Nuclear Information System (INIS)

    Gonzalez-Guerrero, Miguel; Prieto, Jose Luis; Sanchez, Pedro; Aroca, Claudio

    2007-01-01

    In this work, we experimentally justify that the control of the mechanical stress induced during the deposition of sputtered amorphous magnetostrictive (Fe 80 Co 20 ) 80 B 20 allows a custom design of its magnetic properties. FeCoB multilayers have been sputtered on thermal oxide Si substrates with different buffer materials. The crystalline quality and the thermomechanical properties of the buffer layer influence both the coercive and the anisotropy field. Those buffer layers with both high rigidity and poor thermal conductivity do not allow the dissipation of energy of the incoming sputtered material. Therefore, the mechanical stresses related to the deposition process cannot be released, leading to magnetic layers with high easy-axis coercive field and low anisotropy field. This shows that the mechanical stresses accumulated during deposition are a key parameter for the control of coercivity

  17. Directed Vertical Diffusion of Photovoltaic Active Layer Components into Porous ZnO-Based Cathode Buffer Layers.

    Science.gov (United States)

    Kang, Jia-Jhen; Yang, Tsung-Yu; Lan, Yi-Kang; Wu, Wei-Ru; Su, Chun-Jen; Weng, Shih-Chang; Yamada, Norifumi L; Su, An-Chung; Jeng, U-Ser

    2018-04-01

    Cathode buffer layers (CBLs) can effectively further the efficiency of polymer solar cells (PSCs), after optimization of the active layer. Hidden between the active layer and cathode of the inverted PSC device configuration is the critical yet often unattended vertical diffusion of the active layer components across CBL. Here, a novel methodology of contrast variation with neutron and anomalous X-ray reflectivity to map the multicomponent depth compositions of inverted PSCs, covering from the active layer surface down to the bottom of the ZnO-based CBL, is developed. Uniquely revealed for a high-performance model PSC are the often overlooked porosity distributions of the ZnO-based CBL and the differential diffusions of the polymer PTB7-Th and fullerene derivative PC 71 BM of the active layer into the CBL. Interface modification of the ZnO-based CBL with fullerene derivative PCBEOH for size-selective nanochannels can selectively improve the diffusion of PC 71 BM more than that of the polymer. The deeper penetration of PC 71 BM establishes a gradient distribution of fullerene derivatives over the ZnO/PCBE-OH CBL, resulting in markedly improved electron mobility and device efficiency of the inverted PSC. The result suggests a new CBL design concept of progressive matching of the conduction bands. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Effect of zinc addition on properties of cadmium sulfide layer and performance of Cu(In,Ga)Se2 solar cell

    International Nuclear Information System (INIS)

    Bae, Dowon; Gho, Junghwan; Shin, Minjung; Kwon, Sehan

    2013-01-01

    Cd (1−x) Zn x S (CdS:Zn) thin films were grown on an indium tin oxide-coated glass substrate and Cu(In,Ga)Se 2 (CIGS) surface by chemical bath deposition for solar cell applications, and their composition, and optical properties were studied to decide the optimum process conditions for buffer layer growth. The average conversion efficiency of CIGS solar panels (24-in.) with the CdS:Zn layer was 0.35% higher than that of conventional solar panels mainly because of the increased open-circuit voltage. This efficiency improvement was not due to modification of the optical properties of the buffer layer, but due to the change in the deposition rate during buffer layer growth. - Highlights: ► CdS:Zn buffer layers were fabricated for Cu(In,Ga)Se 2 (CIGS) photovoltaic (PV) panels. ► Composition of buffer layers on indium–tin–oxide (ITO) and CIGS was investigated. ► Transmittance of CdS:Zn on ITO coated glass showed 5% higher than CdS. ► Efficiency of CdS:Zn solution adopted panels showed 0.47% higher than that with CdS. ► However, it was revealed that only Cd and S ions were found at the surface of CIGS

  19. Organometallic tris(8-hydroxyquinoline)aluminum complexes as buffer layers and dopants in inverted organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Tolkki, Antti, E-mail: antti.tolkki@tut.fi [Department of Chemistry and Bioengineering, Tampere University of Technology, P.O. Box 541, FI-33101, Tampere (Finland); Kaunisto, Kimmo [Department of Chemistry and Bioengineering, Tampere University of Technology, P.O. Box 541, FI-33101, Tampere (Finland); Heiskanen, Juha P. [Department of Chemistry and Bioengineering, Tampere University of Technology, P.O. Box 541, FI-33101, Tampere (Finland); Department of Chemistry, University of Oulu, P.O. Box 3000, FI-90014, Oulu (Finland); Omar, Walaa A.E. [Department of Chemistry, University of Oulu, P.O. Box 3000, FI-90014, Oulu (Finland); Chemistry Branch, Department of Science and Mathematics, Suez Canal University, Suez 43721 (Egypt); Huttunen, Kirsi; Lehtimaeki, Suvi [Department of Chemistry and Bioengineering, Tampere University of Technology, P.O. Box 541, FI-33101, Tampere (Finland); Hormi, Osmo E.O. [Department of Chemistry, University of Oulu, P.O. Box 3000, FI-90014, Oulu (Finland); Lemmetyinen, Helge [Department of Chemistry and Bioengineering, Tampere University of Technology, P.O. Box 541, FI-33101, Tampere (Finland)

    2012-04-30

    Tris(8-hydroxyquinoline)aluminum (Alq{sub 3}) is a frequently used material for organic light emitting diodes. The electronic properties and solubility can be tuned by chemical tailoring of the quinoline part, which makes it an interesting candidate for organic solar cells. Steady-state absorption and fluorescence, as well as time-resolved fluorescence properties of the parent Alq{sub 3} and a series of complexes consisting of derivatives, such as 4-substituted pyrazol, methylpyrazol, arylvinyl, and pyridinoanthrene moieties, of the quinoline ligand, were studied in solutions and in thin films. Suitability of the complexes as anodic buffer layers or dopants in inverted organic solar cells based on the well known bulk heterojunction of poly(3-hexylthiophene) (P3HT) and phenyl-C{sub 61}-butyric acid methyl ester (PCBM) was tested. The devices equipped with the derivatives showed higher power conversion efficiency ({eta}) compared to the photocells containing the parent Alq{sub 3}. Open circuit voltage (V{sub oc}) was increased when the derivatives were utilized as the anodic buffer layer. Doping of the P3HT:PCBM with a small amount of Alq{sub 3} or its derivative improved short circuit current density, V{sub oc}, fill factor, and {eta}, while the series resistance decreased. In addition, the devices were stable in air over several weeks without encapsulation. Possible mechanisms leading to the improvements in the photovoltaic performance by using the parent Alq{sub 3} or its derivative as buffer layer or dopant are discussed. - Highlights: Black-Right-Pointing-Pointer Tris(8-hydroxyquinoline)aluminum (Alq{sub 3}) complexes in inverted organic solar cells. Black-Right-Pointing-Pointer The Alq{sub 3} complexes were used as an anodic buffer layer and as a dopant. Black-Right-Pointing-Pointer Efficiency increased and the derivatives revealed varying open circuit voltage. Black-Right-Pointing-Pointer Photovoltaic performance was stable after storage in a dark ambient

  20. Magnetotransport properties of c-axis oriented La0.7Sr0.3MnO3 thin films on MgO-buffered SiO2/Si substrates

    International Nuclear Information System (INIS)

    Kang, Young-Min; Ulyanov, Alexander N.; Shin, Geo-Myung; Lee, Sung-Yun; Yoo, Dae-Gil; Yoo, Sang-Im

    2009-01-01

    c-axis oriented La 0.7 Sr 0.3 MnO 3 (LSMO) films on MgO-buffered SiO 2 /Si substrates were prepared, and their texture, microstructure, and magnetotransport properties were studied and compared to epitaxial LSMO/MgO (001) and polycrystalline LSMO/SiO 2 /Si films. c-axis oriented MgO buffer layers were obtained on amorphous SiO 2 layer through rf sputter deposition at low substrate temperature and consequent postannealing processes. In situ pulsed laser deposition-grown LSMO films, deposited on the MgO layer, show strong c-axis texture, but no in-plane texture. The c-axis oriented LSMO films which are magnetically softer than LSMO/SiO 2 /Si films exhibit relatively large low field magnetoresistance (LFMR) and sharper MR drop at lower field. The large LFMR is attributed to a spin-dependent scattering of transport current at the grain boundaries

  1. Fabrication of Hyperbolic Metamaterials using Atomic Layer Deposition

    DEFF Research Database (Denmark)

    Shkondin, Evgeniy

     technology allowing thickness control on atomic scale. As the deposition relies on a surface reaction, conformal pinhole free films can be deposited on various substrates with advanced topology. This method has been a central theme of the project and a core fabrication technique of plasmonic and dielectric...... in dielectric host, the fabrication is still challenging, since ultrathin, continuous, pinhole free nanometer-scale coatings are desired. The required high-quality thin layers have been fabricated using atomic layer deposition (ALD). It is a relatively new, cyclic, self-limiting thin film deposition......, especially in the infrared range, result in high loss and weak connement to the surface. Additionally, the most implemented metals in plasmonics such as Au and Ag are diffcult to pattern at nanoscale due to their limited chemistry, adhesion or oxidation issues. Therefore the implementation of...

  2. Resputtering effect during MgO buffer layer deposition by magnetron sputtering for superconducting coated conductors

    Energy Technology Data Exchange (ETDEWEB)

    Xiao, Shaozhu; Shi, Kai; Deng, Shutong; Han, Zhenghe [Applied Superconductivity Research Center, Department of Physics, Tsinghua University, Beijing 100084 (China); Feng, Feng, E-mail: feng.feng@sz.tsinghua.edu.cn; Lu, Hongyuan [Division of Advanced Manufacturing, Graduate School at Shenzhen, Tsinghua University, Shenzhen 518055 (China); Qu, Timing; Zhu, Yuping [Department of Mechanical Engineering, Tsinghua University, Beijing 100084 (China); Huang, Rongxia [School of Electromechanical Engineering, Guangdong University of Technology, Guangzhou 510006 (China)

    2015-07-15

    In this study, MgO thin films were deposited by radio-frequency magnetron sputtering. The film thickness in the deposition area directly facing the target center obviously decreased compared with that in other areas. This reduction in thickness could be attributed to the resputtering effect resulting from bombardment by energetic particles mainly comprising oxygen atoms and negative oxygen ions. The influences of deposition position and sputtering pressure on the deposition rate were investigated. Resputtering altered the orientation of the MgO film from (111) to (001) when the film was deposited on a single crystal yttria-stabilized zirconia substrate. The density distribution of energetic particles was calculated on the basis of the measured thicknesses of the MgO films deposited at different positions. The divergence angle of the energetic particle flux was estimated to be approximately 15°. The energetic particle flux might be similar to the assisting ion flux in the ion beam assisted deposition process and could affect the orientation of the MgO film growth.

  3. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    Science.gov (United States)

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  4. A combined scanning tunneling microscope-atomic layer deposition tool.

    Science.gov (United States)

    Mack, James F; Van Stockum, Philip B; Iwadate, Hitoshi; Prinz, Fritz B

    2011-12-01

    We have built a combined scanning tunneling microscope-atomic layer deposition (STM-ALD) tool that performs in situ imaging of deposition. It operates from room temperature up to 200 °C, and at pressures from 1 × 10(-6) Torr to 1 × 10(-2) Torr. The STM-ALD system has a complete passive vibration isolation system that counteracts both seismic and acoustic excitations. The instrument can be used as an observation tool to monitor the initial growth phases of ALD in situ, as well as a nanofabrication tool by applying an electric field with the tip to laterally pattern deposition. In this paper, we describe the design of the tool and demonstrate its capability for atomic resolution STM imaging, atomic layer deposition, and the combination of the two techniques for in situ characterization of deposition.

  5. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    International Nuclear Information System (INIS)

    Tynell, Tommi; Karppinen, Maarit

    2014-01-01

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline

  6. ZnO: Hydroquinone superlattice structures fabricated by atomic/molecular layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tynell, Tommi; Karppinen, Maarit, E-mail: maarit.karppinen@aalto.fi

    2014-01-31

    Here we employ atomic layer deposition in combination with molecular layer deposition to deposit crystalline thin films of ZnO interspersed with single layers of hydroquinone in an effort to create hybrid inorganic–organic superlattice structures. The ratio of the ZnO and hydroquinone deposition cycles is varied between 199:1 and 1:1, and the structure of the resultant thin films is verified with X-ray diffraction and reflectivity techniques. Clear evidence of the formation of a superlattice-type structure is observed in the X-ray reflectivity patterns and the presence of organic bonds in the films corresponding to the structure of hydroquinone is confirmed with Fourier transform infrared spectroscopy measurements. We anticipate that hybrid superlattice structures such as the ones described in this work have the potential to be of great importance for future applications where the precise control of different inorganic and organic layers in hybrid superlattice materials is required. - Highlights: • Inorganic–organic superlattices can be made by atomic/molecular layer deposition. • This is demonstrated here for ZnO and hydroquinone (HQ). • The ratio of the ZnO and HQ layers is varied between 199:1 and 14:1. • The resultant thin films are crystalline.

  7. Compositional characterization of atomic layer deposited alumina

    International Nuclear Information System (INIS)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev

    2014-01-01

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al 2 O 3 is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra

  8. Compositional characterization of atomic layer deposited alumina

    Energy Technology Data Exchange (ETDEWEB)

    Philip, Anu; Thomas, Subin; Kumar, K. Rajeev [Department of Instrumentation, Cochin University of Science and Technology, Cochin-22, Kerala (India)

    2014-01-28

    As the microelectronic industry demands feature size in the order of few and sub nanometer regime, the film composition and other film properties become critical issues and ALD has emerged as the choice of industry. Aluminum oxide is a material with wide applications in electronic and optoelectronic devices and protective and ion barrier layers. Al{sub 2}O{sub 3} is an excellent dielectric because of its large band gap (8.7eV), large band offsets with silicon. We have deposited thin layers of alumina on silicon wafer (p-type) for gate dielectric applications by ALD technique and compositional characterizations of the deposited thin films were done using EDS, XPS and FTIR spectra.

  9. Effect of layer thickness on the thermal release from Be–D co-deposited layers

    International Nuclear Information System (INIS)

    Baldwin, M.J.; Doerner, R.P.

    2014-01-01

    The results of previous work (Baldwin et al 2013 J. Nucl. Mater. 438 S967–70 and Baldwin et al 2014 Nucl. Fusion 54 073005) are extended to explore the influence of layer thickness on the thermal D 2 release from co-deposited Be–(0.05)D layers produced at ∼323 K. Bake desorption of layers of thickness 0.2–0.7 µm are explored with a view to examine the influence of layer thickness on the efficacy of the proposed ITER bake procedure, to be carried out at the fixed temperatures of 513 K on the first wall and 623 K in the divertor. The results of experiment and modelling with the TMAP-7 hydrogen transport code, show that thicker Be–D co-deposited layers are relatively more difficult to desorb (time-wise) than thinner layers with the same concentrations of intrinsic traps and retained hydrogen isotope fraction. (paper)

  10. The first step in layer-by-layer deposition: Electrostatics and/or non-electrostatics?

    NARCIS (Netherlands)

    Lyklema, J.; Deschênes, L.

    2011-01-01

    A critical discussion is presented on the properties and prerequisites of adsorbed polyelectrolytes that have to function as substrates for further layer-by-layer deposition. The central theme is discriminating between the roles of electrostatic and non-electrostatic interactions. In order to

  11. Effect of zinc addition on properties of cadmium sulfide layer and performance of Cu(In,Ga)Se{sub 2} solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Bae, Dowon, E-mail: bae.dowon@yahoo.co.kr; Gho, Junghwan; Shin, Minjung; Kwon, Sehan

    2013-05-01

    Cd{sub (1−x)}Zn{sub x}S (CdS:Zn) thin films were grown on an indium tin oxide-coated glass substrate and Cu(In,Ga)Se{sub 2} (CIGS) surface by chemical bath deposition for solar cell applications, and their composition, and optical properties were studied to decide the optimum process conditions for buffer layer growth. The average conversion efficiency of CIGS solar panels (24-in.) with the CdS:Zn layer was 0.35% higher than that of conventional solar panels mainly because of the increased open-circuit voltage. This efficiency improvement was not due to modification of the optical properties of the buffer layer, but due to the change in the deposition rate during buffer layer growth. - Highlights: ► CdS:Zn buffer layers were fabricated for Cu(In,Ga)Se{sub 2} (CIGS) photovoltaic (PV) panels. ► Composition of buffer layers on indium–tin–oxide (ITO) and CIGS was investigated. ► Transmittance of CdS:Zn on ITO coated glass showed 5% higher than CdS. ► Efficiency of CdS:Zn solution adopted panels showed 0.47% higher than that with CdS. ► However, it was revealed that only Cd and S ions were found at the surface of CIGS.

  12. In-situ deposition of sacrificial layers during ion implantation

    International Nuclear Information System (INIS)

    Anders, A.; Anders, S.; Brown, I.G.; Yu, K.M.

    1995-02-01

    The retained dose of implanted ions is limited by sputtering. It is known that a sacrificial layer deposited prior to ion implantation can lead to an enhanced retained dose. However, a higher ion energy is required to obtain a similar implantation depth due to the stopping of ions in the sacrificial layer. It is desirable to have a sacrificial layer of only a few monolayers thickness which can be renewed after it has been sputtered away. We explain the concept and describe two examples: (i) metal ion implantation using simultaneously a vacuum arc ion source and filtered vacuum arc plasma sources, and (ii) Metal Plasma Immersion Ion Implantation and Deposition (MePIIID). In MePIIID, the target is immersed in a metal or carbon plasma and a negative, repetitively pulsed bias voltage is applied. Ions are implanted when the bias is applied while the sacrificial layer suffers sputtering. Low-energy thin film deposition - repair of the sacrificial layer -- occurs between bias pulses. No foreign atoms are incorporated into the target since the sacrificial film is made of the same ion species as used in the implantation phase

  13. Electrochemical impedance study of copper in phosphate buffered solution

    International Nuclear Information System (INIS)

    Salimon, J.; Mohamad, M.; Yamin, B.M.; Kalaji, M.

    2003-01-01

    The processes occurring on the copper electrode surface in phosphate buffered solution were investigated using the Electrochemical Impedance Spectroscopy. The electrochemical behaviors of copper through their charge transfer resistance and double-layer capacitance at the onset of the hydrogen evolution region and the anodic passivation layer formation and diffusion of copper species at anodic potential regions are discussed. The specific adsorption of anions (hydroxide and/or H/sub 2/PO/sub 4/) occurred at potential less negative than -0.9V. Adsorbed hydrogen appeared at hydrogen evolution region at potential range of -1.5 to -1.0 V. The deposition of insoluble copper species occurred at anodic potential regions. (author)

  14. Atomic layer deposition: prospects for solar cell manufacturing

    NARCIS (Netherlands)

    Kessels, W.M.M.; Hoex, B.; Sanden, van de M.C.M.

    2008-01-01

    Atomic layer deposition (ALD) is a thin film growth technology that is capable of depositing uniform and conformal films on complex, three-dimensional objects with atomic precision. ALD is a rapidly growing field and it is currently at the verge of being introduced in the semiconductor industry.

  15. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  16. Evaluation of methods for application of epitaxial buffer and superconductor layers

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-03-30

    The recent achievements of critical currents exceeding million amperes per square centimeter at 77K in YBCO deposited over suitably textured substrate have stimulated interest in the potential applications of coated conductors at high temperatures and in high magnetic fields. Currently, ion-beam assisted deposition (IBAD), and rolling assisted bi-axially textured substrate (RABiTS), represent two available options for obtaining textured substrates. For applying suitable coatings of buffer and high temperature superconductor (HTS) material over textured substrates, several options are available which include sputtering, electron-beam evaporation, laser ablation, electrophoresis, chemical vapor deposition (including metal organics chemical vapor deposition), sol-gel, metal organics decomposition, electrodeposition and aerosol/spray pyrolysis. A commercial continuous long-length wire/tape manufacturing scheme developed out of any suitable combination of the above techniques would consist of operations involving preparation of the substrate and application of buffer, HTS and passivation/insulation materials and special treatment steps such as post-annealing. These operations can be effected by various process parameters that can be classified into chemistry, materials, engineering and environmental related parameters. Under the DOE-sponsored program, to carry out an engineering evaluation, first, the process flow schemes were developed for various candidate options identifying the major operating steps, process conditions, and process streams. Next, to evaluate quantifiable parameters such as process severity (e.g. temperature and pressure), coating thickness and deposition rate for HTS material, achieved maximum J{sub c} value (for films >1{micro}m thick) and cost of chemical and material utilization efficiency, the multi-attribute method was used to determine attributes/merits for various parameters and candidate options. To determine similar attribute values for the

  17. The multilayered structure of ultrathin amorphous carbon films synthesized by filtered cathodic vacuum arc deposition

    KAUST Repository

    Wang, Na

    2013-08-01

    The structure of ultrathin amorphous carbon (a-C) films synthesized by filtered cathodic vacuum arc (FCVA) deposition was investigated by high-resolution transmission electron microscopy, electron energy loss spectroscopy, and x-ray photoelectron spectroscopy. Results of the plasmon excitation energy shift and through-thickness elemental concentration show a multilayered a-C film structure comprising an interface layer consisting of C, Si, and, possibly, SiC, a buffer layer with continuously increasing sp 3 fraction, a relatively thicker layer (bulk film) of constant sp 3 content, and an ultrathin surface layer rich in sp 2 hybridization. A detailed study of the C K-edge spectrum indicates that the buffer layer between the interface layer and the bulk film is due to the partial backscattering of C+ ions interacting with the heavy atoms of the silicon substrate. The results of this study provide insight into the minimum thickness of a-C films deposited by FCVA under optimum substrate bias conditions. Copyright © 2013 Materials Research Society.

  18. Studies on Ba(2)YNbO(6) Buffer Layers for Subsequent YBa(2)Cu(3)O(7-x) Film Growth

    National Research Council Canada - National Science Library

    Sathiraju, Srinivas; Barnes, Paul N; Varanasi, Chakrapani; Wheeler, Robert

    2004-01-01

    In this paper, we are reporting a dielectric oxide buffer Ba(2)YNbO(6) (BYNO) and its performance on various substrates for a potential buffer layer for the growth of YBa(2)Cu(3)O(7-x) (YBCO) coated conductors. Ba(2)YNbO(6...

  19. Environmental Modeling, The Buffer Priority layers for Phosphorus / Sediment) Removal identify priority forest/grass buffer opportunities by subwatershed. Land use, hydrology, soil, and landscape characteristics were analyzed to rank buffer opportunities with high P/sed removal., Published in 2014, Smaller than 1:100000 scale, Maryland Department of Natural Resources (DNR).

    Data.gov (United States)

    NSGIC Education | GIS Inventory — Environmental Modeling dataset current as of 2014. The Buffer Priority layers for Phosphorus / Sediment) Removal identify priority forest/grass buffer opportunities...

  20. Biaxially oriented CdTe films on glass substrate through nanostructured Ge/CaF2 buffer layers

    Science.gov (United States)

    Lord, R. J.; Su, P.-Y.; Bhat, I.; Zhang, S. B.; Lu, T.-M.; Wang, G.-C.

    2015-09-01

    Heteroepitaxial CdTe films were grown by metal organic chemical vapor deposition on glass substrates through nanostructured Ge/CaF2 buffer layers which were biaxially oriented. It allows us to explore the structural properties of multilayer biaxial semiconductor films which possess small angle grain boundaries and to test the principle of a solar cell made of such low-cost, low-growth-temperature semiconductor films. Through the x-ray diffraction and x-ray pole figure analysis, the heteroepitaxial relationships of the mutilayered films are determined as [111] in the out-of-plane direction and CdTe//Ge//{ }{{{CaF}}2} in the in-plane direction. The I-V curves measured from an ITO/CdS/CdTe/Ge/CaF2/glass solar cell test structure shows a power conversion efficiency of ˜η = 1.26%, illustrating the initial success of such an approach. The observed non-ideal efficiency is believed to be due to a low shunt resistance and high series resistance as well as some residual large-angle grain boundary effects, leaving room for significant further improvement.

  1. Relative influence of deposition and diagenesis on carbonate reservoir layering

    Energy Technology Data Exchange (ETDEWEB)

    Poli, Emmanuelle [Total E and P, Courbevoie (France); Javaux, Catherine [Total E and P, Pointe Noire (Congo)

    2008-07-01

    The architecture heterogeneities and petrophysical properties of carbonate reservoirs result from a combination of platform morphology, related depositional environments, relative sea level changes and diagenetic events. The reservoir layering built for static and dynamic modelling purposes should reflect the key heterogeneities (depositional or diagenetic) which govern the fluid flow patterns. The layering needs to be adapted to the goal of the modelling, ranging from full field computations of hydrocarbon volumes, to sector-based fine-scale simulations to test the recovery improvement. This paper illustrates various reservoir layering types, including schemes dominated by depositional architecture, and those more driven by the diagenetic overprint. The examples include carbonate platform reservoirs from different stratigraphic settings (Tertiary, Cretaceous, Jurassic and Permian) and different regions (Europe, Africa and Middle East areas). This review shows how significant stratigraphic surfaces (such as sequence boundaries or maximum flooding) with their associated facies shifts, can be often considered as key markers to constrain the reservoir layering. Conversely, how diagenesis (dolomitization and karst development), resulting in units with particular poroperm characteristics, may significantly overprint the primary reservoir architecture by generating flow units which cross-cut depositional sequences. To demonstrate how diagenetic processes can create reservoir bodies with geometries that cross-cut the depositional fabric, different types of dolomitization and karst development are illustrated. (author)

  2. Textured strontium titanate layers on platinum by atomic layer deposition

    International Nuclear Information System (INIS)

    Blomberg, T.; Anttila, J.; Haukka, S.; Tuominen, M.; Lukosius, M.; Wenger, Ch.; Saukkonen, T.

    2012-01-01

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2–1 μm) and low X-ray reflectivity roughness (∼ 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu 3 Cp) 2 , Ti(OMe) 4 and O 3 precursors at 250 °C were used to deposit Sr rich STO on Pt/Ti/SiO 2 /Si ∅200 mm substrates. After crystallization post deposition annealing at 600 °C in air, most of the STO grains showed a preferential orientation of the {001} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {111} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O 3 ) shows a promising path towards the formation of single oriented STO film. - Highlights: ► Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. ► Single crystal domains in 60 nm STO film were 0.2–1 μm wide. ► Most STO grains were {001} oriented.

  3. Scalable control program for multiprecursor flow-type atomic layer deposition system

    Energy Technology Data Exchange (ETDEWEB)

    Selvaraj, Sathees Kannan [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States); Takoudis, Christos G., E-mail: takoudis@uic.edu [Department of Chemical Engineering, University of Illinois at Chicago, Chicago, Illinois 60607 and Department of Bioengineering, University of Illinois at Chicago, Chicago, Illinois 60607 (United States)

    2015-01-01

    The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.

  4. The stochastic nuclide transport model for buffer/backfill materials

    International Nuclear Information System (INIS)

    Ma Liping; Han Yongguo

    2014-01-01

    Currently, study on nuclide migration law in geological disposal repository of high level waste is assumed buffer/backfill layer to be continuous medium, utilized the continuity equation, equation of state, the equations of motion, etc, formed a set of theory and method to estimate nuclide concentration distribution in buffer/backfill layer, and provided an important basis for nuclide migration rules of repository. However, it is necessary to study the buffer/backfill layer microstructure and subtly describe the pore structure and fracture system of the buffer/backfill layer, and reflect the changes in connectivity and in different directions of the buffer/backfill layer. Through using random field theory, the nuclide transport for the buffer/backfill layer in geological disposal repository of nuclear waste is described in the paper. This paper mainly includes that, t represents the time, ξ t ⊂ Z d = d represents the integer lattice, Z represents collectivity integers, d = l, 2, 3, for instance, d = 2, Z d = {(m, n) : m, n ∈ Z} the state point of ξ t is typically considered to be occupied by the nuclide concentration values of the buffer/backfill layer, ξ t also represents random set in the diagram of two dimensional integer lattice, namely, t ∈ [0, T], {ξ t ,0 ≤ t ≤ ⊂ T} Consequently, according to the stochastic process obtained above, the changes of the nuclide concentration values of the buffer/backfill layer or the buffer/backfill laboratory materials in the repository with the time can be known. (authors)

  5. The thickness effect of Bi3.25La0.75Ti3O12 buffer layer in PbZr0.58Ti0.42O3/Bi3.25La0.75Ti3O12 (PZT/BLT) multilayered ferroelectric thin films

    International Nuclear Information System (INIS)

    Li Jianjun; Li Ping; Zhang Guojun; Yu Jun; Wu Yunyi; Wen Xinyi

    2011-01-01

    A series of PbZr 0.58 Ti 0.42 O 3 (PZT) thin films with various Bi 3.25 La 0.75 Ti 3 O 12 (BLT) buffer layer thicknesses were deposited on Pt/TiO 2 /SiO 2 /p-Si(100) substrates by RF magnetron sputtering. The X-ray diffraction measurements of PZT film and PZT/BLT multilayered films illustrate that the pure PZT film shows (111) preferential orientation, and the PZT/BLT films show (110) preferential orientation with increasing thickness of the BLT layer. There are no obvious diffraction peaks for the BLT buffer layer in the multilayered films, for interaction effect between the bottom BLT and top PZT films during annealing at the same time. From the surface images of field-emission scanning electron microscope, there are the maximum number of largest-size grains in PZT/BLT(30 nm) film among all the samples. The growth direction and grain size have significant effects on ferroelectric properties of the multilayered films. The fatigue characteristics of PZT and PZT/BLT films suggest that 30-nm-thick BLT is just an effective buffer layer enough to alleviate the accumulation of oxygen vacancies near the PZT/BLT interface. The comparison of these results with that of PZT/Pt/TiO 2 /SiO 2 /p-Si(100) basic structured film suggests that the buffer layer with an appropriate thickness can improve the ferroelectric properties of multilayered films greatly.

  6. Low temperature plasma-enhanced atomic layer deposition of thin vanadium nitride layers for copper diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Rampelberg, Geert; Devloo-Casier, Kilian; Deduytsche, Davy; Detavernier, Christophe [Department of Solid State Sciences, Ghent University, Krijgslaan 281/S1, B-9000 Ghent (Belgium); Schaekers, Marc [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Blasco, Nicolas [Air Liquide Electronics US, L.P., 46401 Landing Parkway, Fremont, California 94538 (United States)

    2013-03-18

    Thin vanadium nitride (VN) layers were grown by atomic layer deposition using tetrakis(ethylmethylamino)vanadium and NH{sub 3} plasma at deposition temperatures between 70 Degree-Sign C and 150 Degree-Sign C on silicon substrates and polymer foil. X-ray photoelectron spectroscopy revealed a composition close to stoichiometric VN, while x-ray diffraction showed the {delta}-VN crystal structure. The resistivity was as low as 200 {mu}{Omega} cm for the as deposited films and further reduced to 143 {mu}{Omega} cm and 93 {mu}{Omega} cm by annealing in N{sub 2} and H{sub 2}/He/N{sub 2}, respectively. A 5 nm VN layer proved to be effective as a diffusion barrier for copper up to a temperature of 720 Degree-Sign C.

  7. Effects of the Buffer Layers on the Adhesion and Antimicrobial Properties of the Amorphous ZrAlNiCuSi Films

    Science.gov (United States)

    Chiang, Pai-Tsung; Chen, Guo-Ju; Jian, Sheng-Rui; Shih, Yung-Hui

    2011-06-01

    To extend the practical applications of the bulk metallic glasses (BMGs), the preparation of the metallic glass coatings on various substrates becomes an important research issue. Among the interfacial properties of the coatings, the adhesion between films and substrates is the most crucial. In this study, amorphous Zr61Al7.5Ni10Cu17.5Si4 (ZrAlNiCuSi) thin films were deposited on SUS304 stainless steel at various sputtering powers by DC sputtering. According to the scratch tests, the introduction of the Cr and Ti buffer layers effectively improves the adhesion between the amorphous thin films and substrate without changing the surface properties, such as roughness and morphology. The antimicrobial results show that the biological activities of these microbes, except Acinetobacter baumannii, are effectively suppressed during the test period.

  8. Tritium decontamination from co-deposited layer on tungsten substrate by ultra violet lamp and laser

    International Nuclear Information System (INIS)

    Oya, Yasuhisa; Tadokoro, Takahiro; Shu, Wataru; Hayashi, Takumi; O'hira, Shigeru; Nishi, Masataka

    2001-01-01

    Tritium decontamination using ultra violet (UV) lamp and laser was performed. Simulated co-deposited layer on tungsten substrate was deposited by C 2 H 2 or C 2 D 2 glow discharge. The co-deposited layer was irradiated to UV lights from a xenon excimer lamp (172 nm) or ArF excimer laser (193 nm) and the in-situ decontamination behavior was evaluated by a mass spectrometer. After the UV irradiation, the hydrogen concentration in the co-deposited layer was evaluated by elastic recoil detection analysis (ERDA) and the depth profile was analyzed by secondary ion mass spectrometry (SIMS). For the co-deposited layer formed by C 2 D 2 glow discharge, it was found that M/e 3 (HD) gas was released mainly during the UV lamp irradiation while both M/e 3 (HD) and M/e 4 (D 2 ) gases were detected during the UV laser irradiation. Though the co-deposited layer was not removed by UV lamp irradiation, almost all the co-deposited layer was removed by UV laser irradiation within 1 min. The ratio of hydrogen against carbon in the co-deposited layer was estimated to be 0.53 by ERDA and the number of photon needed for removing 1 μm thick co-deposited layer was calculated to be 3.7x10 18 cm -2 for the UV laser by SIMS measurement. It is concluded that C-H (C-D) bond on the co-deposited layer were dissociated by irradiation of UV lamp while the co-deposited layer itself was removed by the UV laser irradiation. (author)

  9. Electro-optic properties of epitaxial Sr0.6Ba0.4Nb2O6 films grown on MgO substrates using LixNi2-xO buffer layer

    Science.gov (United States)

    Li, X. T.; Du, P. Y.; Ye, H.; Mak, C. L.; Wong, K. H.

    2008-08-01

    Textured LixNi2-xO (LNO) thin films have been fabricated on (001)MgO substrates by pulsed laser deposition technique. The as-deposited LNO films shows a conductivity of 2.5×10-3 Ω m and possess a transmittance of about 35% in the visible region. Subsequent deposition of Sr0.6Ba0.4Nb2O6 (SBN60) thin film on these LNO-coated MgO substrates resulted in a textured SBN layer with a orientation perpendicular to the substrate plane. Phi scans on the (221) plane of the SBN layer indicated that the films have two in-plane orientations with respect to the substrate. The SBN unit cells were rotated in the plane of the film by ± 8.2° as well as ± 45° with respect to the LNO/MgO substrate. Besides the highly (00l)-orientation, the SBN films also exhibited a dense microstructure as shown by scanning electron microscopy. The electro-optic coefficient (r33) of the SBN film was measured to be 186 pm/V. On the basis of our results, we have demonstrated that the LNO film can be used as a buffer layer as well as a transparent bottom electrode for waveguide applications. The SBN/LNO heterostructure is also a suitable candidate for integrated electro-optics devices.

  10. Carbon nanotube forests growth using catalysts from atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Bingan; Zhang, Can; Esconjauregui, Santiago; Xie, Rongsi; Zhong, Guofang; Robertson, John [Department of Engineering, University of Cambridge, Cambridge CB3 0FA (United Kingdom); Bhardwaj, Sunil [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy); Sincrotone Trieste S.C.p.A., s.s. 14, km 163.4, I-34149 Trieste (Italy); Cepek, Cinzia [Istituto Officina dei Materiali-CNR Laboratorio TASC, s.s. 14, km 163.4, I-34012 Trieste (Italy)

    2014-04-14

    We have grown carbon nanotubes using Fe and Ni catalyst films deposited by atomic layer deposition. Both metals lead to catalytically active nanoparticles for growing vertically aligned nanotube forests or carbon fibres, depending on the growth conditions and whether the substrate is alumina or silica. The resulting nanotubes have narrow diameter and wall number distributions that are as narrow as those grown from sputtered catalysts. The state of the catalyst is studied by in-situ and ex-situ X-ray photoemission spectroscopy. We demonstrate multi-directional nanotube growth on a porous alumina foam coated with Fe prepared by atomic layer deposition. This deposition technique can be useful for nanotube applications in microelectronics, filter technology, and energy storage.

  11. Tandem organic light-emitting diodes with buffer-modified C60/pentacene as charge generation layer

    Science.gov (United States)

    Wang, Zhen; Zheng, Xin; Liu, Fei; Wang, Pei; Gan, Lin; Wang, Jing-jing

    2017-09-01

    Buffer-modified C60/pentacene as charge generation layer (CGL) is investigated to achieve effective performance of charge generation. Undoped green electroluminescent tandem organic light-emitting diodes (OLEDs) with multiple identical emissive units and using buffer-modified C60/pentacene organic semiconductor heterojunction (OHJ) as CGL are demonstrated to exhibit better current density and brightness, compared with conventional single-unit devices. The current density and brightness both can be significantly improved with increasing the thickness of Al. However, excessive thickness of Al seriously decreases the transmittance of films and damages the interface. As a result, the maximum current efficiency of 1.43 cd·A-1 at 30 mA·cm-2 can be achieved for tandem OLEDs with optimal thickness of Al. These results clearly demonstrate that Cs2CO3/Al is an effective buffer for C60/pentacene-based tandem OLEDs.

  12. Electrode interface controlled electrical properties in epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} films grown on Si substrates with SrTiO{sub 3} buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Boni, Andra Georgia, E-mail: andra.boni@infim.ro [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); University of Bucharest, Faculty of Physics, Magurele 077125 (Romania); Chirila, Cristina; Pasuk, Iuliana; Negrea, Raluca; Trupina, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania); Le Rhun, Gwenael [CEA, LETI, MINATEC Campus, 17 rue des Martyrs, 38054 Grenoble cedex 9 (France); Vilquin, Bertrand [Université de Lyon, Ecole Centrale de Lyon, INL, CNRS UMR5270, 36 avenue Guy de Collongue, F-69134 Ecully cedex (France); Pintilie, Ioana; Pintilie, Lucian [National Institute of Materials Physics, Atomistilor 105bis, Magurele, Ilfov 77125 (Romania)

    2015-10-30

    Electrical properties of ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} thin films grown by pulsed laser deposition on silicon substrate with SrTiO{sub 3} buffer layer grown by molecular beam epitaxy were studied. A SrRuO{sub 3} layer was deposited as bottom electrode also by pulse laser deposition and Pt, Ir, Ru, SrRuO{sub 3} were used as top contacts. Electrical characterization comprised hysteresis and capacitance–voltage measurements in the temperature range from 150 K to 400 K. It was found that the macroscopic electrical properties are affected by the electrode interface, by the choice of the top electrode. However, even for metals with very different work functions (e.g. Pt and SrRuO{sub 3}) the properties of the top and bottom electrode interfaces remain fairly symmetric suggesting a strong influence from the bound polarization charges located near the interface. - Highlights: • Ferroelectric capacitors based on PbZr{sub 0.52}Ti{sub 0.48}O{sub 3} were deposited on Si substrate. • The structural characterization proved the epitaxial growth of the layers. • Macroscopic electrical properties are affected by the choice of the top electrode. • The difference on imprint field, dielectric constant are analyzed depending on the electrode-ferroelectric interface.

  13. Investigation of vanadium and nitride alloys thin layers deposited by PVD

    Directory of Open Access Journals (Sweden)

    Nouveau C.

    2012-06-01

    Full Text Available In this work we present the technique of magnetron vapor deposition and the effect of several deposition parameters on the structural and morphological properties of prepared thin films. It was noted that the deposition time has an effect on the crystallinity, mechanical properties such as residual stress, roughness surface and the layer composition from target products. Studies were carried out on layers of vanadium (V and the nitride vanadium (VN.

  14. Atomic layer deposition of dielectrics for carbon-based electronics

    Energy Technology Data Exchange (ETDEWEB)

    Kim, J., E-mail: jiyoung.kim@utdallas.edu; Jandhyala, S.

    2013-11-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics.

  15. Atomic layer deposition of dielectrics for carbon-based electronics

    International Nuclear Information System (INIS)

    Kim, J.; Jandhyala, S.

    2013-01-01

    Carbon based nanomaterials like nanotubes and graphene have emerged as future generation electronic materials for device applications because of their interesting properties such as high-mobility and ability to carry high-current densities compared to conventional semiconductor materials like silicon. Therefore, there is a need to develop techniques to integrate robust gate dielectrics with high-quality interfaces for these materials in order to attain maximum performance. To date, a variety of methods including physical vapor deposition, atomic layer deposition (ALD), physical assembly among others have been employed in order to integrate dielectrics for carbon nanotube and graphene based field-effect transistors. Owing to the difficulty in wetting pristine surfaces of nanotubes and graphene, most of the ALD methods require a seeding technique involving non-covalent functionalization of their surfaces in order to nucleate dielectric growth while maintaining their intrinsic properties. A comprehensive review regarding the various dielectric integration schemes for emerging devices and their limitations with respect to ALD based methods along with a future outlook is provided. - Highlights: • We introduce various dielectric integration schemes for carbon-based devices. • Physical vapor deposition methods tend to degrade device performance. • Atomic layer deposition on pristine surfaces of graphene and nanotube is difficult. • We review different seeding techniques for atomic layer deposition of dielectrics. • Compare the performance of graphene top-gate devices with different dielectrics

  16. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Directory of Open Access Journals (Sweden)

    Riku Kato

    2015-07-01

    Full Text Available We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs encapsulated by the layer-by-layer (LbL deposition of cationic and anionic polyelectrolytes (PEs. Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition, and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition. The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  17. Microscopic Characterization of Individual Submicron Bubbles during the Layer-by-Layer Deposition: Towards Creating Smart Agents

    Science.gov (United States)

    Kato, Riku; Frusawa, Hiroshi

    2015-07-01

    We investigated the individual properties of various polyion-coated bubbles with a mean diameter ranging from 300 to 500 nm. Dark field microscopy allows one to track the individual particles of the submicron bubbles (SBs) encapsulated by the layer-by-layer (LbL) deposition of cationic and anionic polyelectrolytes (PEs). Our focus is on the two-step charge reversals of PE-SB complexes: the first is a reversal from negatively charged bare SBs with no PEs added to positive SBs encapsulated by polycations (monolayer deposition), and the second is overcharging into negatively charged PE-SB complexes due to the subsequent addition of polyanions (double-layer deposition). The details of these phenomena have been clarified through the analysis of a number of trajectories of various PE-SB complexes that experience either Brownian motion or electrophoresis. The contrasted results obtained from the analysis were as follows: an amount in excess of the stoichiometric ratio of the cationic polymers was required for the first charge-reversal, whereas the stoichiometric addition of the polyanions lead to the electrical neutralization of the PE-SB complex particles. The recovery of the stoichiometry in the double-layer deposition paves the way for fabricating multi-layered SBs encapsulated solely with anionic and cationic PEs, which provides a simple protocol to create smart agents for either drug delivery or ultrasound contrast imaging.

  18. Performance and Metastability of CdTe Solar Cells with a Te Back-Contact Buffer Layer

    Science.gov (United States)

    Moore, Andrew

    Thin-film CdTe photovoltaics are quickly maturing into a viable clean-energy solution through demonstration of competitive costs and performance stability with existing energy sources. Over the last half decade, CdTe solar technology has achieved major gains in performance; however, there are still aspects that can be improved to progress toward their theoretical maximum efficiency. Perhaps equally valuable as high photovoltaic efficiency and a low levelized cost of energy, is device reliability. Understanding the root causes for changes in performance is essential for accomplishing long-term stability. One area for potential performance enhancement is the back contact of the CdTe device. This research incorporated a thin-film Te-buffer layer into the contact structure, between the CdTe and contact metal. The device performance and characteristics of many different back contact configurations were rigorously studied. CdTe solar cells fabricated with the Te-buffer contact showed short-circuit current densities and open-circuit voltages that were on par with the traditional back-contacts used at CSU. However, the Te-buffer contact typically produced 2% larger fill-factors on average, leading to greater conversation efficiency. Furthermore, using the Te buffer allowed for incorporation of 50% less Cu, which is used for p-type doping but is also known to decrease lifetime and stability. This resulted in an additional 3% fill-factor gain with no change in other parameters compared to the standard-Cu treated device. In order to better understand the physical mechanisms of the Te-buffer contact, electrical and material properties of the Te layer were extracted and used to construct a simple energy band diagram. The Te layer was found to be highly p-type (>1018 cm-3) and possess a positive valence-band offset of 0.35-0.40 eV with CdTe. An existing simulation model incorporating the Te-layer properties was implemented and validated by comparing simulated results of Cd

  19. Inorganic-Organic Coating via Molecular Layer Deposition Enables Long Life Sodium Metal Anode.

    Science.gov (United States)

    Zhao, Yang; Goncharova, Lyudmila V; Zhang, Qian; Kaghazchi, Payam; Sun, Qian; Lushington, Andrew; Wang, Biqiong; Li, Ruying; Sun, Xueliang

    2017-09-13

    Metallic Na anode is considered as a promising alternative candidate for Na ion batteries (NIBs) and Na metal batteries (NMBs) due to its high specific capacity, and low potential. However, the unstable solid electrolyte interphase layer caused by serious corrosion and reaction in electrolyte will lead to big challenges, including dendrite growth, low Coulombic efficiency and even safety issues. In this paper, we first demonstrate the inorganic-organic coating via advanced molecular layer deposition (alucone) as a protective layer for metallic Na anode. By protecting Na anode with controllable alucone layer, the dendrites and mossy Na formation have been effectively suppressed and the lifetime has been significantly improved. Moreover, the molecular layer deposition alucone coating shows better performances than the atomic layer deposition Al 2 O 3 coating. The novel design of molecular layer deposition protected Na metal anode may bring in new opportunities to the realization of the next-generation high energy-density NIBs and NMBs.

  20. Deposition of Chitosan Layers on NiTi Shape Memory Alloy

    Directory of Open Access Journals (Sweden)

    Kowalski P.

    2015-04-01

    Full Text Available The NiTi shape memory alloys have been known from their application in medicine for implants as well as parts of medical devices. However, nickel belongs to the family of elements, which are toxic. Apart from the fact that nickel ions are bonded with titanium into intermetallic phase, their presence may cause allergy. In order to protect human body against release of nickel ions a surface of NiTi alloy can be modified with use of titanium nitrides, oxides or diamond-like layers. On the one hand the layers can play protective role but on the other hand they may influence shape memory behavior. Too stiff or too brittle layer can lead to limiting or completely blocking of the shape recovery. It was the reason to find more elastic covers for NiTi surface protection. This feature is characteristic for polymers, especially, biocompatible ones, which originate in nature. In the reported paper, the chitosan was applied as a deposited layer on surface of the NiTi shape memory alloy. Due to the fact that nature of shape memory effect is sensitive to thermo and/or mechanical treatments, the chitosan layer was deposited with use of electrophoresis carried out at room temperature. Various deposition parameters were checked and optimized. In result of that thin chitosan layer (0.45µm was received on the NiTi alloy surface. The obtained layers were characterized by means of chemical and phase composition, as well as surface quality. It was found that smooth, elastic surface without cracks and/or inclusions can be produced applying 10V and relatively short deposition time - 30 seconds.

  1. Atomic-layer deposition of silicon nitride

    CERN Document Server

    Yokoyama, S; Ooba, K

    1999-01-01

    Atomic-layer deposition (ALD) of silicon nitride has been investigated by means of plasma ALD in which a NH sub 3 plasma is used, catalytic ALD in which NH sub 3 is dissociated by thermal catalytic reaction on a W filament, and temperature-controlled ALD in which only a thermal reaction on the substrate is employed. The NH sub 3 and the silicon source gases (SiH sub 2 Cl sub 2 or SiCl sub 4) were alternately supplied. For all these methods, the film thickness per cycle was saturated at a certain value for a wide range of deposition conditions. In the catalytic ALD, the selective deposition of silicon nitride on hydrogen-terminated Si was achieved, but, it was limited to only a thin (2SiO (evaporative).

  2. Chemical solution deposition of LaMnO3-based films for coated conductors

    International Nuclear Information System (INIS)

    Shi, D Q; Zhu, X B; Kim, J H; Wang, L; Zeng, R; Dou, S X; Lei, H C; Sun, Y P

    2008-01-01

    LaMnO 3 -based films were prepared using the chemical solution deposition method. It was found that the films on perovskite oxide single crystal substrates are highly (h00)-oriented when the annealing atmosphere is oxygen or air; however, when the substrate is yttrium-stabilized ZrO 2 , only the La 1-x Na x MnO 3 films are highly (h00)-oriented, and other LaMnO 3 -based films are (110)-oriented. Under a reducing annealing atmosphere, the atmosphere must be wet in order to create a suitable oxygen partial pressure to crystallize the LaMnO 3 -based films. After annealing under a wet reducing atmosphere the LaMnO 3 -based films are (110)-oriented when the films are directly deposited on Ni tapes; however, when SrTiO 3 -buffered Ni tapes are used, the LaMnO 3 films are (h00)-oriented, which is suitable for subsequent growth of YBCO. The results suggest that it is possible to tune the orientation of buffer layers using suitable templates, which can widen the selection of buffer layers for coated conductors in the all metallorganic deposition approach

  3. Hydrothermal Growth and Application of ZnO Nanowire Films with ZnO and TiO2Buffer Layers in Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Jiang Chunhua

    2009-01-01

    Full Text Available Abstract This paper reports the effects of the seed layers prepared by spin-coating and dip-coating methods on the morphology and density of ZnO nanowire arrays, thus on the performance of ZnO nanowire-based dye-sensitized solar cells (DSSCs. The nanowire films with the thick ZnO buffer layer (~0.8–1 μm thick can improve the open circuit voltage of the DSSCs through suppressing carrier recombination, however, and cause the decrease of dye loading absorbed on ZnO nanowires. In order to further investigate the effect of TiO2buffer layer on the performance of ZnO nanowire-based DSSCs, compared with the ZnO nanowire-based DSSCs without a compact TiO2buffer layer, the photovoltaic conversion efficiency and open circuit voltage of the ZnO DSSCs with the compact TiO2layer (~50 nm thick were improved by 3.9–12.5 and 2.4–41.7%, respectively. This can be attributed to the introduction of the compact TiO2layer prepared by sputtering method, which effectively suppressed carrier recombination occurring across both the film–electrolyte interface and the substrate–electrolyte interface.

  4. Flow and transport through a damaged buffer - exploration of the impact of a cemented and an eroded buffer

    Energy Technology Data Exchange (ETDEWEB)

    Neretnieks, Ivars [Dept. of Chemical Engineering and Technology, Royal Institute of Technology, Stockholm (Sweden)

    2006-12-15

    The compacted bentonite buffer that surrounds the canister with spent fuel is designed not to allow water to flow through it in any appreciable quantities. Should the buffer be severely damaged water could flow to the canister and bring with it corrosive agent such as sulphide. This could speed up the rate of copper corrosion. Should the canister have a damage or be corroded so that the spent fuel inside the canister comes in contact with the water radionuclides could dissolve in the water and be carried away. Two modes of canister damage and their consequences for solute transport are explored in this report. In one case the buffer can potentially be eroded by the water seeping in the fractures in the surrounding rock and attain a high hydraulic conductivity allowing water to flow through it, at least locally. In another case it is conjectured that the buffer is cemented and becomes brittle. Then a crack could conceivably form in the cemented buffer allowing water to flow through it directly to the canister surface. Both cases are hypothetical although in the erosion case the erosion rate could possibly be quantified. The cases studied are to be seen as exploratory calculations for 'what if' cases. Some worst case assumptions have been used to explore bounding scenarios. Thus for the erosion case it is assumed that the hydraulic conductivity is so high that the buffer exerts negligible resistance to water flow. This implies that all the water that can flow through the fractures intersecting the deposition hole can reach the canister. Similarly for the cemented buffer case it is assumed that the crack in the buffer directly connects the rock fracture to the canister surface. For these circumstances it is found that the flowrate that can enter the deposition hole will be approximately two times as large as the water flow that would pass a projected area equal to that of the deposition hole. Thus if the flux in the rock some distance from the deposition

  5. Flow and transport through a damaged buffer - exploration of the impact of a cemented and an eroded buffer

    International Nuclear Information System (INIS)

    Neretnieks, Ivars

    2006-12-01

    The compacted bentonite buffer that surrounds the canister with spent fuel is designed not to allow water to flow through it in any appreciable quantities. Should the buffer be severely damaged water could flow to the canister and bring with it corrosive agent such as sulphide. This could speed up the rate of copper corrosion. Should the canister have a damage or be corroded so that the spent fuel inside the canister comes in contact with the water radionuclides could dissolve in the water and be carried away. Two modes of canister damage and their consequences for solute transport are explored in this report. In one case the buffer can potentially be eroded by the water seeping in the fractures in the surrounding rock and attain a high hydraulic conductivity allowing water to flow through it, at least locally. In another case it is conjectured that the buffer is cemented and becomes brittle. Then a crack could conceivably form in the cemented buffer allowing water to flow through it directly to the canister surface. Both cases are hypothetical although in the erosion case the erosion rate could possibly be quantified. The cases studied are to be seen as exploratory calculations for 'what if' cases. Some worst case assumptions have been used to explore bounding scenarios. Thus for the erosion case it is assumed that the hydraulic conductivity is so high that the buffer exerts negligible resistance to water flow. This implies that all the water that can flow through the fractures intersecting the deposition hole can reach the canister. Similarly for the cemented buffer case it is assumed that the crack in the buffer directly connects the rock fracture to the canister surface. For these circumstances it is found that the flowrate that can enter the deposition hole will be approximately two times as large as the water flow that would pass a projected area equal to that of the deposition hole. Thus if the flux in the rock some distance from the deposition hole is 1

  6. High Performance Nano-Constituent Buffer Layer Thin Films to Enable Low Cost Integrated On-the-Move Communications Systems

    National Research Council Canada - National Science Library

    Cole, M. W; Nothwang, W. D; Hubbard, C; Ngo, E; Hirsch, S

    2004-01-01

    .... Utilizing a coplanar device design we successfully designed, fabricated, characterized, and optimized a high performance Ta2O5 thin film passive buffer layer on Si substrates, which will allow...

  7. Fully relaxed low-mismatched InAlAs layer on an InP substrate by using a two step buffer

    NARCIS (Netherlands)

    Plissard, S.R.; Coinon, C.; Androussi, Y.; Wallart, X.

    2010-01-01

    The strain relaxation in low mismatched InxAl1-xAs layers has been studied by triple axis x-ray diffraction, transmission electron microscopy, and photoluminescence. Using a two step buffer, a fully relaxed top layer has been grown by adapting the composition and thickness of a first "strained

  8. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    Science.gov (United States)

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  9. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  10. About influence of buffer porous layers between epitaxial layers of heterostructure on distributions of concentrations of dopants in heterobipolar transistors

    Directory of Open Access Journals (Sweden)

    E Pankratov

    2016-10-01

    Full Text Available In this paper we introduce an approach to manufacture a heterobipolar transistors. Framework this approach we consider doping by diffusion or by ion implantation of required parts of a heterostructure with special configuration and optimization of annealing of dopant and/or radiation defects. In this case one have possibility to manufacture bipolar transistors, which include into itself p-n-junctions with higher sharpness and smaller dimensions. We also consider influence of presents of buffer porous layers between epitaxial layers of heterostructure on distributions of concentrations of dopants in the considered transistors. An approach to decrease value of mismatch-induced stress has been considered.

  11. Atomic layer deposition of GaN at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Ozgit, Cagla; Donmez, Inci; Alevli, Mustafa; Biyikli, Necmi [UNAM - Institute of Materials Science and Nanotechnology, Bilkent University, 06800 Ankara (Turkey)

    2012-01-15

    The authors report on the self-limiting growth of GaN thin films at low temperatures. Films were deposited on Si substrates by plasma-enhanced atomic layer deposition using trimethylgallium (TMG) and ammonia (NH{sub 3}) as the group-III and -V precursors, respectively. GaN deposition rate saturated at 185 deg. C for NH{sub 3} doses starting from 90 s. Atomic layer deposition temperature window was observed from 185 to {approx}385 deg. C. Deposition rate, which is constant at {approx}0.51 A/cycle within the temperature range of 250 - 350 deg. C, increased slightly as the temperature decreased to 185 deg. C. In the bulk film, concentrations of Ga, N, and O were constant at {approx}36.6, {approx}43.9, and {approx}19.5 at. %, respectively. C was detected only at the surface and no C impurities were found in the bulk film. High oxygen concentration in films was attributed to the oxygen impurities present in group-V precursor. High-resolution transmission electron microscopy studies revealed a microstructure consisting of small crystallites dispersed in an amorphous matrix.

  12. Atomic layer deposition of nanostructured materials

    CERN Document Server

    Pinna, Nicola

    2012-01-01

    Atomic layer deposition, formerly called atomic layer epitaxy, was developed in the 1970s to meet the needs of producing high-quality, large-area fl at displays with perfect structure and process controllability. Nowadays, creating nanomaterials and producing nanostructures with structural perfection is an important goal for many applications in nanotechnology. As ALD is one of the important techniques which offers good control over the surface structures created, it is more and more in the focus of scientists. The book is structured in such a way to fi t both the need of the expert reader (du

  13. Investigations into alterntive substrate, absorber, and buffer layer processing for Cu(In,Ga)Se{sub 2}-based solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Tuttle, J.R.; Berens, T.A.; Keane, J. [National Renewable Energy Lab., Golden, CO (United States)] [and others

    1996-05-01

    High-performance Cu(In,Ga)Se{sub 2}(CIGS)-based solar cells are presently fabricated within a narrow range of processing options. In this contribution, alternative substrate, absorber, and buffer layer processing is considered. Cell performance varies considerably when alternative substrates are employed. These variations are narrowed with the addition of Na via a Na{sub 2}S compound. Sputtered and electrodeposited CIGS precursors and completed absorbers show promise as alternatives to evaporation. A recrystallization process is required to improve their quality. (In,Ga){sub y}Se buffer layers contribute to cell performance above 10. Further improvements in these alternatives will lead to combined cell performance greater than 10% in the near term.

  14. To what extent can intracrater layered deposits that lack clear sedimentary textures be used to infer depositional environments?

    Science.gov (United States)

    Cadieux, Sarah B.; Kah, Linda C.

    2015-03-01

    Craters within Arabia Terra, Mars, contain hundreds of meters of layered strata showing systematic alternation between slope- and cliff-forming units, suggesting either rhythmic deposition of distinct lithologies or similar lithologies that experienced differential cementation. On Earth, rhythmically deposited strata can be examined in terms of stratal packaging, wherein the interplay of tectonics, sediment deposition, and base level (i.e., the position above which sediment accumulation is expected to be temporary) result in changes in the amount of space available for sediment accumulation. These predictable patterns of sediment deposition can be used to infer changes in basin accommodation regardless of the mechanism of deposition (e.g. fluvial, lacustrine, or aeolian). Here, we analyze sedimentary deposits from three craters (Becquerel Crater, Danielson Crater, Crater A) in Arabia Terra. Each crater contains layered deposits that are clearly observed in orbital images. Although orbital images are insufficient to specifically determine the origin of sedimentary deposits, depositional couplets can be interpreted in terms of potential accommodation space available for deposition, and changes in the distribution of couplet thickness through stratigraphy can be interpreted in terms of changing base level and the production of new accommodation space. Differences in stratal packaging in these three craters suggest varying relationships between sedimentary influx, sedimentary base level, and concomitant changes in accommodation space. Previous groundwater upwelling models hypothesize that layered sedimentary deposits were deposited under warm climate conditions of early Mars. Here, we use observed stacking patterns to propose a model for deposition under cold climate conditions, wherein episodic melting of ground ice could raise local base level, stabilize sediment deposition, and result in differential cementation of accumulated strata. Such analysis demonstrates that

  15. Polarization recovery in lead zirconate titanate thin films deposited on nanosheets-buffered Si (001)

    OpenAIRE

    Anuj Chopra; Muharrem Bayraktar; Maarten Nijland; Johan E. ten Elshof; Fred Bijkerk; Guus Rijnders

    2016-01-01

    Fatigue behavior of Pb(Zr,Ti)O3 (PZT) films is one of the deterrent factors that limits the use of these films in technological applications. Thus, understanding and minimization of the fatigue behavior is highly beneficial for fabricating reliable devices using PZT films. We have investigated the fatigue behavior of preferentially oriented PZT films deposited on nanosheets-buffered Si substrates using LaNiO3 bottom and top electrodes. The films show fatigue of up to 10% at 100 kHz, whereas n...

  16. Annealing of wet treated Cu(In,Ga)(S,Se){sub 2} solar cells with an indium sulfide buffer

    Energy Technology Data Exchange (ETDEWEB)

    Hönes, C., E-mail: christian.hoenes.001@student.uni.lu; Siebentritt, S., E-mail: susanne.siebentritt@uni.lu

    2015-05-01

    Compound evaporated indium sulfide is one commonly utilized cadmium free buffer layer for Cu(In,Ga)(S,Se){sub 2} solar cells. However, cells with such a buffer layer usually need a post-deposition annealing step to reach the maximum short circuit current, fill factor and open circuit voltage. In this work wet chemical treatments, partly containing cadmium ions, are applied to commercially available absorber material prior to indium sulfide evaporation in order to enhance the initial solar cell parameters. Cells built on treated absorbers show maximum open circuit voltage directly after window layer deposition and a drop in open circuit voltage is observed upon annealing. All samples, however, show an increased collection length and higher fill factor after annealing. A one diode model fit to the current-voltage curves gives ideality factors of 1.7 before annealing which are reduced to values around 1.5 after annealing. Supporting calculations show that the changes upon annealing can be explained within a model including a highly p-doped absorber surface layer. During annealing the acceptor density at the absorber surface might be reduced thus leading to a larger space charge region and thereby increasing the collection length and fill factor while reducing the open circuit voltage. - Highlights: • Wet treatments raise initial voltage of In{sub 2}S{sub 3} buffered Cu(In,Ga)(S,Se){sub 2} solar cells. • Collection length increase after annealing of treated cells is observed. • Voltage decay is explained within a model including a highly p-doped surface layer. • Supporting simulations are in good agreement with the experiments.

  17. Preliminary results from water content and density measurements of the backfill and buffer in the prototype repository at Aespoe HRL

    International Nuclear Information System (INIS)

    Johannesson, Lars-Erik; Grahm, Paer; Hagman, Patrik

    2012-01-01

    Document available in extended abstract form only. Since 2001 the Prototype Repository at Aespoe Hard Rock Laboratory has been carried out as a large-scale experimental installation of the KBS-3 Swedish/Finnish concept for final disposal of spent nuclear fuel. The Prototype Repository consists of a total of six full-scale deposition holes with a centre distance of 6 m, located in a TBM tunnel at a depth of 450 m. Each deposition hole is fitted with a full-scale bentonite buffer, consisting of altogether 14 blocks and a full-scale canister, Figure 1. The canisters are equipped with heaters to simulate the heat from spent nuclear fuel. There are two sections of the installation; The inner section (I) consisting of four deposition holes (no. 1-4) with buffer and canister, and the outer section (II) consisting of two deposition holes (no. 5-6). The deposition tunnel is filled with a mixture of crushed rock and bentonite (30% of bentonite). A massive concrete plug, designed to withstand full water and swelling pressures, separates the test area from the open tunnel system and a second plug separates the two sections. This layout provides two more or less independent test sections. The outer section was opened and retrieved during 2011. The backfill was excavated with a back-hoe loader in layers of two metres. Samples were taken in these layers with the object of determining density and water content. Important items of the backfill to examine were the contact between backfill and the tunnel wall and the contact between the buffer and backfill in the deposition holes. The water content of the backfill was determined by drying samples in an oven at a temperature of 105 C for 24 h and the density was determined by weighting the sample both in air and merged into paraffin oil with known density. Altogether more than 900 tons of backfill material was excavated from the tunnel and more than 1100 samples, distributed over 11 sections, were taken for determining the water

  18. Energy-enhanced atomic layer deposition : offering more processing freedom

    NARCIS (Netherlands)

    Potts, S.E.; Kessels, W.M.M.

    2013-01-01

    Atomic layer deposition (ALD) is a popular deposition technique comprising two or more sequential, self-limiting surface reactions, which make up an ALD cycle. Energy-enhanced ALD is an evolution of traditional thermal ALD methods, whereby energy is supplied to a gas in situ in order to convert a

  19. Spatial atmospheric atomic layer deposition of alxzn1-xo

    NARCIS (Netherlands)

    Illiberi, A.; Scherpenborg, R.; Wu, Y.; Roozeboom, F.; Poodt, P.

    2013-01-01

    The possibility of growing multicomponent oxides by spatial atmospheric atomic layer deposition has been investigated. To this end, Al xZn1-xO films have been deposited using diethyl zinc (DEZ), trimethyl aluminum (TMA), and water as Zn, Al, and O precursors, respectively. When the metal precursors

  20. Area-selective atomic layer deposition of platinum using photosensitive polyimide

    NARCIS (Netherlands)

    Vervuurt, R.H.J.; Sharma, A.; Jiao, Y.; Kessels, W.M.M.; Bol, A.A.

    2016-01-01

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a

  1. Low temperature step-graded InAlAs/GaAs metamorphic buffer layers grown by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Shang, X Z; Wu, S D; Liu, C; Wang, W X; Guo, L W; Huang, Q; Zhou, J M

    2006-01-01

    Low-temperature step-graded InAlAs metamorphic buffer layers on GaAs substrate grown by molecular beam epitaxy were investigated. The strain relaxation and the composition of the top InAlAs layer were determined by high-resolution triple-axis x-ray diffraction measurements, which show that the top InAlAs layer is nearly fully relaxed. Surface morphology was observed by reflection high-energy electron diffraction pattern and atomic force microscopy. Under a selected range of growth parameters, the root mean square surface roughness of the sample grown at 380 deg. C is 0.802 nm, which has the smallest value compared with those of other samples. Furthermore, The ω-2θ and ω scans of the triple-axis x-ray diffraction, and photoluminescence show the sample grown at 380 deg. C has better crystalline quality. With decreasing As overpressure at this growth temperature, crystalline quality became poor and could not maintain two dimensional growth with increasing overpressure. The carrier concentrations and Hall mobilities of the InAlAs/ InGaAs/GaAs MM-HEMT structure on low-temperature step-graded InAlAs metamorphic buffer layers grown in optimized conditions are high enough to make devices

  2. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  3. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  4. Control of threading dislocations by strain engineering in GaInP buffers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Li, K.L., E-mail: klli2010@sinano.ac.cn [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Sun, Y.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Dong, J.R. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); He, Y.; Zeng, X.L. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China); University of Chinese Academy of Sciences, Beijing 100049 (China); Zhao, Y.M.; Yu, S.Z.; Zhao, C.Y. [Key Laboratory of Nanodevices and Applications, Suzhou Institute of Nano-Tech and Nano-Bionics, CAS, Suzhou 215123 (China)

    2015-10-30

    High quality strain-relaxed In0.3Ga0.7As layers with threading dislocation density about 2 × 10{sup 6} cm{sup −2} and root-mean-square surface roughness below 8.0 nm were obtained on GaAs substrates using compositionally undulating step-graded Ga{sub 1−x}In{sub x}P (x = 0.48–0.78) buffers. The transmission electron microscopy results reveal that the conventional step-graded GaInP buffers produce high density dislocation pile-ups, which are induced by the blocking effect of the nonuniform misfit dislocation strain field and crosshatched surface on the gliding of threading dislocations. In contrast, due to strain compensation, insertion of the tensile GaInP layers decreases the surface roughness and promotes dislocation annihilation in the interfaces, and eventually reduces the threading dislocation density. This provides a promising way to achieve a virtual substrate with the desired lattice parameter for metamorphic device applications. - Highlights: • Metamorphic GaInP buffers were grown by metal–organic chemical vapor deposition. • The compositionally undulating buffers effectively reduce the threading dislocation density. • High quality strain-relaxed In{sub 0.3}Ga{sub 0.7}As layers were obtained.

  5. Selective deposition contact patterning using atomic layer deposition for the fabrication of crystalline silicon solar cells

    International Nuclear Information System (INIS)

    Cho, Young Joon; Shin, Woong-Chul; Chang, Hyo Sik

    2014-01-01

    Selective deposition contact (SDC) patterning was applied to fabricate the rear side passivation of crystalline silicon (Si) solar cells. By this method, using screen printing for contact patterning and atomic layer deposition for the passivation of Si solar cells with Al 2 O 3 , we produced local contacts without photolithography or any laser-based processes. Passivated emitter and rear-contact solar cells passivated with ozone-based Al 2 O 3 showed, for the SDC process, an up-to-0.7% absolute conversion-efficiency improvement. The results of this experiment indicate that the proposed method is feasible for conversion-efficiency improvement of industrial crystalline Si solar cells. - Highlights: • We propose a local contact formation process. • Local contact forms a screen print and an atomic layer deposited-Al 2 O 3 film. • Ozone-based Al 2 O 3 thin film was selectively deposited onto patterned silicon. • Selective deposition contact patterning method can increase cell-efficiency by 0.7%

  6. Improved Efficiency of Polymer Solar Cells by means of Coating Hole Transporting Layer as Double Layer Deposition

    Science.gov (United States)

    Chonsut, T.; Kayunkid, N.; Rahong, S.; Rangkasikorn, A.; Wirunchit, S.; Kaewprajak, A.; Kumnorkaew, P.; Nukeaw, J.

    2017-09-01

    Polymer solar cells is one of the promising technologies that gain tremendous attentions in the field of renewable energy. Optimization of thickness for each layer is an important factor determining the efficiency of the solar cells. In this work, the optimum thickness of Poly(3,4-ethylenedioxythione): poly(styrenesulfonate) (PEDOT:PSS), a famous polymer widely used as hole transporting layer in polymer solar cells, is determined through the analyzing of device’s photovoltaic parameters, e.g. short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) as well as power conversion efficiency (PCE). The solar cells were prepared with multilayer of ITO/PEDOT:PSS/PCDTBT:PC70BM/TiOx/Al by rapid convective deposition. In such preparation technique, the thickness of the thin film is controlled by the deposition speed. The faster deposition speed is used, the thicker film is obtained. Furthermore, double layer deposition of PEDOT:PSS was introduced as an approach to improve solar cell efficiency. The results obviously reveal that, with the increase of PEDOT:PSS thickness, the increments of Jsc and FF play the important role to improve PCE from 3.21% to 4.03%. Interestingly, using double layer deposition of PEDOT:PSS shows the ability to enhance the performance of the solar cells to 6.12% under simulated AM 1.5G illumination of 100 mW/cm2.

  7. Textured strontium titanate layers on platinum by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Blomberg, T., E-mail: tom.blomberg@asm.com [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Anttila, J.; Haukka, S.; Tuominen, M. [ASM Microchemistry Ltd., Vaeinoe Auerin katu 12 A, 00560 Helsinki (Finland); Lukosius, M.; Wenger, Ch. [IHP, Im Technologiepark 25, 15236 Frankfurt (Oder) (Germany); Saukkonen, T. [Aalto University, Puumiehenkuja 3, 02150 Espoo (Finland)

    2012-08-31

    Formation of textured strontium titanate (STO) layers with large lateral grain size (0.2-1 {mu}m) and low X-ray reflectivity roughness ({approx} 1.36 nm) on Pt electrodes by industry proven atomic layer deposition (ALD) method is demonstrated. Sr(t-Bu{sub 3}Cp){sub 2}, Ti(OMe){sub 4} and O{sub 3} precursors at 250 Degree-Sign C were used to deposit Sr rich STO on Pt/Ti/SiO{sub 2}/Si Empty-Set 200 mm substrates. After crystallization post deposition annealing at 600 Degree-Sign C in air, most of the STO grains showed a preferential orientation of the {l_brace}001{r_brace} plane parallel to the substrate surface, although other orientations were also present. Cross sectional and plan view transmission electron microscopy and electron diffraction analysis revealed more than an order of magnitude larger lateral grain sizes for the STO compared to the underlying multicrystalline {l_brace}111{r_brace} oriented platinum electrode. The combination of platinum bottom electrodes with ALD STO(O{sub 3}) shows a promising path towards the formation of single oriented STO film. - Highlights: Black-Right-Pointing-Pointer Amorphous strontium titanate (STO) on platinum formed a textured film after annealing. Black-Right-Pointing-Pointer Single crystal domains in 60 nm STO film were 0.2-1 {mu}m wide. Black-Right-Pointing-Pointer Most STO grains were {l_brace}001{r_brace} oriented.

  8. Hydrogen intercalation of single and multiple layer graphene synthesized on Si-terminated SiC(0001) surface

    International Nuclear Information System (INIS)

    Sołtys, Jakub; Piechota, Jacek; Ptasinska, Maria; Krukowski, Stanisław

    2014-01-01

    Ab initio density functional theory simulations were used to investigate the influence of hydrogen intercalation on the electronic properties of single and multiple graphene layers deposited on the SiC(0001) surface (Si-face). It is shown that single carbon layer, known as a buffer layer, covalently bound to the SiC substrate, is liberated after hydrogen intercalation, showing characteristic Dirac cones in the band structure. This is in agreement with the results of angle resolved photoelectron spectroscopy measurements of hydrogen intercalation of SiC-graphene samples. In contrast to that hydrogen intercalation has limited impact on the multiple sheet graphene, deposited on Si-terminated SiC surface. The covalently bound buffer layer is liberated attaining its graphene like structure and dispersion relation typical for multilayer graphene. Nevertheless, before and after intercalation, the four layer graphene preserved the following dispersion relations in the vicinity of K point: linear for (AAAA) stacking, direct parabolic for Bernal (ABAB) stacking and “wizard hat” parabolic for rhombohedral (ABCA) stacking

  9. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process-Uncoupling Material Synthesis and Layer Formation.

    Science.gov (United States)

    Panzer, Fabian; Hanft, Dominik; Gujar, Tanaji P; Kahle, Frank-Julian; Thelakkat, Mukundan; Köhler, Anna; Moos, Ralf

    2016-04-08

    We present the successful fabrication of CH₃NH₃PbI₃ perovskite layers by the aerosol deposition method (ADM). The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  10. Chemically deposed layer sytems for the realization of YBa2Cu3O7-δ band conductors

    International Nuclear Information System (INIS)

    Engel, Sebastian

    2009-01-01

    The aim of this thesis was to produce new buffer-layer systems for biaxially texturated Ni5at%W substrates by means of chemical processes. As very promising materials for the buffer layers CaTiO 3 and SrTiO 3 were chosen. The production of the single layers pursued from the organometallic prestage by means of dip coating and subsequent head treatment. During the work first the single precursor solutions were to be developed. A main component of the theses forms the understanding of the texture development during the heat treatment of precursor layers on biaxially texturated metallic substrates. Based on this the growth of thick buffer layers is studied and by means of YBCO layers, which were deposed by beans of a pulsed laser, the functionality of the synthesized buffer layers proved. A further component of this thesis formes the influence of nanoscaling precipitations in thew YBCO on its superconducting properties. The YBCO deposition pursued via a variation of the TFA process, as substrate (001)-oriented SrTiO 3 monocrystals were applied

  11. Investigation of InN layers grown by MOCVD using analytical and high resolution TEM: The structure, band gap, role of the buffer layers

    International Nuclear Information System (INIS)

    Ruterana, P.; Abouzaid, M.; Gloux, F.; Maciej, W.; Doualan, J.L.; Drago, M.; Schmidtling, T.; Pohl, U.W.; Richter, W.

    2006-01-01

    In this work we investigate the microstructure of InN layers grown by MOCVD on different buffer layers using TEM (InN, GaN). The large mismatch between the various lattices (InN, sapphire or GaN) leads to particular interface structures. Our local analysis allows to show that at atomic scale, the material has the InN lattice parameters and that no metallic In precipitates are present, meaning that the PL emission below 0.8 eV is a genuine property of the InN semiconductor. It is also shown that the N polar layers, which exhibit a 2D growth, have poorer PL emission than In polar layers. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  12. Comparison of different photoresist buffer layers in SPR sensors based on D-shaped POF and gold film

    Science.gov (United States)

    Cennamo, Nunzio; Pesavento, Maria; De Maria, Letizia; Galatus, Ramona; Mattiello, Francesco; Zeni, Luigi

    2017-04-01

    A comparative analysis of two optical fiber sensing platforms is presented. The sensors are based on surface plasmon resonance (SPR) in a D-shaped plastic optical fiber (POF) with a photoresist buffer layer between the exposed POF core and the thin gold film. We show how the sensor's performances change when the photoresist layer changes. The photoresist layers proposed in this analysis are SU-8 3005 and S1813. The experimental results are congruent with the numerical studies and it is instrumental for chemical and bio-chemical applications. Usually, the photoresist layer is required in order to increase the performance of the SPR-POF sensor.

  13. Stable Inverted Low-Bandgap Polymer Solar Cells with Aqueous Solution Processed Low-Temperature ZnO Buffer Layers

    Directory of Open Access Journals (Sweden)

    Chunfu Zhang

    2016-01-01

    Full Text Available Efficient inverted low-bandgap polymer solar cells with an aqueous solution processed low-temperature ZnO buffer layer have been investigated. The low-bandgap material PTB-7 is employed so that more solar light can be efficiently harvested, and the aqueous solution processed ZnO electron transport buffer layer is prepared at 150°C so that it can be compatible with the roll-to-roll process. Power conversion efficiency (PCE of the inverted device reaches 7.12%, which is near the control conventional device. More importantly, the inverted device shows a better stability, keeping more than 90% of its original PCE after being stored for 625 hours, while PCE of the conventional device is only 75% of what it was. In addition, it is found that the ZnO thin film annealed in N2 can obviously increase PCE of the inverted device further to 7.26%.

  14. Applications of ZnO:Al deposited by RF sputtering to InN low-cost technology

    Energy Technology Data Exchange (ETDEWEB)

    Fernandez, S. [Departamento de Energias Renovables, Energia Solar Fotovoltaica, Centro de Investigaciones Energeticas, Medioambientales y Tecnologicas (CIEMAT), Madrid (Spain); Naranjo, F.B.; Valdueza-Felip, S. [Grupo de Ingenieria Fotonica, Departamento de Electronica, Escuela Politecnica Superior, Universidad de Alcala Campus Universitario, Madrid (Spain); Abril, O. de [ISOM y Departamento de Fisica Aplicada, Escuela Tecnica Superior de Ingenieros de Telecomunicacion, Universidad Politenica de Madrid (Spain)

    2010-07-15

    InN/ZnO:Al heterostructures deposited at low temperature on different substrates by radio-frequency sputtering were studied. Using ZnO:Al as buffer layer, an improvement in the InN structural properties was achieved. Evaluating ZnO:Al as contact on InN, an Ohmic behaviour for the as-deposited layer on InN was achieved. A specific contact resistance of 2 {omega} cm{sup 2} was measured without any post-deposition treatment. These properties could result very promising for optoelectronic device applications. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  15. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    Science.gov (United States)

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  16. LaNiO3 buffer layers for high critical current density YBa2Cu3O7-δ and Tl2Ba2CaCu2O8-δ films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5 K, H=0) than films grown directly on a bare LaAlO 3 substrate. YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films in coated conductor applications. copyright 1999 American Institute of Physics

  17. LaNiO3 Buffer Layers for High Critical Current Density YBa2Cu3O7δ and Tl2Ba2CaCu2O8δ Films

    International Nuclear Information System (INIS)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-01-01

    We demonstrate high critical current density superconducting films of YBa 2 Cu 3 O 7-δ (YBCO) and Tl 2 Ba 2 CaCu 2 O 8-δ (Tl-2212) using LaNiO 3 (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J c (5K, H=0) than films grown directly on a bare LaAlO 3 substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J c at all temperatures and fields compared to those grown on bare LaAlO 3 , correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications

  18. Compact Layers of Hybrid Halide Perovskites Fabricated via the Aerosol Deposition Process—Uncoupling Material Synthesis and Layer Formation

    Directory of Open Access Journals (Sweden)

    Fabian Panzer

    2016-04-01

    Full Text Available We present the successful fabrication of CH3NH3PbI3 perovskite layers by the aerosol deposition method (ADM. The layers show high structural purity and compactness, thus making them suitable for application in perovskite-based optoelectronic devices. By using the aerosol deposition method we are able to decouple material synthesis from layer processing. Our results therefore allow for enhanced and easy control over the fabrication of perovskite-based devices, further paving the way for their commercialization.

  19. Fabrication of the cube textured NiO buffer layer by line-focused infrared heating for coated conductor application

    International Nuclear Information System (INIS)

    Chung, Jun-Ki; Kim, Won-Jeong; Tak, Jinsung; Kim, Cheol Jin

    2007-01-01

    Epitaxial growth of NiO on the bi-axially textured Ni-3 at.%W (Ni-3W) substrate as seed layer for coated conductor were studied. The bi-axially textured NiO was formed on the Ni-3W tapes using a line-focused infrared heater by oxidizing the surface of the substrate at 800-950 deg. C for 15-120 s in oxygen atmosphere. The thickness of the NiO layer could be controlled by changing heat-treatment, which was estimated as approximately 200-500 nm in the cross-sectional SEM micrographs of the NiO/Ni template. This thickness is enough to block the diffusion of the Ni in the substrate to the superconducting layer. The samples showed strong texture development of NiO layer. The sample oxidized at 900 deg. C with the tape transferring speed of 30 mm/h exhibited ω-scan full width at half maximum (FWHM) values for Ni-3W(2 0 0) and NiO(2 0 0) were 3.97 deg., and 3.67 deg., and φ-scan FWHM values for Ni-3W(1 1 1) and NiO(1 1 1) were 9.58 deg., and 8.79 deg., respectively. Also, the (1 1 1) pole-figure of the NiO buffer layer showed the good symmetry of the four peaks, securing the epitaxial growth of the buffer layers on the NiO layer. Also NiO layer exhibited root-mean-square roughness value of 39 nm by AFM (10 x 10 μm) investigation

  20. Effect of InSb/In0.9Al0.1Sb superlattice buffer layer on the structural and electronic properties of InSb films

    Science.gov (United States)

    Zhao, Xiaomeng; Zhang, Yang; Guan, Min; Cui, Lijie; Wang, Baoqiang; Zhu, Zhanping; Zeng, Yiping

    2017-07-01

    The effect of InSb/In0.9Al0.1Sb buffer layers on InSb thin films grown on GaAs (0 0 1) substrate by molecular beam epitaxy (MBE) is investigated. The crystal quality and the surface morphology of InSb are characterized by XRD and AFM. The carrier transport property is researched through variable temperature hall test. The sharp interface between InSb/In0.9Al0.1Sb is demonstrated important for the high quality InSb thin film. We try different superlattice buffer layers by changing ratios, 2-0.5, thickness, 300-450 nm, and periods, 20-50. According to the function of the dislocation density to the absolute temperature below 150 K with different periods of SL buffers, we can find that the number of periods of superlattice is a major factor to decrease the density of threading dislocations. With the 50 periods SL buffer layer, the electron mobility of InSb at the room temperature and liquid nitrogen cooling temperature is ∼63,000 and ∼4600 cm2/V s, respectively. We deduce that the interface in the SL structure works as a filter layer to prevent the dislocation propagating to the upper InSb thin films.

  1. Method of depositing an electrically conductive oxide film on a textured metallic substrate and articles formed therefrom

    Science.gov (United States)

    Christen, David K.; He, Qing

    2001-01-01

    The present invention provides a biaxially textured laminate article having a polycrystalline biaxially textured metallic substrate with an electrically conductive oxide layer epitaxially deposited thereon and methods for producing same. In one embodiment a biaxially texture Ni substrate has a layer of LaNiO.sub.3 deposited thereon. An initial layer of electrically conductive oxide buffer is epitaxially deposited using a sputtering technique using a sputtering gas which is an inert or forming gas. A subsequent layer of an electrically conductive oxide layer is then epitaxially deposited onto the initial layer using a sputtering gas comprising oxygen. The present invention will enable the formation of biaxially textured devices which include HTS wires and interconnects, large area or long length ferromagnetic and/or ferroelectric memory devices, large area or long length, flexible light emitting semiconductors, ferroelectric tapes, and electrodes.

  2. Fabrication of Inverted Bulk-Heterojunction Organic Solar Cell with Ultrathin Titanium Oxide Nanosheet as an Electron-Extracting Buffer Layer

    Science.gov (United States)

    Itoh, Eiji; Maruyama, Yasutake; Fukuda, Katsutoshi

    2012-02-01

    The contributions and deposition conditions of ultrathin titania nanosheet (TN) crystallites were studied in an inverted bulk-heterojunction (BHJ) cell in indium tin oxide (ITO)/titania nanosheet/poly(3-hexylthiophene) (P3HT):phenyl-C61-butyric acid methylester (PCBM) active layer/MoOx/Ag multilayered photovoltaic devices. Only one or two layers of poly(diallyldimethylammonium chloride) (PDDA) and TN multilayered film deposited by the layer-by-layer deposition technique effectively decreased the leakage current and increased both open circuit voltage (VOC) and fill factor (FF), and power conversion efficiency (η) was increased nearly twofold by the insertion of two TN layers. The deposition of additional TN layers caused the reduction in FF, and the abnormal S-shaped curves above VOC for the devices with three and four TN layers were ascribed to the interfacial potential barrier at the ITO/TN interface and the series resistance across the multilayers of TN and PDDA. The performance of the BHJ cell with TN was markedly improved, and the S-shaped curves were eliminated following the the insertion of anatase-phase titanium dioxide between the ITO and TN layers owing to the decrease in the interfacial potential barrier.

  3. Earthquake induced rock shear through a deposition hole when creep is considered - first model. Effect on the canister and the buffer

    Energy Technology Data Exchange (ETDEWEB)

    Hernelind, Jan [5T Engineering AB, Vaesteraas (Sweden)

    2006-08-15

    March, 2000, a study regarding 'Earthquake induced rock shear through a deposition hole' was performed. Existing fractures crossing a deposition hole may be activated and sheared by an earthquake. The effect of such a rock shear has been investigated in a project that includes both laboratory tests and finite element calculations. The buffer material in a deposition hole acts as a cushion between the canister and the rock, which reduces the effect of a rock shear substantially. Lower density of the buffer yields softer material and reduced effect on the canister. However, at the high density that is suggested for a repository the stiffness of the buffer is rather high. The stiffness is also a function of the rate of shear, which means that there may be a substantial damage on the canister at very high shear rates. The rock shear has been modeled with finite element calculations with the code ABAQUS. A three-dimensional finite element mesh of the buffer and the canister has been created and simulation of a rock shear has been performed. The rock shear has been assumed to take place perpendicular to the canister at the quarter point. The shear calculations have been driven to a total shear of 20 cm. This report summarizes the effect of considering creep in the canister for one of the previous cases. Two different creep models have been used - the first one has been suggested by K Pettersson and the second one has been suggested by R Sandstroem. Both have been implemented in the FE-code ABAQUS as a user supplied subroutine CREEP. This report summarizes results obtained by using the first model suggested by K Pettersson. As can be seen from the obtained results using the first creep model (in the following named creep{sub k}p) the effect of creep in copper doesn't affect stresses and strains in the buffer and the steel part very much. However, especially the stresses in the canister are highly affected.

  4. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  5. Enhancement of hole-injection and power efficiency of organic light emitting devices using an ultra-thin ZnO buffer layer

    International Nuclear Information System (INIS)

    Huang, H.-H.; Chu, S.-Y.; Kao, P.-C.; Chen, Y.-C.; Yang, M.-R.; Tseng, Z.-L.

    2009-01-01

    The advantages of using an anode buffer layer of ZnO on the electro-optical properties of organic light emitting devices (OLEDs) are reported. ZnO powders were thermal-evaporated and then treated with ultra-violet (UV) ozone exposure to make the ZnO layers. The turn-on voltage of OLEDs decreased from 4 V (4.2 cd/m 2 ) to 3 V (3.4 cd/m 2 ) and the power efficiency increased from 2.7 lm/W to 4.7 lm/W when a 1-nm-thick ZnO layer was inserted between indium tin oxide (ITO) anodes and α-naphthylphenylbiphenyl diamine (NPB) hole-transporting layers. X-ray and ultra-violet photoelectron spectroscopy (XPS and UPS) results revealed the formation of the ZnO layer and showed that the work function increased by 0.59 eV when the ZnO/ITO layer was treated by UV-ozone for 20 min. The surface of the ZnO/ITO film became smoother than that of bare ITO film after the UV-ozone treatment. Thus, the hole-injection energy barrier was lowered by inserting an ZnO buffer layer, resulting in a decrease of the turn-on voltage and an increase of the power efficiency of OLEDs.

  6. Layer-by-layer deposition of superconducting Sr-Ca-Cu-O films by the spray pyrolysis technique

    International Nuclear Information System (INIS)

    Pawar, S.H.; Pawaskar, P.N.; Ubale, M.J.; Kulkarni, S.B.

    1995-01-01

    Layer-by-layer deposition of Sr-Ca-Cu-O films has been carried out using the spray pyrolysis technique. Reagent-grade nitrates of strontium, calcium and copper were used to prepare starting solutions for spray pyrolysis. A two-step procedure was used for every layer of the constituents in the sequence Sr-Cu-Ca-Cu-Sr: first, deposition onto silver substrate at 350 C, then firing at T≥450 C, both at atmospheric pressure. The films were 2-3 μm thick and showed adequate adhesion to the substrate. The films were then characterised by studying their electron micrographs, X-ray diffraction patterns and electrical resistivity. The films showed superconductivity below 104 K. ((orig.))

  7. Electron molecular beam epitaxy: Layer-by-layer growth of complex oxides via pulsed electron-beam deposition

    International Nuclear Information System (INIS)

    Comes, Ryan; Liu Hongxue; Lu Jiwei; Gu, Man; Khokhlov, Mikhail; Wolf, Stuart A.

    2013-01-01

    Complex oxide epitaxial film growth is a rich and exciting field, owing to the wide variety of physical properties present in oxides. These properties include ferroelectricity, ferromagnetism, spin-polarization, and a variety of other correlated phenomena. Traditionally, high quality epitaxial oxide films have been grown via oxide molecular beam epitaxy or pulsed laser deposition. Here, we present the growth of high quality epitaxial films using an alternative approach, the pulsed electron-beam deposition technique. We demonstrate all three epitaxial growth modes in different oxide systems: Frank-van der Merwe (layer-by-layer); Stranski-Krastanov (layer-then-island); and Volmer-Weber (island). Analysis of film quality and morphology is presented and techniques to optimize the morphology of films are discussed.

  8. LaNiO(3) Buffer Layers for High Critical Current Density YBa(2)Cu(3)O(7-delta) and Tl(2)Ba(2)CaCu(2)O(8-delta) Films

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, C.M.; Parilla, P.A.; Siegal, M.P.; Ginley, D.S.; Wang, Y.-T.; Blaugher, R.D.; Price, J.C.; Overmyer, D.L.; Venturini, E.L.

    1999-08-24

    We demonstrate high critical current density superconducting films of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} (YBCO) and Tl{sub 2}Ba{sub 2}CaCu{sub 2}O{sub 8{minus}{delta}} (Tl-2212) using LaNiO{sub 3} (LNO) buffer layers. YBCO films grown on an LNO buffer layer have only a slightly lower J{sub c} (5K, H=0) than films grown directly on a bare LaAlO{sub 3} substrate. It is noteworthy that YBCO films grown on LNO buffer layers exhibit minor microstructural disorder and enhanced flux pinning. LNO-buffered Tl-2212 samples show large reductions in J{sub c} at all temperatures and fields compared to those grown on bare LaAlO{sub 3}, correlating to both a-axis grain and nonsuperconducting phase formation. With additional optimization, LNO could be a promising buffer layer for both YBCO and Tl-based superconducting films, perhaps ideally suited for coated conductor applications.

  9. Development of in-situ control diagnostics for application of epitaxial superconductor and buffer layers

    Energy Technology Data Exchange (ETDEWEB)

    B.C. Winkleman; T.V. Giel; Jason Cunningham

    1999-07-30

    The recent achievements of critical currents in excess of 1 x 10{sup 6} amp/cm{sup 2} at 77 K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential fabrication of these coated conductors as wire. Numerous approaches and manufacturing schemes for producing coated conductor wire are currently being developed. Recently, under the US DOE's sponsorship, the University of Tennessee Space Institute performed an extensive evaluation of leading coated conductor processing options. In general, it is their feeling that the science and chemistry that are being developed in the coated conductor wire program now need proper engineering evaluation to define the most viable options for a commercial fabrication process. All fabrication processes will need process control measurements. This report provides a specific review of the needs and available technologies for process control for many of the coated conductor processing options. This report also addresses generic process monitoring areas in which additional research and development is needed. The concentration is on the two different approaches for obtaining the textured substrates that have been identified as viable candidates. These are the Los Alamos National Laboratory's ion-beam assisted deposition, called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory's rolling assisted, bi-axially textured substrate option called RABiTS{trademark}.

  10. DEVELOPMENT OF IN-SITU CONTROL DIAGNOSTICS FOR APPLICATION OF EPITAXIAL SUPERCONDUCTOR AND BUFFER LAYERS

    Energy Technology Data Exchange (ETDEWEB)

    B.C. Winkleman; T.V. Giel, Jr.; J. Cunningham

    1999-06-30

    The recent achievements of critical currents in excess of 1x10{sup 6}amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential fabrication of these coated conductors as wire. Numerous approaches and manufacturing schemes for producing coated conductor wire are currently being developed. Recently, under the U. S. Department of Energy (DOE's) sponsorship, the University of Tennessee Space Institute (UTSI) performed an extensive evaluation of leading coated conductor processing options. In general, it is our feeling that the science and chemistry that are being developed in the coated conductor wire program now need proper engineering evaluation to define the most viable options for a commercial fabrication process. All fabrication processes will need process control measurements. This report provides a specific review of the needs and available technologies for process control for many of the coated conductor processing options. This report also addresses generic process monitoring areas in which additional research and development is needed. The concentration is on the two different approaches for obtaining the textured substrates that have been identified as viable candidates. These are the Los Alamos National Laboratory's (LANL) ion-beam assisted deposition, called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory's (ORNL) rolling assisted, bi-axially textured substrate option called RABiTS{trademark}.

  11. SnO2 anode surface passivation by atomic layer deposited HfO2 improves li-ion battery performance

    KAUST Repository

    Yesibolati, Nulati

    2014-03-14

    For the first time, it is demonstrated that nanoscale HfO2 surface passivation layers formed by atomic layer deposition (ALD) significantly improve the performance of Li ion batteries with SnO2-based anodes. Specifically, the measured battery capacity at a current density of 150 mAg -1 after 100 cycles is 548 and 853 mAhg-1 for the uncoated and HfO2-coated anodes, respectively. Material analysis reveals that the HfO2 layers are amorphous in nature and conformably coat the SnO2-based anodes. In addition, the analysis reveals that ALD HfO2 not only protects the SnO2-based anodes from irreversible reactions with the electrolyte and buffers its volume change, but also chemically interacts with the SnO2 anodes to increase battery capacity, despite the fact that HfO2 is itself electrochemically inactive. The amorphous nature of HfO2 is an important factor in explaining its behavior, as it still allows sufficient Li diffusion for an efficient anode lithiation/delithiation process to occur, leading to higher battery capacity. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Comparison of physical and electrical properties of GZO/ZnO buffer layer and GZO as source and drain electrodes of α-IGZO thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Jia-Ling; Lin, Han-Yu; Su, Bo-Yuan; Chen, Yu-Cheng [Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China); Chu, Sheng-Yuan, E-mail: chusy@mail.ncku.edu.tw [Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China); Advanced Optoelectronic Technology Center, National Cheng Kung University, Tainan 70101, Taiwan (China); Liu, Ssu-Yin [Department of Electrical Engineering, National Cheng Kung University, Tainan 70101, Taiwan (China); Chang, Chia-Chiang; Wu, Chin-Jyi [Industrial Technology Research Institute, Mechanical and Systems Research Laboratories, Hsinchu 310, Taiwan (China)

    2014-04-01

    Highlights: • The electrodes of bi-layer GZO/ ZnO and single-layer GZO in α-IGZO TFT were compared. • The TFT performances of two different structures were systematically investigated. • The bi-layer GZO/100-nm ZnO S/D electrodes showed the better TFT device properties. - Abstract: In this research, top-gate bottom-contact thin-film transistors (TFTs) made with amorphous indium gallium zinc oxide (α-IGZO) active layers were grown using the radio-frequency sputtering technique. Two kinds of source and drain (S/D) electrodes, namely bi-layer GZO/100-nm ZnO buffer layer/Corning 1737 and single-layer GZO/Corning 1737, used in the TFT devices and the electric characteristics of the devices were compared. To explain the differences in the TFT performances with these different S/D electrodes, X-ray reflectivity (XRR) and contact angles were measured. The α-IGZO TFT with the bi-layer GZO/100-nm ZnO buffer layer structure as S/D electrodes exhibited superior device performance compared to that of the TFT with a single-layer GZO structure, with a higher thin film density (5.94 g/cm{sup 3}), lower surface roughness (0.817 nm), and larger surface energy (62.07 mJ/m{sup 2}) and better adhesion properties of neighboring α-IGZO films. In addition, the mechanisms responsible for the GZO/100-nm ZnO buffer layer/Corning 1737 structure S/D electrodes improving the device characteristics were systematically investigated. The α-IGZO TFT saturation mobility, subthreshold voltage, on/off current ratio, and the trap density of the GZO/100-nm ZnO buffer layer/Corning 1737 S/D electrodes were 13.5 cm{sup 2} V{sup −1} S{sup −1}, 0.43 V/decade, 3.56 × 10{sup 7}, and 5.65 × 10{sup 12} eV{sup −1} cm{sup −2}, respectively, indicating the potential of this bi-layer structure to be applied to large-area flat-panel displays.

  13. Comparison of physical and electrical properties of GZO/ZnO buffer layer and GZO as source and drain electrodes of α-IGZO thin-film transistors

    International Nuclear Information System (INIS)

    Wu, Jia-Ling; Lin, Han-Yu; Su, Bo-Yuan; Chen, Yu-Cheng; Chu, Sheng-Yuan; Liu, Ssu-Yin; Chang, Chia-Chiang; Wu, Chin-Jyi

    2014-01-01

    Highlights: • The electrodes of bi-layer GZO/ ZnO and single-layer GZO in α-IGZO TFT were compared. • The TFT performances of two different structures were systematically investigated. • The bi-layer GZO/100-nm ZnO S/D electrodes showed the better TFT device properties. - Abstract: In this research, top-gate bottom-contact thin-film transistors (TFTs) made with amorphous indium gallium zinc oxide (α-IGZO) active layers were grown using the radio-frequency sputtering technique. Two kinds of source and drain (S/D) electrodes, namely bi-layer GZO/100-nm ZnO buffer layer/Corning 1737 and single-layer GZO/Corning 1737, used in the TFT devices and the electric characteristics of the devices were compared. To explain the differences in the TFT performances with these different S/D electrodes, X-ray reflectivity (XRR) and contact angles were measured. The α-IGZO TFT with the bi-layer GZO/100-nm ZnO buffer layer structure as S/D electrodes exhibited superior device performance compared to that of the TFT with a single-layer GZO structure, with a higher thin film density (5.94 g/cm 3 ), lower surface roughness (0.817 nm), and larger surface energy (62.07 mJ/m 2 ) and better adhesion properties of neighboring α-IGZO films. In addition, the mechanisms responsible for the GZO/100-nm ZnO buffer layer/Corning 1737 structure S/D electrodes improving the device characteristics were systematically investigated. The α-IGZO TFT saturation mobility, subthreshold voltage, on/off current ratio, and the trap density of the GZO/100-nm ZnO buffer layer/Corning 1737 S/D electrodes were 13.5 cm 2 V −1 S −1 , 0.43 V/decade, 3.56 × 10 7 , and 5.65 × 10 12 eV −1 cm −2 , respectively, indicating the potential of this bi-layer structure to be applied to large-area flat-panel displays

  14. Tritium recovery from co-deposited layers using 193-nm laser

    Science.gov (United States)

    Shu, W. M.; Kawakubo, Y.; Nishi, M. F.

    Recovery of tritium from co-deposited layers formed in deuterium-tritium plasma operations of the TFTR (Tokamak Fusion Test Reactor) was investigated by the use of an ArF excimer laser operating at the wavelength of 193 nm. At the laser energy density of 0.1 J/cm2, a transient spike of the tritium-release rate was observed at initial irradiation. Hydrogen isotopes were released in the form of hydrogen-isotope molecules during the laser irradiation in vacuum, suggesting that tritium can be recovered readily from the released gases. In a second experiment, hydrogen (tritium) recovery from the co-deposited layers on JT-60 tiles that had experienced hydrogen-plasma operations was investigated by laser ablation with a focused beam of the excimer laser. The removal rate of the co-deposited layers was quite low when the laser energy density was smaller than the ablation threshold (1.0 J/cm2), but reached 1.1 μm/pulse at the laser energy density of 7.6 J/cm2. The effective absorption coefficient in the co-deposited layers at the laser wavelength was determined to be 1.9 μm-1. The temperature of the surface during the irradiation at the laser energy density of 0.5 J/cm2 was measured on the basis of Planck's law of radiation, and the maximum temperature during the irradiation decreased from 3570 K at the initial irradiation to 2550 K at the 1000th pulse of the irradiation.

  15. Nanoparticle layer deposition for highly controlled multilayer formation based on high-coverage monolayers of nanoparticles

    International Nuclear Information System (INIS)

    Liu, Yue; Williams, Mackenzie G.; Miller, Timothy J.; Teplyakov, Andrew V.

    2016-01-01

    This paper establishes a strategy for chemical deposition of functionalized nanoparticles onto solid substrates in a layer-by-layer process based on self-limiting surface chemical reactions leading to complete monolayer formation within the multilayer system without any additional intermediate layers — nanoparticle layer deposition (NPLD). This approach is fundamentally different from previously established traditional layer-by-layer deposition techniques and is conceptually more similar to well-known atomic and molecular layer deposition processes. The NPLD approach uses efficient chemical functionalization of the solid substrate material and complementary functionalization of nanoparticles to produce a nearly 100% coverage of these nanoparticles with the use of “click chemistry”. Following this initial deposition, a second complete monolayer of nanoparticles is deposited using a copper-catalyzed “click reaction” with the azide-terminated silica nanoparticles of a different size. This layer-by-layer growth is demonstrated to produce stable covalently-bound multilayers of nearly perfect structure over macroscopic solid substrates. The formation of stable covalent bonds is confirmed spectroscopically and the stability of the multilayers produced is tested by sonication in a variety of common solvents. The 1-, 2- and 3-layer structures are interrogated by electron microscopy and atomic force microscopy and the thickness of the multilayers formed is fully consistent with that expected for highly efficient monolayer formation with each cycle of growth. This approach can be extended to include a variety of materials deposited in a predesigned sequence on different substrates with a highly conformal filling. - Highlights: • We investigate the formation of high-coverage monolayers of nanoparticles. • We use “click chemistry” to form these monolayers. • We form multiple layers based on the same strategy. • We confirm the formation of covalent bonds

  16. Simulating Porous Magnetite Layer Deposited on Alloy 690TT Steam Generator Tubes.

    Science.gov (United States)

    Jeon, Soon-Hyeok; Son, Yeong-Ho; Choi, Won-Ik; Song, Geun Dong; Hur, Do Haeng

    2018-01-02

    In nuclear power plants, the main corrosion product that is deposited on the outside of steam generator tubes is porous magnetite. The objective of this study was to simulate porous magnetite that is deposited on thermally treated (TT) Alloy 690 steam generator tubes. A magnetite layer was electrodeposited on an Alloy 690TT substrate in an Fe(III)-triethanolamine solution. After electrodeposition, the dense magnetite layer was immersed to simulate porous magnetite deposits in alkaline solution for 50 days at room temperature. The dense morphology of the magnetite layer was changed to a porous structure by reductive dissolution reaction. The simulated porous magnetite layer was compared with flakes of steam generator tubes, which were collected from the secondary water system of a real nuclear power plant during sludge lancing. Possible nuclear research applications using simulated porous magnetite specimens are also proposed.

  17. Role of the buffer solution in the chemical deposition of CdS films for CIGS solar cell applications

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Sooho; Kim, Donguk; Baek, Dohyun; Hong, Byoungyou; Yi, Junsin; Lee, Jaehyeong [Sungkyunkwan University, Suwon (Korea, Republic of); Park, Yongseob [Chosun College of Science and and Technology, Gwangju (Korea, Republic of); Choi, Wonseok [Hanbat National University, Daejeon (Korea, Republic of)

    2014-05-15

    In this work, the effects of NH{sub 4}Ac on the structural and the electro-optical properties of CdS films were investigated. CdS thin films were deposited on soda-lime glass and indium-tin-oxide (ITO) coated glass from a chemical bath containing 0.025 M cadmium acetate, 0 M ∼ 0.2 M ammonium acetate, 0.5 M thiourea, and ammonia. Cadmium acetate was the cadmium source, ammonium acetate served as a buffer, ammonia was the complexing agent, and thiourea was the source of sulfur. A commonly- available chemical bath deposition system was successfully modified to obtain precise control over the pH of the solution at 75 .deg. C during the deposition. Chemically deposited CdS films were studied by using field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), optical transmittance, and electrical resistivity measurements.

  18. Deposition of HgTe by electrochemical atomic layer epitaxy (EC-ALE)

    CSIR Research Space (South Africa)

    Venkatasamy, V

    2006-04-01

    Full Text Available This paper describes the first instance of HgTe growth by electrochemical atomic layer epitaxy (EC-ALE). EC-ALE is the electrochemical analog of atomic layer epitaxy (ALE) and atomic layer deposition (ALD), all of which are based on the growth...

  19. Tuning the mechanical properties of vertical graphene sheets through atomic layer deposition

    International Nuclear Information System (INIS)

    Davami, Keivan; Jiang, Yijie; Cortes, John; Lin, Chen; Turner, Kevin T; Bargatin, Igor; Shaygan, Mehrdad

    2016-01-01

    We report the fabrication and characterization of graphene nanostructures with mechanical properties that are tuned by conformal deposition of alumina. Vertical graphene (VG) sheets, also called carbon nanowalls (CNWs), were grown on copper foil substrates using a radio-frequency plasma-enhanced chemical vapor deposition (RF-PECVD) technique and conformally coated with different thicknesses of alumina (Al_2O_3) using atomic layer deposition (ALD). Nanoindentation was used to characterize the mechanical properties of pristine and alumina-coated VG sheets. Results show a significant increase in the effective Young’s modulus of the VG sheets with increasing thickness of deposited alumina. Deposition of only a 5 nm thick alumina layer on the VG sheets nearly triples the effective Young’s modulus of the VG structures. Both energy absorption and strain recovery were lower in VG sheets coated with alumina than in pure VG sheets (for the same peak force). This may be attributed to the increase in bending stiffness of the VG sheets and the creation of connections between the sheets after ALD deposition. These results demonstrate that the mechanical properties of VG sheets can be tuned over a wide range through conformal atomic layer deposition, facilitating the use of VG sheets in applications where specific mechanical properties are needed. (paper)

  20. Characterisation by optical spectroscopy of a plasma of depositions of thins layers

    International Nuclear Information System (INIS)

    Chouan, Yannick

    1984-01-01

    This research thesis reports a work which, by correlating emission and absorption spectroscopic measurements with properties of deposited thin layers, aimed at being a complement to works undertaken by a team in charge of the realisation of a flat screen. In a first part, the author reports the study of a cathodic pulverisation of a silicon target. He describes the experimental set-up, presents correlations obtained between plasma electric properties (target self-polarisation voltage), emission spectroscopic measurements (line profile and intensity) and absorption spectroscopic measurements (density of metastables), and the composition of deposited thin layers for two reactive pulverisation plasmas (Ar-H_2 and Ar-CH_4). The second part addresses the relationship between experimental conditions and spectroscopic characteristics (emission and absorption lines, excitation and rotation temperature) of a He-SiH_4 plasma. The author also determined the most adapted spectroscopic measurements to the 'control' of deposition, and which result in an optimisation of electronic properties and of the deposition rate for the hydrogenated amorphous silicon. The third part reports the characterisation of depositions. Electric and optic measurements are reported. Then, for both deposition techniques, the author relates the influence of experimental conditions to deposition properties and to spectroscopic diagnosis. The author finally presents static characteristics of a thin-layer-based transistor

  1. Effect of Al 2 O 3 Recombination Barrier Layers Deposited by Atomic Layer Deposition in Solid-State CdS Quantum Dot-Sensitized Solar Cells

    KAUST Repository

    Roelofs, Katherine E.

    2013-03-21

    Despite the promise of quantum dots (QDs) as a light-absorbing material to replace the dye in dye-sensitized solar cells, quantum dot-sensitized solar cell (QDSSC) efficiencies remain low, due in part to high rates of recombination. In this article, we demonstrate that ultrathin recombination barrier layers of Al2O3 deposited by atomic layer deposition can improve the performance of cadmium sulfide (CdS) quantum dot-sensitized solar cells with spiro-OMeTAD as the solid-state hole transport material. We explored depositing the Al2O3 barrier layers either before or after the QDs, resulting in TiO2/Al2O3/QD and TiO 2/QD/Al2O3 configurations. The effects of barrier layer configuration and thickness were tracked through current-voltage measurements of device performance and transient photovoltage measurements of electron lifetimes. The Al2O3 layers were found to suppress dark current and increase electron lifetimes with increasing Al 2O3 thickness in both configurations. For thin barrier layers, gains in open-circuit voltage and concomitant increases in efficiency were observed, although at greater thicknesses, losses in photocurrent caused net decreases in efficiency. A close comparison of the electron lifetimes in TiO2 in the TiO2/Al2O3/QD and TiO2/QD/Al2O3 configurations suggests that electron transfer from TiO2 to spiro-OMeTAD is a major source of recombination in ss-QDSSCs, though recombination of TiO2 electrons with oxidized QDs can also limit electron lifetimes, particularly if the regeneration of oxidized QDs is hindered by a too-thick coating of the barrier layer. © 2013 American Chemical Society.

  2. Buffer-regulated biocorrosion of pure magnesium.

    Science.gov (United States)

    Kirkland, Nicholas T; Waterman, Jay; Birbilis, Nick; Dias, George; Woodfield, Tim B F; Hartshorn, Richard M; Staiger, Mark P

    2012-02-01

    Magnesium (Mg) alloys are being actively investigated as potential load-bearing orthopaedic implant materials due to their biodegradability in vivo. With Mg biomaterials at an early stage in their development, the screening of alloy compositions for their biodegradation rate, and hence biocompatibility, is reliant on cost-effective in vitro methods. The use of a buffer to control pH during in vitro biodegradation is recognised as critically important as this seeks to mimic pH control as it occurs naturally in vivo. The two different types of in vitro buffer system available are based on either (i) zwitterionic organic compounds or (ii) carbonate buffers within a partial-CO(2) atmosphere. This study investigated the influence of the buffering system itself on the in vitro corrosion of Mg. It was found that the less realistic zwitterion-based buffer did not form the same corrosion layers as the carbonate buffer, and was potentially affecting the behaviour of the hydrated oxide layer that forms on Mg in all aqueous environments. Consequently it was recommended that Mg in vitro experiments use the more biorealistic carbonate buffering system when possible.

  3. Buffer development in KBS-3H repository design variant

    International Nuclear Information System (INIS)

    Sanden, T.; Boergesson, L.; Autio, J.; Oehberg, A.; Anttila, P.

    2010-01-01

    Document available in extended abstract form only. KBS-3H project is a joint project between Svensk Kaernbraenslehantering AB (SKB) in Sweden and Posiva Oy in Finland. The overall objectives of the project phase are to demonstrate that the horizontal deposition alternative is technically feasible and to demonstrate that it fulfils the same long-term safety requirements as the reference design KBS-3V, which calls for vertical emplacement of the canisters in individual deposition holes. KBS-3H and KBS-3V are the two variants of the KBS-3 method. In KBS-3H each spent fuel canister, with a surrounding layer of bentonite clay, is placed in a perforated steel cylinder prior to disposal; the entire assembly is called the supercontainer. Several super-containers are positioned along up to 300 m long approximately horizontal deposition drifts. The drifts will be excavated at the depth of about 420 m in bedrock. Bentonite distance blocks separate the super-containers, one from another, along the drift. The bentonite inside the super-containers and the bentonite distance blocks are jointly termed the buffer. There are two KBS-3H design alternatives; a design based on Drainage, Artificial Watering and air Evacuation (DAWE) and a less mature alternative called Semi Tight Compartments design (STC). Significant effort has been made in the KBS-3H project to solve the functional uncertainties related to buffer behaviour, which could e.g. cause piping, erosion, displacement and rupture of distance blocks. Some of the issues were prioritised as being important if there was clear uncertainty regarding the ability of the buffer to fulfil the specified requirements with respect to this issue. The design components in KBS-3H design alternatives include currently significant amounts of iron and titanium as possible alternative material to iron. Therefore the buffer development work has also included studies on the Fe-bentonite and Ti-bentonite interaction. The work has included testing in

  4. Uncovering a new quasi-2D CuO2 plane between the YBa2Cu3O7 and CeO2 buffer layer of coated conductors

    Science.gov (United States)

    Li, Zhi-Xin; Cao, Jin-Jin; Gou, Xiao-Fan; Wang, Tian-Ge; Xue, Feng

    2018-01-01

    We report a discovery of the quasi-two-dimensional (quasi-2D) CuO2 plane between the superconductor YBa2Cu3O7 (YBCO) and CeO2 buffer layer (mostly used in the fabrication) of coated conductors through the atomistic computer simulations with the molecular dynamics (MD) and first-principle calculations. For an YBCO coated conductor with multilayer structures, the buffer layers deposited onto a substrate are mainly considered to transfer a strong biaxial texture from the substrate to the YBCO layer. To deeply understand the tuning mechanism of the texture transfer, exploring the complete atomic-level picture of the structure between the YBa2Cu3O7/CeO2 interfaces is firstly required. However, the related observation data have not been available due to some big challenges of experimental techniques. With the MD simulations, having tested the accuracy of the potential functions for the YBa2Cu3O7/CeO2 interface, we constructed a total of 54 possible atom stacking models of the interface and identified its most appropriate and stable structure according to the criterion of the interface adhesion energy and the coherent characterization. To further verify the stability of the identified structure, we performed the first-principle calculations to obtain the adhesion energy and developed the general knowledge of the interface structure. Finally, a coherent interface formed with a new built quasi-2D CuO2 plane that is structurally similar to the CuO2 plane inside bulk YBCO was determined.

  5. Bismuth iron oxide thin films using atomic layer deposition of alternating bismuth oxide and iron oxide layers

    Energy Technology Data Exchange (ETDEWEB)

    Puttaswamy, Manjunath; Vehkamäki, Marko [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Kukli, Kaupo, E-mail: kaupo.kukli@helsinki.fi [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); University of Tartu, Institute of Physics, W. Ostwald 1, EE-50411 Tartu (Estonia); Dimri, Mukesh Chandra [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Kemell, Marianna; Hatanpää, Timo; Heikkilä, Mikko J. [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland); Mizohata, Kenichiro [University of Helsinki, Department of Physics, P.O. Box 64, FI-00014 Helsinki (Finland); Stern, Raivo [National Institute of Chemical Physics and Biophysics, Akadeemia tee 23, EE-12618 Tallinn (Estonia); Ritala, Mikko; Leskelä, Markku [University of Helsinki, Department of Chemistry, P.O. Box 55, FI-00014 Helsinki (Finland)

    2016-07-29

    Bismuth iron oxide films with varying contributions from Fe{sub 2}O{sub 3} or Bi{sub 2}O{sub 3} were prepared using atomic layer deposition. Bismuth (III) 2,3-dimethyl-2-butoxide, was used as the bismuth source, iron(III) tert-butoxide as the iron source and water vapor as the oxygen source. The films were deposited as stacks of alternate Bi{sub 2}O{sub 3} and Fe{sub 2}O{sub 3} layers. Films grown at 140 °C to the thickness of 200–220 nm were amorphous, but crystallized upon post-deposition annealing at 500 °C in nitrogen. Annealing of films with intermittent bismuth and iron oxide layers grown to different thicknesses influenced their surface morphology, crystal structure, composition, electrical and magnetic properties. Implications of multiferroic performance were recognized in the films with the remanent charge polarization varying from 1 to 5 μC/cm{sup 2} and magnetic coercivity varying from a few up to 8000 A/m. - Highlights: • Bismuth iron oxide thin films were grown by atomic layer deposition at 140 °C. • The major phase formed in the films upon annealing at 500 °C was BiFeO{sub 3}. • BiFeO{sub 3} films and films containing excess Bi favored electrical charge polarization. • Slight excess of iron oxide enhanced saturative magnetization behavior.

  6. Structural and magnetic properties of Co films on highly textured and randomly oriented C_6_0 layers

    International Nuclear Information System (INIS)

    Kim, Dong-Ok; Choi, Jun Woo; Lee, Dong Ryeol

    2016-01-01

    The structural and magnetic properties of Co/C_6_0/pentacene and Co/C_6_0 thin film structures were investigated. Atomic force microscopy and x-ray reflectivity analysis show that the presence or absence of a pentacene buffer layer leads to a highly textured or randomly oriented C_6_0 layer, respectively. A Co film deposited on a randomly oriented C_6_0 layer penetrates into the C_6_0 layer when it is deposited at a slow deposition rate. The Co penetration can be minimized, regardless of the Co deposition rate, by growth on a highly textured and nanostructured C_6_0/pentacene layer. Vibrating sample magnetometry measurements show that the saturation magnetization of Co/C_6_0/pentacene is significantly reduced compared to that of Co/C_6_0. On the other hand, the Co penetration does not seem to have an effect on the magnetic properties, suggesting that the structural properties of the Co and C_6_0 layer, rather than the Co penetration into the organic C_6_0 layer, are critical to the magnetic properties of the Co/C_6_0. - Highlights: • Structural and magnetic properties of metal(Co)-organic(C_6_0) interface is studied. • Highly textured C_6_0 layer was grown on a pentacene buffer layer (C_6_0/pentacene). • Co penetration into the C_6_0 is significantly suppressed in Co/C_6_0/pentacene. • The Co magnetization in Co/C_6_0/pentacene is reduced than that in Co/C_6_0.

  7. Structural and magnetic properties of Co films on highly textured and randomly oriented C{sub 60} layers

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Dong-Ok [Department of Physics, Soongsil University, Seoul 156-743 (Korea, Republic of); Choi, Jun Woo, E-mail: junwoo@kist.re.kr [Center for Spintronics Research, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Lee, Dong Ryeol, E-mail: drlee@ssu.ac.kr [Department of Physics, Soongsil University, Seoul 156-743 (Korea, Republic of)

    2016-03-01

    The structural and magnetic properties of Co/C{sub 60}/pentacene and Co/C{sub 60} thin film structures were investigated. Atomic force microscopy and x-ray reflectivity analysis show that the presence or absence of a pentacene buffer layer leads to a highly textured or randomly oriented C{sub 60} layer, respectively. A Co film deposited on a randomly oriented C{sub 60} layer penetrates into the C{sub 60} layer when it is deposited at a slow deposition rate. The Co penetration can be minimized, regardless of the Co deposition rate, by growth on a highly textured and nanostructured C{sub 60}/pentacene layer. Vibrating sample magnetometry measurements show that the saturation magnetization of Co/C{sub 60}/pentacene is significantly reduced compared to that of Co/C{sub 60}. On the other hand, the Co penetration does not seem to have an effect on the magnetic properties, suggesting that the structural properties of the Co and C{sub 60} layer, rather than the Co penetration into the organic C{sub 60} layer, are critical to the magnetic properties of the Co/C{sub 60}. - Highlights: • Structural and magnetic properties of metal(Co)-organic(C{sub 60}) interface is studied. • Highly textured C{sub 60} layer was grown on a pentacene buffer layer (C{sub 60}/pentacene). • Co penetration into the C{sub 60} is significantly suppressed in Co/C{sub 60}/pentacene. • The Co magnetization in Co/C{sub 60}/pentacene is reduced than that in Co/C{sub 60}.

  8. Influence of laser sputtering parameters on orientation of cerium oxide buffer layer on sapphire and properties of YBa2Cu3Ox superconducting film

    International Nuclear Information System (INIS)

    Mozhaev, P.B.; Ovsyannikov, G.A.; Skov, J.L.

    1999-01-01

    Effect of laser sputtering parameters on crystalline properties of CeO 2 buffer layers grown on (1102) orientation sapphire substrate and on properties of YBa 2 Cu 3 O x superconducting thin films was studied. It was shown that depending on the sputtering conditions one might observe growth of CeO 2 (100) and (111) orientations. Varying heater temperature, chamber pressure and density of laser ray energy on the target one managed to obtain mono-oriented buffer layer of the desired orientation [ru

  9. Influence of co-deposited active layers on carrier transport and luminescent properties in organic light emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Murata, Masaya; Yamamoto, Takayuki; Haishi, Motoki; Ohtani, Naoki [Department of Electronics, Doshisha University, Tatara-Miyakodani, Kyotanabe-shi, Kyoto (Japan); Ando, Taro [Central Research Laboratory, Hamamatsu Photonics, Hirakuchi, Hamakita-ku, Hamamatsu-shi, Shizuoka (Japan)

    2009-01-15

    We have investigated the influence of a co-deposited active layer in organic light-emitting diodes (OLEDs) on carrier transport and optical properties to improve radiative characteristics of OLEDs. The co-deposited layer consists of two organic materials; one is a hole transport material (TPD) and the other is an electron transport/emissive material (Alq3). We evaluated current-voltage characteristics and electroluminescence (EL) properties of various samples in which the thicknesses and compound ratios of the co-deposited layers are different. The results indicate that the devices consisting of TPD:Alq3 co-deposited layer sandwiched between TPD and Alq3 layers exhibit lower starting voltages for the light emission than the sample of simple TPD/Alq3 heterojunction structure. In addition, the starting voltage is independent of the thickness of TPD:Alq3 co-deposited layer. These samples have two interfaces at both surfaces of TPD:Alq3 co-deposited layer. Thus, we estimated the radiative recombination occurs at the interfaces. Nevertheless, we found that the radiative recombination occurs only at the interface of TPD:Alq3 co-deposited layer and Alq3 layer. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Simulation of atomic layer deposition on nanoparticle agglomerates

    NARCIS (Netherlands)

    Jin, W.; van Ommen, J.R.; Kleijn, C.R.

    2016-01-01

    Coated nanoparticles have many potential applications; production of large quantities is feasible by atomic layer deposition (ALD) on nanoparticles in a fluidized bed reactor. However, due to the cohesive interparticle forces, nanoparticles form large agglomerates, which influences the coating

  11. Effect of a Ga-doped ZnO thin film with a ZTO buffer layer fabricated by using pulsed DC magnetron sputter for dye-sensitized solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Song, Sang-Woo; Lee, Kyung-Ju; Roh, Ji-Hyung; Park, On-Jeon; Kim, Hwan-Sun; Moon, Byung-Moo [Korea University, Seoul (Korea, Republic of); Ji, Min-Woo [Yonsei University, Seoul (Korea, Republic of)

    2014-08-15

    The electrical property of a Ga-doped ZnO(GZO) thin film is well known to be similar that of commercialized fluorine-doped tin oxide(FTO). However GZO is limited for use at high process temperatures for solar cells because of its unstable resistivity at temperatures above 300 .deg. C. A GZO thin film compared to zinc tin oxide(ZTO)-GZO multilayer can be used at high process temperatures. A GZO thin film was deposited on glass by using pulsed DC magnetron sputter. Then, a ZTO buffer layer was deposited on the GZO surface. During the deposition, the working pressure was 5 mTorr (Z-1 glass) and 1 mTorr (Z-2 glass). Dye-sensitized solar cells (DSSCs) were fabricated using Z-1, Z-2 and commercialized FTO glasses. Z-2 showed a conversion efficiency of 4.265%, which was enhanced by 0.399% compared to that of the DSSCs using FTO(3.784%). The conversion efficiency for Z-1 (3.889%) was a little higher than that of FTO. Thus, the ZTO-GZO electrode showed better characteristics than those obtained using the FTO electrode, which can be attributed to the reduced charge recombination and series resistance.

  12. Pulsed laser deposition of epitaxial YBa{sub 2}Cu{sub 3}O{sub 7-y}/oxide multilayers onto textured NiFe substrates for coated conductor applications

    Energy Technology Data Exchange (ETDEWEB)

    Tomov, R I [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Cambridge (United Kingdom); Kursumovic, A; Kang, D -J; Glowacki, B A; Evetts, J E [Department of Materials Science and IRC in Superconductivity, University of Cambridge, Cambridge (United Kingdom); Majoros, M [IRC in Superconductivity, University of Cambridge, Cambridge (United Kingdom)

    2002-04-01

    Pulsed laser depositions of double-buffer and triple-buffer YBa{sub 2}Cu{sub 3}O{sub 7-y} (YBCO)/Y{sub 2}O{sub 3}(YSZ)/CeO{sub 2} heterostructures have been performed in situ onto commercially available biaxially textured NiFe 50%/50% tape. The deposition in the forming gas (4% H{sub 2}/Ar) from a CeO{sub 2} target and the deposition in vacuum from a CeO{sub 2}:Pd composite target have been explored as two possible routes for cube-on-cube growth of the first buffer layer. The influence of the critical processing parameters on the texture is investigated and some of the issues involved in the reduction of NiO (111) and the formation of cube-on-cube NiO (200) growth are discussed. X-ray diffraction has been used for texture evaluation of the substrate and subsequent deposited layers. The substrate-buffer interface region has been studied by focused ion beam cross section electron microscopy. Both the buffers and YBCO layers show biaxial alignment with {omega} and {phi} scans having optimum YBCO full width at half maximum (FWHM) values of 4.3 deg. and 8.8 deg., respectively. The morphology has been characterized using atomic force microscopy and scanning electron microscopy. The value of T{sub c} (onset) has been measured at 90 K ({delta}T{sub c}=10 K). The critical current density, J{sub c}, has been measured by transport measurements and magnetic measurements performed in a dc SQUID magnetometer. (author)

  13. Effect of Al/N ratio during nucleation layer growth on Hall mobility and buffer leakage of molecular-beam epitaxy grown AlGaN/GaN heterostructures

    International Nuclear Information System (INIS)

    Storm, D.F.; Katzer, D.S.; Binari, S.C.; Shanabrook, B.V.; Zhou Lin; Smith, David J.

    2004-01-01

    AlGaN/GaN high electron mobility transistor structures have been grown by plasma-assisted molecular beam epitaxy on semi-insulating 4H-SiC utilizing an AlN nucleation layer. The electron Hall mobility of these structures increases from 1050 cm 2 /V s to greater than 1450 cm 2 /V s when the Al/N flux ratio during the growth of the nucleation layer is increased from 0.90 to 1.07. Buffer leakage currents increase abruptly by nearly three orders of magnitude when the Al/N ratio increases from below to above unity. Transmission electron microscopy indicates that high buffer leakage is correlated with the presence of stacking faults in the nucleation layer and cubic phase GaN in the buffer, while low mobilities are correlated with high dislocation densities

  14. Deposition of titanium nitride layers by electric arc – Reactive plasma spraying method

    International Nuclear Information System (INIS)

    Şerban, Viorel-Aurel; Roşu, Radu Alexandru; Bucur, Alexandra Ioana; Pascu, Doru Romulus

    2013-01-01

    Highlights: ► Titanium nitride layers deposited by electric arc – reactive plasma spraying method. ► Deposition of titanium nitride layers on C45 steel at different spraying distances. ► Characterization of the coatings hardness as function of the spraying distances. ► Determination of the corrosion behavior of titanium nitride layers obtained. - Abstract: Titanium nitride (TiN) is a ceramic material which possesses high mechanical properties, being often used in order to cover cutting tools, thus increasing their lifetime, and also for covering components which are working in corrosive environments. The paper presents the experimental results on deposition of titanium nitride coatings by a new combined method (reactive plasma spraying and electric arc thermal spraying). In this way the advantages of each method in part are combined, obtaining improved quality coatings in the same time achieving high productivity. Commercially pure titanium wire and C45 steel as substrate were used for experiments. X-ray diffraction analysis shows that the deposited coatings are composed of titanium nitride (TiN, Ti 2 N) and small amounts of Ti 3 O. The microstructure of the deposited layers, investigated both by optical and scanning electron microscopy, shows that the coatings are dense, compact, without cracks and with low porosity. Vickers microhardness of the coatings presents maximum values of 912 HV0.1. The corrosion tests in 3%NaCl solution show that the deposited layers have a high corrosion resistance compared to unalloyed steel substrate.

  15. The growth of various buffer layer structures and their influence on the quality of (CdHg)Te epilayers

    CSIR Research Space (South Africa)

    Gouws, GJ

    1993-05-01

    Full Text Available The suitability of various buffer layer structures on (100) GaAs for (CdHg)Te growth by organometallic vapour phase epitaxy (OMVPE) was investigated. The preferred epitaxial orientation of (100) GaAs/ (lll) CdTe was found to be unsuitable due...

  16. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    Energy Technology Data Exchange (ETDEWEB)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr [Microelectronics Research Group, IESL, Foundation for Research and Technology-Hellas (FORTH), P.O. Box 1385, GR-71110 Heraklion, Crete (Greece); Department of Physics, University of Crete, P.O. Box 2208, GR-71003 Heraklion, Crete (Greece); Adikimenakis, A.; Kostopoulos, A.; Kayambaki, M.; Tsagaraki, K.; Konstantinidis, G. [Microelectronics Research Group, IESL, Foundation for Research and Technology-Hellas (FORTH), P.O. Box 1385, GR-71110 Heraklion, Crete (Greece)

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as the AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.

  17. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    International Nuclear Information System (INIS)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A.; Adikimenakis, A.; Kostopoulos, A.; Kayambaki, M.; Tsagaraki, K.; Konstantinidis, G.

    2014-01-01

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10 12 to 2.1 × 10 13 cm −2 as the AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10 13 cm −2 on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm 2 /Vs for a density of 1.3 × 10 13 cm −2 . The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.

  18. Improved growth of solution-deposited thin films on polycrystalline Cu(In,Ga)Se{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Witte, Wolfram; Hariskos, Dimitrios [Zentrum fuer Sonnenenergie- und Wasserstoff-Forschung Baden-Wuerttemberg (ZSW), 70565, Stuttgart (Germany); Abou-Ras, Daniel [Helmholtz-Zentrum Berlin fuer Materialien und Energie, 14109, Berlin (Germany)

    2016-04-15

    CdS and Zn(O,S) grown by chemical bath deposition (CBD) are well established buffer materials for Cu(In,Ga)Se{sub 2} (CIGS) solar cells. As recently reported, a non-contiguous coverage of CBD buffers on CIGS grains with {112} surfaces can be detected, which was explained in terms of low surface energies of the {112} facets, leading to deteriorated wetting of the chemical solution on the CIGS surface. In the present contribution, we report on the effect of air annealing of CIGS thin films prior to the CBD of CdS and Zn(O,S) layers. In contrast to the growth on the as-grown CIGS layers, these buffer lay- ers grow densely on the annealed CIGS layer, even on grains with {112} surfaces. We explain the different growth behavior by increased surface energies of CIGS grains due to the annealing step, i.e., due to oxidation of the CIGS surface. Reference solar cells were processed and completed by i-ZnO/ZnO:Al layers for CdS and by (Zn,Mg)O/ZnO:Al for Zn(O,S) buffers. For solar cells with both, CdS and Zn(O,S) buffers, air-annealed CIGS films with improved buffer coverage resulted in higher power-conversion efficiencies, as compared with the devices containing as-grown CIGS layers. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  19. Pt–Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    International Nuclear Information System (INIS)

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; Wijngaart, Wouter van der; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al 2 O 3 ) on Pt in nanopores to form a metal–insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al 2 O 3 layer on such a Pt film forms a metal–insulator–electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al 2 O 3 using ALD. (paper)

  20. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    Science.gov (United States)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  1. Atomic layer deposition for photovoltaics : applications and prospects for solar cell manufacturing

    NARCIS (Netherlands)

    van Delft, J.A.; Garcia-Alonso Garcia, D.; Kessels, W.M.M.

    2012-01-01

    Atomic layer deposition (ALD) is a vapour-phase deposition technique capable of depositing high quality, uniform and conformal thin films at relatively low temperatures. These outstanding properties can be employed to face processing challenges for various types of next-generation solar cells;

  2. Atomic layer deposition for nanostructured Li-ion batteries

    NARCIS (Netherlands)

    Knoops, H.C.M.; Donders, M.E.; Sanden, van de M.C.M.; Notten, P.H.L.; Kessels, W.M.M.

    2012-01-01

    Nanostructuring is targeted as a solution to achieve the improvements required for implementing Li-ion batteries in a wide range of applications. These applications range in size from electrical vehicles down to microsystems. Atomic layer deposition (ALD) could be an enabling technology for

  3. Large area flexible polymer solar cells with high efficiency enabled by imprinted Ag grid and modified buffer layer

    International Nuclear Information System (INIS)

    Lu, Shudi; Lin, Jie; Liu, Kong; Yue, Shizhong; Ren, Kuankuan; Tan, Furui; Wang, Zhijie; Jin, Peng; Qu, Shengchun; Wang, Zhanguo

    2017-01-01

    To take a full advantage of polymer semiconductors on realization of large-area flexible photovoltaic devices, herein, we fabricate polymer solar cells on the basis of polyethylene terephthalate (PET) with imprinted Ag grid as transparent electrode. The key fabrication procedure is the adoption of a modified PEDOT:PSS (PH1000) solution for spin-coating the buffer layer to form a compact contact with the substrate. In comparison with the devices with intrinsic PEDOT:PSS buffer layer, the advanced devices present a much higher efficiency of 6.51%, even in a large device area of 2.25 cm"2. Subsequent characterizations reveal that such devices show an impressive performance stability as the bending angle is enlarged to 180° and bending time is up to 1000 cycles. Not only providing a general methodology to construct high efficient and flexible polymer solar cells, this paper also involves deep insights on device working mechanism in bending conditions.

  4. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  5. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  6. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    Science.gov (United States)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  7. Formation of accessory mineral bed layers during erosion of bentonite buffer material

    International Nuclear Information System (INIS)

    Schatz, Timothy; Kanerva, Noora

    2012-01-01

    Document available in extended abstract form only. dilute groundwater at a transmissive fracture interface, accessory phases within bentonite, such as quartz, feldspar, etc., might remain behind and form a filter bed or cake. As more and more montmorillonite is lost, the thickness of the accessory mineral bed increases and the continued transport of montmorillonite slows and possibly stops if the porosity of the filter bed is sufficiently compressed. Alternatively or concurrently, as the accessory mineral filter bed retains montmorillonite colloids, a filter cake composed of montmorillonite itself may be formed. Ultimately, depending on their extent, properties, and durability, such processes may provide the bentonite buffer system with an inherent, self-filtration mechanism which serves to limit the effects of colloidal erosion. A conceptual view of bentonite buffer extrusion and erosion in an intersecting fracture with formation of an accessory mineral filter bed and montmorillonite filter cake is presented in Figure 1. Due to the swelling pressure of the bentonite buffer, the situation described in Figure 1 may be analogous to that of the case of pressure filtration where a filter cake is formed by pressing a suspension through a filter medium and, by a mechanism known as expression, the filter cake is compressed by direct contact with a solid surface resulting in a reduction of its porosity. In order to examine whether the erosion of bentonite material through contact with dilute groundwater at a transmissive fracture interface could intrinsically result in 1) the formation of an accessory mineral filter bed and cake and/or 2) filter caking of montmorillonite itself, a series of laboratory tests were performed in a flow-through, horizontal, 1 mm aperture, artificial fracture system. Bentonite buffer material was simulated by using mixtures (75/25 weight percent ratio) of purified sodium montmorillonite and various additives serving as accessory mineral proxies

  8. Atomic layer deposition of W - based layers on SiO2

    NARCIS (Netherlands)

    van Nieuwkasteele-Bystrova, Svetlana Nikolajevna; Holleman, J.; Wolters, Robertus A.M.; Aarnink, Antonius A.I.

    2003-01-01

    W and W1-xNx , where x= 15- 22 at%, thin films were grown using the ALD (Atomic Layer Deposition) principle. Growth rate of W films is about 4- 5 monolayers/ cycle at 300- 350 ºC. Growth rate of W1-xNx is 0.5 monolayer/cycle at 325- 350 ºC. Standard Deviation (STDV) of thickness is about 2%

  9. Polar layered deposits on Mars: Inner structure and relation to the climate record

    Science.gov (United States)

    Kreslavsky, M.; Head, J.

    Martian polar layered deposits (PLD) have long been thought to contain a record of the past climate. Roles of deposition, ablation and flow in PLD are a subject of discussion and controversy. Understanding of these roles is critical for reading the climate record. We show that simple mechanism including latitude-dependent deposition and ablation, albedo feedback and role of slopes explains many essential features of the PLD. We consider the present-day PLD is a result of a history of H2O ice deposition and sublimation during some recent period of the geological history. The deposition - ablation balance is a function of latitude. Typically, net deposition occurs in the polar area inside some boundary latitude of zero balance, and net ablation occurs outside. This dividing latitude shifts back and forth due to climate change caused by (1) the change of the spin/orbit parameters ("astronomical forcing"), (2) availability of the water vapor source at lower latitudes (tropical mountain glaciers, high-latitude icy mantles, the opposite polar cap, groundwater discharge events), (3) internal climate instabilities. The outermost position of the ablation/deposition boundary was well outside the present margins of the PLD; in the opposite extremes, the area of the positive balance disappeared, and the whole polar cap underwent ablation. Through time such oscillations produced a dome-shaped stack of deposits with a possible thin layer of deposits outside the dome and with a number of unconformities inside. These unconformities will have an east-west oriented strike and a very shallow dip. There is a positive feedback between the deposition/ablation balance and albedo: high albedo favors deposition, and fresh deposits have high albedo. With this feedback, when the climate system goes through oscillations, the boundary latitude between positive and negative balance will stay for some periods of time at its outermost and innermost positions. This will result in steps in the

  10. Magmatic ore deposits in layered intrusions - Descriptive model for reef-type PGE and contact-type Cu-Ni-PGE deposits

    Science.gov (United States)

    Zientek, Michael L.

    2012-01-01

    Layered, ultramafic to mafic intrusions are uncommon in the geologic record, but host magmatic ore deposits containing most of the world's economic concentrations of platinum-group elements (PGE) (figs. 1 and 2). These deposits are mined primarily for their platinum, palladium, and rhodium contents (table 1). Magmatic ore deposits are derived from accumulations of crystals of metallic oxides, or immiscible sulfide, or oxide liquids that formed during the cooling and crystallization of magma, typically with mafic to ultramafic compositions. "PGE reefs" are stratabound PGE-enriched lode mineralization in mafic to ultramafic layered intrusions. The term "reef" is derived from Australian and South African literature for this style of mineralization and used to refer to (1) the rock layer that is mineralized and has distinctive texture or mineralogy (Naldrett, 2004), or (2) the PGE-enriched sulfide mineralization that occurs within the rock layer. For example, Viljoen (1999) broadly defined the Merensky Reef as "a mineralized zone within or closely associated with an unconformity surface in the ultramafic cumulate at the base of the Merensky Cyclic Unit." In this report, we will use the term PGE reef to refer to the PGE-enriched mineralization, not the host rock layer. Within a layered igneous intrusion, reef-type mineralization is laterally persistent along strike, extending for the length of the intrusion, typically tens to hundreds of kilometers. However, the mineralized interval is thin, generally centimeters to meters thick, relative to the stratigraphic thickness of layers in an intrusion that vary from hundreds to thousands of meters. PGE-enriched sulfide mineralization is also found near the contacts or margins of layered mafic to ultramafic intrusions (Iljina and Lee, 2005). This contact-type mineralization consists of disseminated to massive concentrations of iron-copper-nickel-PGE-enriched sulfide mineral concentrations in zones that can be tens to hundreds

  11. Bulk-heterojunction organic solar cells sandwiched by solution processed molybdenum oxide and titania nanosheet layers

    Science.gov (United States)

    Itoh, Eiji; Goto, Yoshinori; Fukuda, Katsutoshi

    2014-02-01

    The contributions of ultrathin titania nanosheet (TN) crystallites were studied in both an inverted bulk-heterojunction (BHJ) cell in an indium-tin oxide (ITO)/titania nanosheet (TN)/poly(3-hexylthiophene) (P3HT):phenyl-C61-butyric acid methylester (PCBM) active layer/MoOx/Ag multilayered photovoltaic device and a conventional BHJ cell in ITO/MoOx/P3HT:PCBM active layer/TN/Al multilayered photovoltaic device. The insertion of only one or two layers of poly(diallyldimethylammonium chloride) (PDDA) and TN multilayered film prepared by the layer-by-layer deposition technique effectively decreased the leakage current and increased the open circuit voltage (VOC), fill factor (FF), and power conversion efficiency (η). The conventional cell sandwiched between a solution-processed, partially crystallized molybdenum oxide hole-extracting buffer layer and a TN electron extracting buffer layer showed comparable cell performance to a device sandwiched between vacuum-deposited molybdenum oxide and TN layers, whereas the inverted cell with solution-processed molybdenum oxide showed a poorer performance probably owing to the increment in the leakage current across the film. The abnormal S-shaped curves observed in the inverted BHJ cell above VOC disappeared with the use of a polyfluorene-based cationic semiconducting polymer as a substitute for an insulating PDDA film, resulting in the improved cell performance.

  12. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    Energy Technology Data Exchange (ETDEWEB)

    Guzman, L., E-mail: luisg47@gmail.com [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy); Vettoruzzo, F. [Ronda High Tech, via Vegri 83, 36010 Zane’, Vicenza (Italy); Laidani, N. [Fondazione Bruno Kessler (FBK), Centro Materiali e Microsistemi, Functional Materials & Photonic Structures Unit, via Sommarive 18, 38123 Trento (Italy)

    2016-02-29

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al{sub 2}O{sub 3}, TiO{sub 2}) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al{sub 2}O{sub 3}, TiO{sub 2}, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and

  13. Coloration of metallic and/or ceramic surfaces obtained by atomic layer deposited nano-coatings

    International Nuclear Information System (INIS)

    Guzman, L.; Vettoruzzo, F.; Laidani, N.

    2016-01-01

    By depositing single layer coatings by means of physical vapor techniques, tailoring of their coloration is generally complex because a given color can be obtained only by very high composition control. Physical vapor deposition (PVD) processes are expensive and cannot be easily used for obtaining conformal coating on three-dimensional objects. Moreover PVD coatings exhibit intrinsic defects (columnar structures, pores) that affect their functional properties and applications such as barrier layers. Atomic layer deposition (ALD) technology delivers conformal coatings on different materials with very low defectiveness. A straightforward coloration can be obtained by a combination of two types of layers with different refraction index, deposited to high thickness precision. Computer simulation studies were performed to design the thickness and architecture of multilayer structures, to a total thickness of approximately 100 nm, suitable to modify the typical coloration of some materials, without altering their other physical and chemical properties. The most promising nano-layered structures were then deposited by ALD and tested with regard to their optical properties. Their total thicknesses were specified in such a way to be technically feasible and compatible with future industrial production. The materials employed in this study to build the optical coatings, are two oxides (Al_2O_3, TiO_2) deposited at 120 °C and two nitrides (AlN, TiN), which need a deposition temperature of 400 °C. The possibility of using such modern deposition technology for esthetic and decorative purposes, while maintaining the functional properties, opens perspectives of industrial applications. - Highlights: • Computer simulation is done to design multilayers made of Al_2O_3, TiO_2, AlN, and TiN. • Total thickness (< 120 nm) is specified to be compatible with industrial production. • The most promising nano-layered structures are then produced and optically tested. • An

  14. Growth of simplified buffer template on flexible metallic substrates for YBa2Cu3O7-δ coated conductors

    International Nuclear Information System (INIS)

    Xue, Yan; Zhang, Ya-Hui; Zhang, Fei; Zhao, Rui-Peng; Wang, Hui; Xiong, Jie; Tao, Bo-Wan

    2016-01-01

    A much simplified buffer structure, including a three-layer stack of LaMnO 3 /MgO/composite Y 2 O 3 –Al 2 O 3 , was proposed for high performance YBa 2 Cu 3 O 7-δ (YBCO) coated conductors. In this structure, biaxially textured MgO films were prepared on solution deposition planarized amorphous substrate through ion-beam-assisted deposition (IBAD) technology. By the use of in situ reflection high-energy electron diffraction monitor, X-ray diffraction and atomic force microscope, the influence of deposition parameters, such as film deposition rate, ion penetrate energy and ion beam flux, on crystalline orientation, texture, lattice parameter and surface morphology was systematically investigated. Moreover, stopping and range of ion in mater simulation was performed to study the effects of ion bombardment on MgO films. By optimizing IBAD process parameters, the best biaxial texture showed ω-scan of (002) MgO and Φ-scan of (220) MgO yield full width at half maximum values of 2.4° and 3.7°, indicating excellent biaxial texture. Subsequently, LaMnO 3 films were directly deposited on the IBAD-MgO template to improve the lattice mismatch between MgO and YBCO. Finally, YBCO films grown on this simplified buffer template exhibited a critical current density of 2.4 MA/cm 2 at 77 K and self-field, demonstrating the feasibility of this buffer structure. - Highlights: • Simplified buffer structure for YBCO coated conductors. • Growth of biaxially textured MgO films on flexible amorphous substrates. • Studying the influence of film deposition rate, ion energy and ion beam flux on the development of biaxial texture. • Demonstrating highly oriented YBCO films with a critical current density of 2.4 MA/cm 2 at self-field and 77 K.

  15. Influence of HEPES buffer on the local pH and formation of surface layer during in vitro degradation tests of magnesium in DMEM

    Directory of Open Access Journals (Sweden)

    S. Naddaf Dezfuli

    2014-10-01

    Full Text Available The human body is a buffered environment where pH is effectively maintained. HEPES is a biological buffer often used to mimic the buffering activity of the body in in vitro studies on the degradation behavior of magnesium. However, the influence of HEPES on the degradation behavior of magnesium in the DMEM pseudo-physiological solution has not yet been determined. The research aimed at elucidating the degradation mechanisms of magnesium in DMEM with and without HEPES. The morphologies and compositions of surface layers formed during in vitro degradation tests for 15–3600 s were characterized. The effect of HEPES on the electrochemical behavior and corrosion tendency was determined by performing electrochemical tests. HEPES indeed retained the local pH, leading to intense intergranular/interparticle corrosion of magnesium made from powder and an increased degradation rate. This was attributed to an interconnected network of cracks formed at the original powder particle boundaries and grain boundaries in the surface layer, which provided pathways for the corrosive medium to interact continuously with the internal surfaces and promoted further dissolution. Surface analysis revealed significantly reduced amounts of precipitated calcium phosphates due to the buffering activity of HEPES so that magnesium became less well protected in the buffered environment.

  16. Procesamiento químico de interfases semiconductoras tipo CuInS2 / Buffer para células solares de lámina delgada

    Directory of Open Access Journals (Sweden)

    Herrero, J.

    2004-04-01

    Full Text Available Some results are presented about the preparation of CuInS2 / buffer interfaces by chemical bath deposition. This type of interface has an interest for solar energy conversion by thin- film solar cells. It is shown that the deposition of buffer films with ZnSe composition onto CuInS2 is due to a combined electroless and chemical reaction process. Characterization of the CuInS2 / ZnSe structure is carried out with X-ray diffraction and microscope techniques (AFM, SEM. Solar cells of CuInS2 / ZnSe / ZnO type are characterized as a function of buffer layer deposition process.Se presentan resultados sobre la preparación mediante depósito químico de interfases CuInS2 / buffer. Estas interfases son de interés en la fabricación de células solares de lámina delgada. Se lleva a cabo el crecimiento de películas buffer de ZnSe en un baño químico, mediante a partir de reaccionesón electroless y química. La interfase CuInS2 / ZnSe resultante se caracteriza por medio de técnicas microscópicas (AFM, SEM. Se presentan resultados de células solares del tipo CuInS2 / ZnSe / ZnO, en función del tipo de depósito de la lámina buffer.

  17. Characteristics of a-IGZO/ITO hybrid layer deposited by magnetron sputtering.

    Science.gov (United States)

    Bang, Joon-Ho; Park, Hee-Woo; Cho, Sang-Hyun; Song, Pung-Keun

    2012-04-01

    Transparent a-IGZO (In-Ga-Zn-O) films have been actively studied for use in the fabrication of high-quality TFTs. In this study, a-IGZO films and a-IGZO/ITO double layers were deposited by DC magnetron sputtering under various oxygen flow rates. The a-IGZO films showed an amorphous structure up to 500 degrees C. The deposition rate of these films decreased with an increase in the amount of oxygen gas. The amount of indium atoms in the film was confirmed to be 11.4% higher than the target. The resistivity of double layer follows the rules for parallel DC circuits The maximum Hall mobility of the a-IGZO/ITO double layers was found to be 37.42 cm2/V x N s. The electrical properties of the double layers were strongly dependent on their thickness ratio. The IGZO/ITO double layer was subjected to compressive stress, while the ITO/IGZO double layer was subjected to tensile stress. The bending tolerance was found to depend on the a-IGZO thickness.

  18. Plasma-assisted atomic layer deposition of TiO2 compact layers for flexible mesostructured perovskite solar cells

    NARCIS (Netherlands)

    Zardetto, V.; Di Giacomo, F.; Lucarelli, G.; Kessels, W.M.M.; Brown, T.M.; Creatore, M.

    2017-01-01

    In mesostructured perovskite solar cell devices, charge recombination processes at the interface between the transparent conductive oxide, perovskite and hole transport layer are suppressed by depositing an efficient compact TiO2 blocking layer. In this contribution we investigate the role of the

  19. Modifying of Cotton Fabric Surface with Nano-ZnO Multilayer Films by Layer-by-Layer Deposition Method

    Directory of Open Access Journals (Sweden)

    Sarıışık Merih

    2010-01-01

    Full Text Available Abstract ZnO nanoparticle–based multilayer nanocomposite films were fabricated on cationized woven cotton fabrics via layer-by-layer molecular self-assembly technique. For cationic surface charge, cotton fabrics were pretreated with 2,3-epoxypropyltrimethylammonium chloride (EP3MAC by pad-batch method. XPS and SEM were used to examine the deposited nano-ZnO multilayer films on the cotton fabrics. The nano-ZnO films deposited on cotton fabrics exhibited excellent antimicrobial activity against Staphylococcus aureus bacteria. The results also showed that the coated fabrics with nano-ZnO multilayer films enhanced the protection of cotton fabrics from UV radiation. Physical tests (tensile strength of weft and warp yarns, air permeability and whiteness values were performed on the fabrics before and after the treatment with ZnO nanoparticles to evaluate the effect of layer-by-layer (LbL process on cotton fabrics properties.

  20. Study of an Amorphous Silicon Oxide Buffer Layer for p-Type Microcrystalline Silicon Oxide/n-Type Crystalline Silicon Heterojunction Solar Cells and Their Temperature Dependence

    Directory of Open Access Journals (Sweden)

    Taweewat Krajangsang

    2014-01-01

    Full Text Available Intrinsic hydrogenated amorphous silicon oxide (i-a-SiO:H films were used as front and rear buffer layers in crystalline silicon heterojunction (c-Si-HJ solar cells. The surface passivity and effective lifetime of these i-a-SiO:H films on an n-type silicon wafer were improved by increasing the CO2/SiH4 ratios in the films. Using i-a-SiO:H as the front and rear buffer layers in c-Si-HJ solar cells was investigated. The front i-a-SiO:H buffer layer thickness and the CO2/SiH4 ratio influenced the open-circuit voltage (Voc, fill factor (FF, and temperature coefficient (TC of the c-Si-HJ solar cells. The highest total area efficiency obtained was 18.5% (Voc=700 mV, Jsc=33.5 mA/cm2, and FF=0.79. The TC normalized for this c-Si-HJ solar cell efficiency was −0.301%/°C.

  1. Buffer design 2012

    International Nuclear Information System (INIS)

    Juvankoski, M.

    2013-08-01

    Posiva's spent nuclear fuel disposal is based on the KBS-3V concept and on the characteristics of the Olkiluoto site. In this concept single canisters containing spent nuclear fuel surrounded by a bentonite buffer are emplaced in individual vertical boreholes drilled in the floor of deposition tunnels in bedrock at about 420 m depth below ground level. Disk type bentonite blocks are installed at the bottom of the hole and on the top of the disposal canister. Ring type bentonite blocks surround the canisters. This report describes the detailed design of the buffer for a KBS-3V repository. The report presents the design basis, the reference design, and summarises the performance analyses carried out for the design. This report addresses aspects concerning the manufacture, quality control, mechanical strength, chemical resistance, thermal dimensioning, handling of buffer components and material ageing phenomena including the effect of radiation. Interaction of buffer and other engineered barriers are included in the study. The long-term evolution of the repository and its effective drivers are considered if they have an impact on the buffer performance but operational safety aspects are also included because they may affect long-term safety. (orig.)

  2. Low-temperature atomic layer deposition of MgO thin films on Si

    International Nuclear Information System (INIS)

    Vangelista, S; Mantovan, R; Lamperti, A; Tallarida, G; Kutrzeba-Kotowska, B; Spiga, S; Fanciulli, M

    2013-01-01

    Magnesium oxide (MgO) films have been grown by atomic layer deposition in the wide deposition temperature window of 80–350 °C by using bis(cyclopentadienyl)magnesium and H 2 O precursors. MgO thin films are deposited on both HF-last Si(1 0 0) and SiO 2 /Si substrates at a constant growth rate of ∼0.12 nm cycle −1 . The structural, morphological and chemical properties of the synthesized MgO thin films are investigated by x-ray reflectivity, grazing incidence x-ray diffraction, time-of-flight secondary ion mass spectrometry and atomic force microscopy measurements. MgO layers are characterized by sharp interface with the substrate and limited surface roughness, besides good chemical uniformity and polycrystalline structure for thickness above 7 nm. C–V measurements performed on Al/MgO/Si MOS capacitors, with MgO in the 4.6–11 nm thickness range, allow determining a dielectric constant (κ) ∼ 11. Co layers are grown by chemical vapour deposition in direct contact with MgO without vacuum-break (base pressure 10 −5 –10 −6  Pa). The as-grown Co/MgO stacks show sharp interfaces and no elements interdiffusion among layers. C–V and I–V measurements have been conducted on Co/MgO/Si MOS capacitors. The dielectric properties of MgO are not influenced by the further process of Co deposition. (paper)

  3. Atomic layer deposition of TiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Tallarida, Massimo; Dessmann, Nils; Staedter, Matthias; Friedrich, Daniel; Michling, Marcel; Schmeisser, Dieter [BTU-Cottbus, Konrad-Wachsmann-Allee 17, 03046 Cottbus (Germany)

    2011-07-01

    We present a study of the initial growth of TiO{sub 2} on Si(111) by atomic layer deposition (ALD). The Si substrate was etched with NH{sub 4}F before ALD to remove the native oxide film and to produce a Si-H termination. In-situ experiments by means of photoemission and X-ray absorption spectroscopy were conducted with synchrotron radiation on Ti-oxide films produced using Ti-tetra-iso-propoxide (TTIP) and water as precursors. O 1s, Ti 2p, C 1s, and S i2p core level, and O 1s and Ti 2p absorption edges show the transition of the Ti-oxide properties during the first layers. The growth starts with a very small growth rate (0.03 nm/cycle) due to the growth inhibition of the Si-H termination and proceeds with higher growth rate (0.1 nm/cycle) after 1.5 nm Ti-oxide has been deposited.

  4. Chinese buffer material for high-level radiowaste disposal-basic features of GMZ-1

    International Nuclear Information System (INIS)

    Wen, Zhijian

    2005-01-01

    Radioactive wastes arising from a wide range of human activities are in many different physical and chemical forms, contaminated with varying radioactivity. Their common feature is the potential hazard associated with their radioactivity and the need to manage them in such a way as to protect the human environment. The geological disposal is regarded as the most reasonable and effective way to safety disposal high-level radioactive wastes in the world. The conceptual model of geological disposal in China is based on a multi-barrier system that combines an isolating geological environment with an engineered barrier system. The buffer is one of the main engineered barriers for HLW repository. The buffer material is expected to maintain its low water permeability, self-sealing property, radio nuclides adsorption and retardation property, thermal conductivity, chemical buffering property, overpack supporting property, stress buffering property over a long period of time. Bentonite is selected as the main content of buffer material that can satisfy above. GMZ deposit is selected as the candidate supplier for Chinese buffer material of High Level Radioactive waste repository. This paper presents geological features of GMZ deposit and basic property of GMZ Na bentonite. GMZ bentonite deposit is a super large scale deposits with high content of Montmorillonite (about 75%) and GMZ-1, which is Na-bentonite produced from GMZ deposit is selected as reference material for Chinese buffer material study

  5. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  6. Area-selective atomic layer deposition of platinum using photosensitive polyimide.

    Science.gov (United States)

    Vervuurt, René H J; Sharma, Akhil; Jiao, Yuqing; Kessels, Wilhelmus Erwin M M; Bol, Ageeth A

    2016-10-07

    Area-selective atomic layer deposition (AS-ALD) of platinum (Pt) was studied using photosensitive polyimide as a masking layer. The polyimide films were prepared by spin-coating and patterned using photolithography. AS-ALD of Pt using poly(methyl-methacrylate) (PMMA) masking layers was used as a reference. The results show that polyimide has excellent selectivity towards the Pt deposition, after 1000 ALD cycles less than a monolayer of Pt is deposited on the polyimide surface. The polyimide film could easily be removed after ALD using a hydrogen plasma, due to a combination of weakening of the polyimide resist during Pt ALD and the catalytic activity of Pt traces on the polyimide surface. Compared to PMMA for AS-ALD of Pt, polyimide has better temperature stability. This resulted in an improved uniformity of the Pt deposits and superior definition of the Pt patterns. In addition, due to the absence of reflow contamination using polyimide the nucleation phase during Pt ALD is drastically shortened. Pt patterns down to 3.5 μm were created with polyimide, a factor of ten smaller than what is possible using PMMA, at the typical Pt ALD processing temperature of 300 °C. Initial experiments indicate that after further optimization of the polyimide process Pt features down to 100 nm should be possible, which makes AS-ALD of Pt using photosensitive polyimide a promising candidate for patterning at the nanoscale.

  7. Carbon decorative coatings by dip-, spin-, and spray-assisted layer-by-layer assembly deposition.

    Science.gov (United States)

    Hong, Jinkee; Kang, Sang Wook

    2011-09-01

    We performed a comparative surface analysis of all-carbon nano-objects (multiwall carbon nanotubes (MWNT) or graphene oxide (GO) sheets) based multilayer coatings prepared using three widely used nanofilm fabrication methods: dip-, spin-, and spray-assisted layer-by-layer (LbL) deposition. The resultant films showed a marked difference in their growth mechanisms and surface morphologies. Various carbon decorative coatings were synthesized with different surface roughness values, despite identical preparation conditions. In particular, smooth to highly rough all-carbon surfaces, as determined by atomic force microscopy (AFM) and scanning electron microscopy (SEM), were readily obtained by manipulating the LbL deposition methods. As was confirmed by the AFM and SEM analyses, this finding indicated the fundamental morphological evolution of one-dimensional nano-objects (MWNT) and two-dimensional nano-objects (GO) by control of the surface roughness through the deposition method. Therefore, an analysis of the three LbL-assembly methods presented herein may offer useful information about the industrial use of carbon decorative coatings and provide an insight into ways to control the structures of multilayer coatings by tuning the morphologies of carbon nano-objects.

  8. Massive CO2 Ice Deposits Sequestered in the South Polar Layered Deposits of Mars

    Science.gov (United States)

    Phillips, Roger J.; Davis, Brian J.; Tanaka, Kenneth L.; Byrne, Shane; Mellon, Michael T.; Putzig, Nathaniel E.; Haberle, Robert M.; Kahre, Melinda A.; Campbell, Bruce A.; Carter, Lynn M.; Smith, Isaac B.; Holt, John W.; Smrekar, Suzanne E.; Nunes, Daniel C.; Plaut, Jeffrey J.; Egan, Anthony F.; Titus, Timothy N.; Seu, Roberto

    2011-01-01

    Shallow Radar soundings from the Mars Reconnaissance Orbiter reveal a buried deposit of carbon dioxide (CO2) ice within the south polar layered deposits of Mars with a volume of 9500 to 12,500 cubic kilometers, about 30 times that previously estimated for the south pole residual cap. The deposit occurs within a stratigraphic unit that is uniquely marked by collapse features and other evidence of interior CO2 volatile release. If released into the atmosphere at times of high obliquity, the CO2 reservoir would increase the atmospheric mass by up to 80%, leading to more frequent and intense dust storms and to more regions where liquid water could persist without boiling.

  9. Layer-by-layer deposition of zirconium oxide films from aqueous solutions for friction reduction in silicon-based microelectromechanical system devices

    International Nuclear Information System (INIS)

    Liu Junfu; Nistorica, Corina; Gory, Igor; Skidmore, George; Mantiziba, Fadziso M.; Gnade, Bruce E.

    2005-01-01

    This work reports layer-by-layer deposition of zirconium oxide on a Si surface from aqueous solutions using the successive ionic layer adsorption and reaction technique. The process consists of repeated cycles of adsorption of zirconium precursors, water rinse, and hydrolysis. The film composition was determined by X-ray photoelectron spectroscopy. The film thickness was determined by Rutherford backscattering spectrometry, by measuring the Zr atom concentration. The average deposition rate from a 0.1 M Zr(SO 4 ) 2 solution on a SiO 2 /Si surface is 0.62 nm per cycle. Increasing the acidity of the zirconium precursor solution inhibits the deposition of the zirconium oxide film. Atomic force microscopy shows that the zirconium oxide film consists of nanoparticles of 10-50 nm in the lateral dimension. The surface roughness increased with increasing number of deposition cycles. Friction measurements made with a microelectromechanical system device reveal a reduction of 45% in the friction coefficient of zirconium oxide-coated surfaces vs. uncoated surfaces in air

  10. Plasma enhanced atomic layer deposited MoOx emitters for silicon heterojunction solar cells

    OpenAIRE

    Ziegler, J.; Mews, M.; Kaufmann, K.; Schneider, T.; Sprafke, A.N.; Korte, L.; Wehrsporn, R.B

    2015-01-01

    A method for the deposition of molybdenum oxide MoOx with high growth rates at temperatures below 200 C based on plasma enhanced atomic layer deposition is presented. The stoichiometry of the overstoichiometric MoOx films can be adjusted by the plasma parameters. First results of these layers acting as hole selective contacts in silicon heterojunction solar cells are presented and discussed

  11. In vitro characterization of hydroxyapatite layers deposited by APS and HVOF thermal spraying methods

    Directory of Open Access Journals (Sweden)

    Radu Alexandru Roşu

    2012-03-01

    Full Text Available Titanium alloys are successfully used in medicine as implants due to their high mechanical properties and good biocompatibility. To improve implant osseointegration of titanium alloys, they are covered with hydroxyapatite because of its bioactive properties. Coating the implants with hydroxyapatite by thermal spraying, due to the temperatures developed during the deposition process, the structure can be degraded, leading to formation of secondary phases, such as TCP, TT CP, CaO. The paper presents the experimental results of hydroxyapatite layers deposition by two thermal spraying methods: Atmospheric Plasma Spraying (APS and High Velocity Oxy-Fuel (HVOF. The microstructure of the deposited layers is characterized by X-ray diffraction analysis and electronic microscopy. The bioactivity of the hydroxyapatite layers was investigated in Simulated Body Fluid (SBF by immersing the covered samples deposited by the two thermal spraying methods. In both cases the coatings did not present defects as cracks or microcracks. X-ray diffraction performed on hydroxyapatite deposited layers shows that the structure was strongly influenced by plasma jet temperature, the structure consisting mainly of TCP (Ca3PO42. The samples deposited by HVO F after immersing in SBF lead to formation of biological hydroxyapatite, certifying the good bioactivity of the coatings.

  12. Atomic layer deposition of epitaxial layers of anatase on strontium titanate single crystals: Morphological and photoelectrochemical characterization

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Theodore J.; Nepomnyashchii, Alexander B.; Parkinson, B. A., E-mail: bparkin1@uwyo.edu [Department of Chemistry, School of Energy Resources, University of Wyoming, Laramie, Wyoming 82071 (United States)

    2015-01-15

    Atomic layer deposition was used to grow epitaxial layers of anatase (001) TiO{sub 2} on the surface of SrTiO{sub 3} (100) crystals with a 3% lattice mismatch. The epilayers grow as anatase (001) as confirmed by x-ray diffraction. Atomic force microscope images of deposited films showed epitaxial layer-by-layer growth up to about 10 nm, whereas thicker films, of up to 32 nm, revealed the formation of 2–5 nm anatase nanocrystallites oriented in the (001) direction. The anatase epilayers were used as substrates for dye sensitization. The as received strontium titanate crystal was not sensitized with a ruthenium-based dye (N3) or a thiacyanine dye (G15); however, photocurrent from excited state electron injection from these dyes was observed when adsorbed on the anatase epilayers. These results show that highly ordered anatase surfaces can be grown on an easily obtained substrate crystal.

  13. Fabrication of 100 A class, 1 m long coated conductor tapes by metal organic chemical vapor deposition and pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Selvamanickam, V.; Lee, H.G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K

    2003-10-15

    SuperPower has been scaling up YBa{sub 2}Cu{sub 3}O{sub x}-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.

  14. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    Energy Technology Data Exchange (ETDEWEB)

    Vu, Hien Thu [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Houwman, Evert; Boota, Muhammad [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Dekkers, Matthijn [SolMateS B.V., Drienerlolaan 5, Building 6, 7522 NB Enschede (Netherlands); Vu, Hung Ngoc [International Training Institute for Materials Science (ITIMS), Hanoi University of Science and Technology, No.1 Dai Co Viet Road, Hanoi 10000 (Viet Nam); Rijnders, Guus [Inorganic Materials Science (IMS), MESA + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectric properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity between

  15. Ionically Paired Layer-by-Layer Hydrogels: Water and Polyelectrolyte Uptake Controlled by Deposition Time

    Directory of Open Access Journals (Sweden)

    Victor Selin

    2018-01-01

    Full Text Available Despite intense recent interest in weakly bound nonlinear (“exponential” multilayers, the underlying structure-property relationships of these films are still poorly understood. This study explores the effect of time used for deposition of individual layers of nonlinearly growing layer-by-layer (LbL films composed of poly(methacrylic acid (PMAA and quaternized poly-2-(dimethylaminoethyl methacrylate (QPC on film internal structure, swelling, and stability in salt solution, as well as the rate of penetration of invading polyelectrolyte chains. Thicknesses of dry and swollen films were measured by spectroscopic ellipsometry, film internal structure—by neutron reflectometry (NR, and degree of PMAA ionization—by Fourier-transform infrared spectroscopy (FTIR. The results suggest that longer deposition times resulted in thicker films with higher degrees of swelling (up to swelling ratio as high as 4 compared to dry film thickness and stronger film intermixing. The stronger intermixed films were more swollen in water, exhibited lower stability in salt solutions, and supported a faster penetration rate of invading polyelectrolyte chains. These results can be useful in designing polyelectrolyte nanoassemblies for biomedical applications, such as drug delivery coatings for medical implants or tissue engineering matrices.

  16. Dark material in the polar layered deposits and dunes on Mars

    Science.gov (United States)

    Herkenhoff, Ken E.; Vasavada, Ashwin R.

    1999-07-01

    Viking infrared thermal mapping and bistatic radar data suggest that the bulk density of the north polar erg material is much lower than that of the average Martian surface or of dark dunes at lower latitudes. We have derived a thermal inertia of 245-280Jm-2s-1/2K-1(5.9-6.7×10-3calcm-2s-1/2K-1) for the Proctor dune field and 25-150Jm-2s-1/2K-1(0.6-3.6×10-3calcm-2s-1/2K-1) for the north polar erg. The uniqueness of the thermophysical properties of the north polar erg material may be due to a unique polar process that has created them. The visible and near-infrared spectral reflectance of the erg suggests that the dark material may be composed of basalt or ferrous clays. These data are consistent with the dark material being composed of basaltic ash or filamentary sublimate residue (FSR) particles derived from erosion of the layered deposits. Dark dust may be preferentially concentrated at the surface of the layered deposits by the formation of FSR particles upon sublimation of water ice. Further weathering and erosion of these areas of exposed layered deposits may form the dark, saltating material that is found in both polar regions. Dark FSR particles may saltate for great distances before eventually breaking down into dust grains, re-mixing with the global dust reservoir, and being recycled into the polar layered deposits via atmospheric suspension.

  17. Effect of a thermally evaporated bis (2-methyl-8-quninolinato)-4-phenylphenolate cathode buffer layer on the performance of polymer photovoltaic cells

    International Nuclear Information System (INIS)

    Kim, Dal-Ho; Park, Jea-Gun

    2012-01-01

    We investigated the device characteristics of polymer photovoltaic (PV) cells based on a poly(3 hexylthiophene) (P3HT) and [6,6]-phenylC61 butyric acid methyl ester (PCBM) bulk heterojunction with a cathode buffer layer of thermally evaporated bis (2-methyl-8-quninolinato)-4-phenylphenolate (BAlq). A power conversion efficiency (PCE) of 2.46% was obtained with the insertion of a 4-nm-thick BAlq, which was ∼118% increase over that for the cell without a BAlq layer, under Air Mass 1.5 Global (AM 1.5 G) illumination, 100 mW/cm 2 . Moreover, we examined the charge carrier transport property, and found that the hole mobility of the cell was enhancement due to the insertion of a BAlq layer with a thickness of less than 4 nm, which accounted for the improved in the photocurrent and fill factor (FF) due to the better balance of charge carriers. Finally, the BAlq buffer layer was also demonstrated as an optical spacer that improved the optical absorption of the P3HT:PCBM layer, which accounted for the J sc enhancement of the device.

  18. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    Science.gov (United States)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  19. Interdiffusion studies on high-Tc superconducting YBa2Cu3O7-δ thin films on Si(111) with a NiSi2/ZrO2 buffer layer

    DEFF Research Database (Denmark)

    Aarnink, W.A.M.; Blank, D.H.A.; Adelerhof, D.J.

    1991-01-01

    Interdiffusion studies on high-T(c) superconducting YBa2Cu3O7-delta thin films with thickness in the range of 2000-3000 angstrom, on a Si(111) substrate with a buffer layer have been performed. The buffer layer consists of a 400 angstrom thick epitaxial NiSi2 layer covered with 1200 angstrom...... of polycrystalline ZrO2. YBa2Cu3O7-delta films were prepared using laser ablation. The YBa2Cu3O7-delta films on the Si/NiSi2/ZrO2 substrates are of good quality; their critical temperatures T(c,zero) and T(c,onset) have typical values of 85 and 89 K, respectively. The critical current density j(c) at 77 K equaled 4...... x 10(4) A/cm2. With X-ray analysis (XRD), only c-axis orientation has been observed. The interdiffusion studies, using Rutherford backscattering spectrometry (RBS) and scanning Auger microscopy (SAM) show that the ZrO2 buffer layer prevents severe Si diffusion to the YBa2Cu3O7-delta layer, the Si...

  20. Flaking of co-deposited hydrogenated carbon layers on the TFTR limiter

    International Nuclear Information System (INIS)

    Skinner, C.H.; Gentile, C.A.; Menon, M.M.; Barry, R.E.

    1999-01-01

    Flaking of co-deposited layers on the inner limiter tiles was recently observed in TFTR. This phenomenon was unexpected and has occurred since the termination of plasma operations on 4 April 1997. Flaking affects approximately 15% of the observable tiles and appears on isotropic graphite but not on carbon fibre composite tiles. Photographic images of the flakes and precise measurements of the limiter geometry are reported. The mobilizability of tritium retained in co-deposited layers is an important factor in safety analyses of future DT reactors. A programme to analyse the flakes and tiles is underway. (author). Letter-to-the-editor