WorldWideScience

Sample records for broad growth substrate

  1. Differential growth responses of soil bacterial taxa to carbon substrates of varying chemical recalcitrance

    Energy Technology Data Exchange (ETDEWEB)

    Goldfarb, K.C.; Karaoz, U.; Hanson, C.A.; Santee, C.A.; Bradford, M.A.; Treseder, K.K.; Wallenstein, M.D.; Brodie, E.L.

    2011-04-18

    Soils are immensely diverse microbial habitats with thousands of co-existing bacterial, archaeal, and fungal species. Across broad spatial scales, factors such as pH and soil moisture appear to determine the diversity and structure of soil bacterial communities. Within any one site however, bacterial taxon diversity is high and factors maintaining this diversity are poorly resolved. Candidate factors include organic substrate availability and chemical recalcitrance, and given that they appear to structure bacterial communities at the phylum level, we examine whether these factors might structure bacterial communities at finer levels of taxonomic resolution. Analyzing 16S rRNA gene composition of nucleotide analog-labeled DNA by PhyloChip microarrays, we compare relative growth rates on organic substrates of increasing chemical recalcitrance of >2,200 bacterial taxa across 43 divisions/phyla. Taxa that increase in relative abundance with labile organic substrates (i.e., glycine, sucrose) are numerous (>500), phylogenetically clustered, and occur predominantly in two phyla (Proteobacteria and Actinobacteria) including orders Actinomycetales, Enterobacteriales, Burkholderiales, Rhodocyclales, Alteromonadales, and Pseudomonadales. Taxa increasing in relative abundance with more chemically recalcitrant substrates (i.e., cellulose, lignin, or tannin-protein) are fewer (168) but more phylogenetically dispersed, occurring across eight phyla and including Clostridiales, Sphingomonadalaes, Desulfovibrionales. Just over 6% of detected taxa, including many Burkholderiales increase in relative abundance with both labile and chemically recalcitrant substrates. Estimates of median rRNA copy number per genome of responding taxa demonstrate that these patterns are broadly consistent with bacterial growth strategies. Taken together, these data suggest that changes in availability of intrinsically labile substrates may result in predictable shifts in soil bacterial composition.

  2. Broad Substrate Specificity of the Loading Didomain of the Lipomycin Polyketide Synthase

    Energy Technology Data Exchange (ETDEWEB)

    Yuzawa, S; Eng, CH; Katz, L; Keasling, JD

    2013-06-04

    LipPks1, a polyketide synthase subunit of the lipomycin synthase, is believed to catalyze the polyketide chain initiation reaction using isobutyryl-CoA as a substrate, followed by an elongation reaction with methylmalonyl-CoA to start the biosynthesis of antibiotic alpha-lipomycin in Streptomyces aureofaciens Tu117. Recombinant LipPks1, containing the thioesterase domain from the 6-deoxyerythronolide B synthase, was produced in Escherichia coli, and its substrate specificity was investigated in vitro. Surprisingly, several different acyl-CoAs, including isobutyryl-CoA, were accepted as the starter substrates, while no product was observed with acetyl-CoA. These results demonstrate the broad substrate specificity of LipPks1 and may be applied to producing new antibiotics.

  3. Catalyst–substrate interaction and growth delay in vapor–liquid–solid nanowire growth

    Science.gov (United States)

    Kolíbal, Miroslav; Pejchal, Tomáš; Musálek, Tomáš; Šikola, Tomáš

    2018-05-01

    Understanding of the initial stage of nanowire growth on a bulk substrate is crucial for the rational design of nanowire building blocks in future electronic and optoelectronic devices. Here, we provide in situ scanning electron microscopy and Auger microscopy analysis of the initial stage of Au-catalyzed Ge nanowire growth on different substrates. Real-time microscopy imaging and elementally resolved spectroscopy clearly show that the catalyst dissolves the underlying substrate if held above a certain temperature. If the substrate dissolution is blocked (or in the case of heteroepitaxy) the catalyst needs to be filled with nanowire material from the external supply, which significantly increases the initial growth delay. The experiments presented here reveal the important role of the substrate in metal-catalyzed nanowire growth and pave the way for different growth delay mitigation strategies.

  4. Broad substrate tolerance of tubulin tyrosine ligase enables one-step site-specific enzymatic protein labeling.

    Science.gov (United States)

    Schumacher, Dominik; Lemke, Oliver; Helma, Jonas; Gerszonowicz, Lena; Waller, Verena; Stoschek, Tina; Durkin, Patrick M; Budisa, Nediljko; Leonhardt, Heinrich; Keller, Bettina G; Hackenberger, Christian P R

    2017-05-01

    The broad substrate tolerance of tubulin tyrosine ligase is the basic rationale behind its wide applicability for chemoenzymatic protein functionalization. In this context, we report that the wild-type enzyme enables ligation of various unnatural amino acids that are substantially bigger than and structurally unrelated to the natural substrate, tyrosine, without the need for extensive protein engineering. This unusual substrate flexibility is due to the fact that the enzyme's catalytic pocket forms an extended cavity during ligation, as confirmed by docking experiments and all-atom molecular dynamics simulations. This feature enabled one-step C-terminal biotinylation and fluorescent coumarin labeling of various functional proteins as demonstrated with ubiquitin, an antigen binding nanobody, and the apoptosis marker Annexin V. Its broad substrate tolerance establishes tubulin tyrosine ligase as a powerful tool for in vitro enzyme-mediated protein modification with single functional amino acids in a specific structural context.

  5. Understanding the Broad Substrate Repertoire of Nitroreductase Based on Its Kinetic Mechanism*

    Science.gov (United States)

    Pitsawong, Warintra; Hoben, John P.; Miller, Anne-Frances

    2014-01-01

    The oxygen-insensitive nitroreductase from Enterobacter cloacae (NR) catalyzes two-electron reduction of nitroaromatics to the corresponding nitroso compounds and, subsequently, to hydroxylamine products. NR has an unusually broad substrate repertoire, which may be related to protein dynamics (flexibility) and/or a simple non-selective kinetic mechanism. To investigate the possible role of mechanism in the broad substrate repertoire of NR, the kinetics of oxidation of NR by para-nitrobenzoic acid (p-NBA) were investigated using stopped-flow techniques at 4 °C. The results revealed a hyperbolic dependence on the p-NBA concentration with a limiting rate of 1.90 ± 0.09 s−1, indicating one-step binding before the flavin oxidation step. There is no evidence for a distinct binding step in which specificity might be enforced. The reduction of p-NBA is rate-limiting in steady-state turnover (1.7 ± 0.3 s−1). The pre-steady-state reduction kinetics of NR by NADH indicate that NADH reduces the enzyme with a rate constant of 700 ± 20 s−1 and a dissociation constant of 0.51 ± 0.04 mm. Thus, we demonstrate simple transient kinetics in both the reductive and oxidative half-reactions that help to explain the broad substrate repertoire of NR. Finally, we tested the ability of NR to reduce para-hydroxylaminobenzoic acid, demonstrating that the corresponding amine does not accumulate to significant levels even under anaerobic conditions. Thus E. cloacae NR is not a good candidate for enzymatic production of aromatic amines. PMID:24706760

  6. Microbial growth and substrate utilization kinetics | Okpokwasili ...

    African Journals Online (AJOL)

    Microbial growth on and utilization of environmental contaminants as substrates have been studied by many researchers. Most times, substrate utilization results in removal of chemical contaminant, increase in microbial biomass and subsequent biodegradation of the contaminant. These are all aimed at detoxification of the ...

  7. Epitaxial growth mechanisms of graphene and effects of substrates

    Science.gov (United States)

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-06-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-heptagon defects. The activation barriers for the healing of these growth induced defects on various substrates are calculated using the climbing image nudge elastic band method and compared with that of the Stone-Wales defect. It is found that the healing of pentagon-heptagon defects occurring near the edge in the course of growth is much easier than that of Stone-Wales defect. The role of the substrate in the epitaxial growth and in the healing of defects are also investigated in detail, along with the effects of using carbon dimers as the building blocks of graphene growth.

  8. Solution growth of microcrystalline silicon on amorphous substrates

    Energy Technology Data Exchange (ETDEWEB)

    Heimburger, Robert

    2010-07-05

    This work deals with low-temperature solution growth of micro-crystalline silicon on glass. The task is motivated by the application in low-cost solar cells. As glass is an amorphous material, conventional epitaxy is not applicable. Therefore, growth is conducted in a two-step process. The first step aims at the spatial arrangement of silicon seed crystals on conductive coated glass substrates, which is realized by means of vapor-liquid-solid processing using indium as the solvent. Seed crystals are afterwards enlarged by applying a specially developed steady-state solution growth apparatus. This laboratory prototype mainly consists of a vertical stack of a silicon feeding source and the solvent (indium). The growth substrate can be dipped into the solution from the top. The system can be heated to a temperature below the softening point of the utilized glass substrate. A temperature gradient between feeding source and growth substrate promotes both, supersaturation and material transport by solvent convection. This setup offers advantages over conventional liquid phase epitaxy at low temperatures in terms of achievable layer thickness and required growth times. The need for convective solute transport to gain the desired thickness of at least 50 {mu}m is emphasized by equilibrium calculations in the binary system indium-silicon. Material transport and supersaturation conditions inside the utilized solution growth crucible are analyzed. It results that the solute can be transported from the lower feeding source to the growth substrate by applying an appropriate heating regime. These findings are interpreted by means of a hydrodynamic analysis of fluid flow and supporting FEM simulation. To ensure thermodynamic stability of all materials involved during steady-state solution growth, the ternary phase equilibrium between molybdenum, indium and silicon at 600 C was considered. Based on the obtained results, the use of molybdenum disilicide as conductive coating

  9. Temperature dependence of ordered GeSi island growth on patterned Si (001) substrates

    International Nuclear Information System (INIS)

    ZhongZhenyang; Chen Peixuan; Jiang Zuimin; Bauer, Guenther

    2008-01-01

    Statistical information on GeSi islands grown on two-dimensionally pit-patterned Si substrates at different temperatures is presented. Three growth regimes on patterned substrates are identified: (i) kinetically limited growth at low growth temperatures, (ii) ordered island growth in an intermediate temperature range, and (iii) stochastic island growth within pits at high temperatures. A qualitative model based on growth kinetics is proposed to explain these phenomena. It can serve as a guidance to realize optimum growth conditions for ordered islands on patterned substrates

  10. High-yield growth of vertically aligned carbon nanotubes on a continuously moving substrate

    International Nuclear Information System (INIS)

    Guzman de Villoria, R; Hart, A J; Steiner, S A III; Wardle, B L; Figueredo, S L; Slocum, A H

    2009-01-01

    Vertically aligned carbon nanotube (CNT) arrays are grown on a moving substrate, demonstrating continuous growth of nanoscale materials with long-range order. A cold-wall chamber with an oscillating moving platform is used to locally heat a silicon growth substrate coated with an Fe/Al 2 O 3 catalyst film for CNT growth via chemical vapor deposition. The reactant gases are introduced over the substrate through a directed nozzle to attain high-yield CNT growth. Aligned multi-wall carbon nanotube arrays (or 'forests') with heights of ∼1 mm are achieved at substrate speeds up to 2.4 mm s -1 . Arrays grown on moving substrates at different velocities are studied in order to identify potential physical limitations of repeatable and fast growth on a continuous basis. No significant differences are noted between static and moving growth as characterized by scanning electron microscopy and Raman spectroscopy, although overall growth height is marginally reduced at the highest substrate velocity. CNT arrays produced on moving substrates are also found to be comparable to those produced through well-characterized batch processes consistent with a base-growth mechanism. Growth parameters required for the moving furnace are found to differ only slightly from those used in a comparable batch process; thermal uniformity appears to be the critical parameter for achieving large-area uniform array growth. If the continuous-growth technology is combined with a reaction zone isolation scheme common in other types of processing (e.g., in the manufacture of carbon fibers), large-scale dense and aligned CNT arrays may be efficiently grown and harvested for numerous applications including providing interlayers for advanced composite reinforcement and improved electrical and thermal transport.

  11. High-yield growth of vertically aligned carbon nanotubes on a continuously moving substrate.

    Science.gov (United States)

    Guzmán de Villoria, R; Figueredo, S L; Hart, A J; Steiner, S A; Slocum, A H; Wardle, B L

    2009-10-07

    Vertically aligned carbon nanotube (CNT) arrays are grown on a moving substrate, demonstrating continuous growth of nanoscale materials with long-range order. A cold-wall chamber with an oscillating moving platform is used to locally heat a silicon growth substrate coated with an Fe/Al2O3 catalyst film for CNT growth via chemical vapor deposition. The reactant gases are introduced over the substrate through a directed nozzle to attain high-yield CNT growth. Aligned multi-wall carbon nanotube arrays (or 'forests') with heights of approximately 1 mm are achieved at substrate speeds up to 2.4 mm s(-1). Arrays grown on moving substrates at different velocities are studied in order to identify potential physical limitations of repeatable and fast growth on a continuous basis. No significant differences are noted between static and moving growth as characterized by scanning electron microscopy and Raman spectroscopy, although overall growth height is marginally reduced at the highest substrate velocity. CNT arrays produced on moving substrates are also found to be comparable to those produced through well-characterized batch processes consistent with a base-growth mechanism. Growth parameters required for the moving furnace are found to differ only slightly from those used in a comparable batch process; thermal uniformity appears to be the critical parameter for achieving large-area uniform array growth. If the continuous-growth technology is combined with a reaction zone isolation scheme common in other types of processing (e.g., in the manufacture of carbon fibers), large-scale dense and aligned CNT arrays may be efficiently grown and harvested for numerous applications including providing interlayers for advanced composite reinforcement and improved electrical and thermal transport.

  12. GaN growth via HVPE on SiC/Si substrates: growth mechanisms

    Science.gov (United States)

    Sharofidinov, Sh Sh; Redkov, A. V.; Osipov, A. V.; Kukushkin, S. A.

    2017-11-01

    The article focuses on the study of GaN thin film growth via chloride epitaxy on SiC/Si hybrid substrate. SiC buffer layer was grown by a method of substitution of atoms, which allows one to reduce impact of mechanical stress therein on subsequent growth of III-nitride films. It is shown, that change in GaN growth conditions leads to change in its growth mechanism. Three mechanisms: epitaxial, spiral and stepwise growth are considered and mechanical stresses are estimated via Raman spectroscopy.

  13. Selective-area growth and controlled substrate coupling of transition metal dichalcogenides

    Science.gov (United States)

    Bersch, Brian M.; Eichfeld, Sarah M.; Lin, Yu-Chuan; Zhang, Kehao; Bhimanapati, Ganesh R.; Piasecki, Aleksander F.; Labella, Michael, III; Robinson, Joshua A.

    2017-06-01

    Developing a means for true bottom-up, selective-area growth of two-dimensional (2D) materials on device-ready substrates will enable synthesis in regions only where they are needed. Here, we demonstrate seed-free, site-specific nucleation of transition metal dichalcogenides (TMDs) with precise control over lateral growth by utilizing an ultra-thin polymeric surface functionalization capable of precluding nucleation and growth. This polymer functional layer (PFL) is derived from conventional photoresists and lithographic processing, and is compatible with multiple growth techniques, precursors (metal organics, solid-source) and TMDs. Additionally, we demonstrate that the substrate can play a major role in TMD transport properties. With proper TMD/substrate decoupling, top-gated field-effect transistors (FETs) fabricated with selectively-grown monolayer MoS2 channels are competitive with current reported MoS2 FETs. The work presented here demonstrates that substrate surface engineering is key to realizing precisely located and geometrically-defined 2D layers via unseeded chemical vapor deposition techniques.

  14. New Crystal-Growth Methods for Producing Lattice-Matched Substrates for High-Temperature Superconductors

    Energy Technology Data Exchange (ETDEWEB)

    Boatner, L.A.

    2008-06-24

    This effort addressed the technical problem of identifying and growing, on a commercial scale, suitable single-crystal substrates for the subsequent deposition of epitaxial thin films of high temperature semiconductors such as GaN/AlN. The lack of suitable lattice-matched substrate materials was one of the major problem areas in the development of semiconducting devices for use at elevated temperatures as well as practical opto-electronic devices based on Al- and GaN technology. Such lattice-matched substrates are necessary in order to reduce or eliminate high concentrations of defects and dislocations in GaN/AlN and related epitaxial thin films. This effort concentrated, in particular, on the growth of single crystals of ZnO for substrate applications and it built on previous ORNL experience in the chemical vapor transport growth of large single crystals of zinc oxide. This combined expertise in the substrate growth area was further complemented by the ability of G. Eres and his collaborators to deposit thin films of GaN on the subject substrates and the overall ORNL capability for characterizing the quality of such films. The research effort consisted of research on the growth of two candidate substrate materials in conjunction with concurrent research on the growth and characterization of GaN films, i.e. the effort combined bulk crystal growth capabilities in the area of substrate production at both ORNL and the industrial partner, Commercial Crystal Growth Laboratories (CCL), Naples, Florida, with the novel thin-film deposition techniques previously developed in the ORNL SSD.

  15. Alloyed surfaces: New substrates for graphene growth

    Science.gov (United States)

    Tresca, C.; Verbitskiy, N. I.; Fedorov, A.; Grüneis, A.; Profeta, G.

    2017-11-01

    We report a systematic ab-initio density functional theory investigation of Ni(111) surface alloyed with elements of group IV (Si, Ge and Sn), demonstrating the possibility to use it to grow high quality graphene. Ni(111) surface represents an ideal substrate for graphene, due to its catalytic properties and perfect matching with the graphene lattice constant. However, Dirac bands of graphene growth on Ni(111) are completely destroyed due to the strong hybridization between carbon pz and Ni d orbitals. Group IV atoms, namely Si, Ge and Sn, once deposited on Ni(111) surface, form an ordered alloyed surface with √{ 3} ×√{ 3} -R30° reconstruction. We demonstrate that, at variance with the pure Ni(111) surface, alloyed surfaces effectively decouple graphene from the substrate, resulting unstrained due to the nearly perfect lattice matching and preserves linear Dirac bands without the strong hybridization with Ni d states. The proposed surfaces can be prepared before graphene growth without resorting on post-growth processes which necessarily alter the electronic and structural properties of graphene.

  16. Tree growth and climate in the Pacific Northwest, North America: a broad-scale analysis of changing growth environments

    Science.gov (United States)

    Whitney L. Albright; David L. Peterson

    2013-01-01

    Climate change in the 21st century will affect tree growth in the Pacific Northwest region of North America, although complex climate–growth relationships make it difficult to identify how radial growth will respond across different species distributions. We used a novel method to examine potential growth responses to climate change at a broad geographical scale with a...

  17. Estimating the Broad-Sense Heritability of Early Growth of Cowpea

    OpenAIRE

    Xu, Nicole W.; Xu, Shizhong; Ehlers, Jeff

    2009-01-01

    Cowpea is an important tropical crop. It provides a large proportion of the food resource for the African human population and their livestock. The yield and quality of cowpea have been dramatically improved through traditional breeding strategies for the past few decades. However, reports of heritability estimates for early growth of cowpea are rare. We designed a simple experiment to estimate the broad-sense heritability of early growth. We randomly selected 15 cowpea varieties among a tota...

  18. The Aspergillus niger growth on the treated concrete substrate using variable antifungals

    Science.gov (United States)

    Parjo, U. K.; Sunar, N. M.; Leman, A. M.; Gani, P.; Embong, Z.; Tajudin, S. A. A.

    2016-11-01

    The aim of this study was to evaluate the Aspergillus niger (A. niger) growth on substrates after incorporates with different compounds of antifungals which is normally used in food industry. The antifungals named as potassium sorbate (PS), calcium benzoate (CB) and zinc salicylate (ZS) were applied on concrete substrate covered with different wall finishing such as acrylic paint (AP), glycerol based paint (GBP), thin wallpaper (THIN) and thick wallpaper (THICK). The concrete substrate were inoculated with spore suspension, incubated at selected temperature (30oC) and relative humidity (90%)in plant growth chamber. The observations were done from the Day 3 until Day 27. The results showed that the growth of the A. niger for concrete treated by PS for AP, GBP, THIN, and THICK were 64%, 32%, 11% and 100%, respectively. Meanwhile for CB, the growth of A. niger on AP, GBP, THIN, and THICK were 100%, 12%, 41%, and 13%, respectively. Similarly, treated concrete by ZS revealed that the growth of A. niger on the same substrate cover were 33%, 47%, 40%, and 39%, respectively. The results obtained in this study provide a valuable knowledge on the abilities of antifungals to remediate A. niger that inoculated on the concrete substrate. Consequently, this study proved that the PS covering with THIN more efficiency compares CB and ZS to prevent A. niger growth.

  19. Identification of secreted proteins of Aspergillus oryzae associated with growth on solid cereal substrates

    NARCIS (Netherlands)

    Biesebeke, R. te; Boussier, A.; Biezen, N. van; Hondel, C.A.M.J.J. van den; Punt, P.J.

    2006-01-01

    Filamentous growth of Aspergillus oryzae on solid cereal substrates involves secretion of substrate converting enzymes and a solid substrate specific polarised hyphal growth phenotype. To identify proteins produced under these specific conditions, the extracts of A. oryzae grown on wheat-based media

  20. Thickness-controlled direct growth of nanographene and nanographite film on non-catalytic substrates

    Science.gov (United States)

    Du, Lei; Yang, Liu; Hu, Zhiting; Zhang, Jiazhen; Huang, Chunlai; Sun, Liaoxin; Wang, Lin; Wei, Dacheng; Chen, Gang; Lu, Wei

    2018-05-01

    Metal-catalyzed chemical vapor deposition (CVD) has been broadly employed for large-scale production of high-quality graphene. However, a following transfer process to targeted substrates is needed, which is incompatible with current silicon technology. We here report a new CVD approach to form nanographene and nanographite films with accurate thickness control directly on non-catalytic substrates such as silicon dioxide and quartz at 800 °C. The growth time is as short as a few seconds. The approach includes using 9-bis(diethylamino)silylanthracene as the carbon source and an atomic layer deposition (ALD) controlling system. The structure of the formed nanographene and nanographite films were characterized using atomic force microscopy, high resolution transmission electron microscopy, Raman scattering, and x-ray photoemission spectroscopy. The nanographite film exhibits a transmittance higher than 80% at 550 nm and a sheet electrical resistance of 2000 ohms per square at room temperature. A negative temperature-dependence of the resistance of the nanographite film is also observed. Moreover, the thickness of the films can be precisely controlled via the deposition cycles using an ALD system, which promotes great application potential for optoelectronic and thermoelectronic-devices.

  1. Selective growth of carbon nanotube on silicon substrates

    Institute of Scientific and Technical Information of China (English)

    ZOU Xiao-ping; H. ABE; T. SHIMIZU; A. ANDO; H. TOKUMOT; ZHU Shen-ming; ZHOU Hao-shen

    2006-01-01

    The carbon nanotube (CNT) growth of iron oxide-deposited trench-patterns and the locally-ordered CNT arrays on silicon substrate were achieved by simple thermal chemical vapor deposition(STCVD) of ethanol vapor. The CNTs were uniformly synthesized with good selectivity on trench-patterned silicon substrates. This fabrication process is compatible with currently used semiconductor-processing technologies,and the carbon-nanotube fabrication process can be widely applied for the development of electronic devices using carbon-nanotube field emitters as cold cathodes and can revolutionize the area of field-emitting electronic devices. The site-selective growth of CNT from an iron oxide nanoparticle catalyst patterned were also achieved by drying-mediated self-assembly technique. The present method offers a simple and cost-effective method to grow carbon nanotubes with self-assembled patterns.

  2. Growth on nonpolar and semipolar GaN: The substrate dilemma

    Energy Technology Data Exchange (ETDEWEB)

    Wernicke, T.; Weyers, M. [Ferdinand-Braun-Institute, Berlin (Germany); Kneissl, M. [Ferdinand-Braun-Institute, Berlin (Germany); Institute of Solid State Physics, TU Berlin (Germany)

    2009-07-01

    Growth of nonpolar and semipolar GaN is very promising for achieving green laser diodes (LDs). However, the choice of the substrate is a difficult one: Heteroepitaxial growth on sapphire, SiC, LiAlO{sub 2} yields GaN films with a poor surface quality and high defect densities. On the other hand non- and semipolar bulk GaN substrates provide excellent crystal quality, but are so far only available in very small sizes. In this paper hetero- and homoepitaxial growth is compared. For all heteroepitaxially grown semi- and nonpolar GaN layers threading dislocations (TD) and basal plane stacking faults (BSF) can be found. There are four possible mechanisms for the generation of BSF: Growth of the N-polar basal plane, formation during nucleation at substrate steps, formation at the coalescence front of differently stacked nucleation islands, and generation at planar defects occurring in m-plane GaN on LiAlO{sub 2}. BSF induce surface roughening and are associated with partial dislocations causing nonradiative recombination. Thus they affect the performance of devices. We show that BSFs and TDs can be reduced by epitaxial lateral overgrowth resulting in several micrometer wide defect free areas. However, for LEDs larger defect-free areas are required. GaN layers grown on bulk GaN substrates exhibit a high crystal quality, but show in many cases long-range surface structures with a height of {approx}1{mu}m.

  3. Epitaxial growth of AlN on single crystal Mo substrates

    International Nuclear Information System (INIS)

    Okamoto, Koichiro; Inoue, Shigeru; Nakano, Takayuki; Kim, Tae-Won; Oshima, Masaharu; Fujioka, Hiroshi

    2008-01-01

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30 o rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices

  4. Epitaxial growth of AlN on single crystal Mo substrates

    Energy Technology Data Exchange (ETDEWEB)

    Okamoto, Koichiro; Inoue, Shigeru [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Nakano, Takayuki; Kim, Tae-Won [Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo, 113-8656 (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo, 4-6-1 Komaba, Meguro-ku, Tokyo, 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST) KSP east 301, 3-2-1 Sakado, Takatsu-ku, Kawasaki, Kanagawa, 213-0012 (Japan)], E-mail: hfujioka@iis.u-tokyo.ac.jp

    2008-06-02

    We have grown AlN films on single-crystalline Mo(110), (100), and (111) substrates using a low temperature pulsed laser deposition (PLD) growth technique and investigated their structural properties. Although c-axis oriented AlN films grow on Mo(100), the films contain 30{sup o} rotated domains due to the difference in the rotational symmetry between AlN(0001) and Mo(100). AlN films with only poor crystalline quality grow on Mo(111) substrates, probably due to the poor surface morphology and high reactivity of the substrates. On the other hand, single crystal AlN films grow epitaxially on Mo(110) substrates with an in-plane relationship of AlN[11-20] // Mo[001]. Reflection high-energy electron diffraction or electron backscattered diffraction analysis has revealed that neither in-plane 30 deg. rotated domains nor cubic phase domains exist in the AlN films. X-ray reflectivity measurements have revealed that the heterointerface between AlN and Mo prepared by PLD at 450 deg. C is quite abrupt. These results indicate that PLD epitaxial growth of AlN on single crystal Mo substrates is quite promising for the fabrication of future high frequency filter devices.

  5. Growth and flowering of Helleborus argutifolius (Viviani grown in pots depending on substrate type

    Directory of Open Access Journals (Sweden)

    Monika Henschke

    2014-09-01

    Full Text Available An experiment was conducted on the effect of substrate type on growth of Corsican hellebore (Helleborus argutifolius Viviani. Plants were grown for two years in pots with substrates whose components included Klasmann highmoor peat and Hartmann highmoor peat, mineral soil, expanded clay and perlite at various volumetric ratios. Vegetative growth and flowering were observed in hellebores. It was shown that substrates exhibited a varied effect on plant growth. Corsican hellebore in a substrate with a considerable addition of mineral soil was lower, but more branched, and it did not form inflorescences. An optimal medium for growing H. argutifolius in pots was Hartmann’s de-acidified peat + mineral soil (1:1 v:v. In this medium vegetative growth of plants was extensive, flowering was early and abundant, and long peduncles were produced.

  6. Growth of Horizonatal ZnO Nanowire Arrays on Any Substrate

    KAUST Repository

    Qin, Yong

    2008-12-04

    A general method is presented for growing laterally aligned and patterned ZnO nanowire (NW) arrays on any substrate as long as it is flat. The orientation control is achieved using the combined effect from ZnO seed layer and the catalytically inactive Cr (or Sn) layer for NW growth. The growth temperature (< 100 °C) is so low that the method can be applied to a wide range of substrates that can be inorganic, organic, single crystal, polycrystal, or amorphous. The laterally aligned ZnO NW arrays can be employed for various applications, such as gas sensor, field effect transistor, nanogenerator, and flexible electronics. © 2008 American Chemical Society.

  7. Acclimatization and growth of ornamental pineapple seedlings under organic substrates

    Directory of Open Access Journals (Sweden)

    Ronan Carlos Colombo

    2017-09-01

    Full Text Available The in vitro propagation techniques are commonly used to produce ornamental pineapple seedlings in commercial scale, aiming to attend the growers with genetic and sanitary quality seedlings. However, the choice of the ideal substrate is essential for the acclimatization and growth stage of the seedlings propagated by this technique, since some substrates can increase the seedling mortality and/or limit the seedling growth due to its physical and chemical characteristics. Thus, the aim of this study was to evaluate the acclimatization of ornamental pineapple [Ananas comosus (L. Merr. var. ananassoides (Baker Coppens & Leal] on different substrates. Seedlings with approximately seven centimeters, obtained from in vitro culture, were transplanted into styrofoam trays filled with the following substrates: sphagnum; semi-composed pine bark; carbonized rice husk; sphagnum + semicomposed pine bark; sphagnum + carbonized rice husk; and semi-composed pine bark + carbonized rice husk. Each treatment was replicated five times using 10 plants. At 180 days, there were evaluated the following variables: survival percentage, plant height, number of leaves, leaf area, largest root length, and shoot and root dry matter. The substrate semi-composed pine bark + carbonized rice husk presented the lowest mean (62% for survival percentage. The semi-composed pine bark and semi-composed pine bark + carbonized rice husk treatments presented significant increments in some evaluated biometric characteristics. The semi-composed pine bark is the most favorable substrate for the A. comosus var. ananassoids acclimatization.

  8. Twin-assisted growth of nominally stable substrates underneath dewetted Au nanoparticles

    International Nuclear Information System (INIS)

    Liu, Fang; Xie, Dong Yue; Majdi, Tahereh; Zhu, Guo-zhen

    2016-01-01

    By applying a simple and inexpensive thermal treatment, we synthesized supported gold-oxide nanostructures, which have potential applications to plasmonic devices and biosensors. The regrowth of nominally stable substrates under gold nanoparticles is associated with the appearance of preferential orientations of dewetted nanoparticles and the formation of atomically sharp interfacial monolayers. Steps present at the interfacial monolayer usually occur at defects including the intersection points of twin planes at the interface. They were related to the nucleation and immigration of the interfacial monolayers, prompting the substrate regrowth. Accordingly, we proposed the twin-assisted growth mechanism, which provides insight on the synthesis of gold-oxide nanostructures. - Highlights: • The twin-assisted growth mechanism is proposed for the abnormal regrowth of substrate underneath Au nanoparticles. • The substrate regrowth is related to the steps and ledges that are present at the Au–MgAl_2O_4 interfacial monolayers. • Interfacial steps are detected at defects such as the intersecting points of twin planes at the interface.

  9. Twin-assisted growth of nominally stable substrates underneath dewetted Au nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Fang; Xie, Dong Yue [State Key Laboratory of Metal Matrix Composites, School of Materials Science and Engineering, Shanghai Jiao Tong University, 800 Dongchuan Rd., Shanghai 200240 (China); Majdi, Tahereh [Department of Engineering Physics, McMaster University, 1280 Main St. W., Hamilton, ON L8S 4L7 (Canada); Zhu, Guo-zhen, E-mail: zhugz@sjtu.edu.cn [State Key Laboratory of Metal Matrix Composites, School of Materials Science and Engineering, Shanghai Jiao Tong University, 800 Dongchuan Rd., Shanghai 200240 (China)

    2016-03-15

    By applying a simple and inexpensive thermal treatment, we synthesized supported gold-oxide nanostructures, which have potential applications to plasmonic devices and biosensors. The regrowth of nominally stable substrates under gold nanoparticles is associated with the appearance of preferential orientations of dewetted nanoparticles and the formation of atomically sharp interfacial monolayers. Steps present at the interfacial monolayer usually occur at defects including the intersection points of twin planes at the interface. They were related to the nucleation and immigration of the interfacial monolayers, prompting the substrate regrowth. Accordingly, we proposed the twin-assisted growth mechanism, which provides insight on the synthesis of gold-oxide nanostructures. - Highlights: • The twin-assisted growth mechanism is proposed for the abnormal regrowth of substrate underneath Au nanoparticles. • The substrate regrowth is related to the steps and ledges that are present at the Au–MgAl{sub 2}O{sub 4} interfacial monolayers. • Interfacial steps are detected at defects such as the intersecting points of twin planes at the interface.

  10. Broad specificity dioxygenase enzymes and the bioremediation of hazardous aromatic pollutants

    International Nuclear Information System (INIS)

    Bonus, P.A.; Nies, L.

    1996-01-01

    The release of aromatic compounds to the environment is a major source of global pollution. In particular, the contamination of soil and groundwater with benzene, toluene, and xylenes (BTX) is the most ubiquitous form of aromatic pollution. The major source of BTX contamination is the release of gasoline and other petroleum products. This research focused on the improvement of bioremediation of BTX through a better understanding of broad specificity dioxygenase enzymes produced by soil and sediment bacteria. The investigation utilized pure bacterial strains isolated on biphenyl, naphthalene, or toluene. These isolated aerobic bacteria were then used to investigate the specificity of the initial enzymatic attack on aromatic compounds including BTX and polychlorinated biphenyls (PCBs). The enzymatic specificity and competency of the five isolates selected for study were determined through the use of growth tests and two rapid assay techniques. The growth tests were conducted on mineral agar plates or in liquid cultures, and they were used to determine substrate specificity. In addition, rapid assays for both BTX and PCBs were carried out using various growth substrates. These assays allowed further clarification of the specificity of the dioxygenase enzymes involved in aromatic degradation. Preliminary results of the PCB assay show that biphenyl and naphthalene isolated organisms grown on biphenyl, benzoate, naphthalene, and succinate maintain production of broad specificity dioxygenase enzymes able to degrade PCBs. Likewise, the BTX assay confirms that biphenyl and naphthalene selected organisms grown on their respective selection substrates completely degrade BTX including all three xylene isomers. In comparison, the toluene selected organism that was studied was unable to degrade PCBs, but it was able to degrade all BTX constituents

  11. Growth regulators and substrates for Oncidium baueri Lindl. micropropagation

    Directory of Open Access Journals (Sweden)

    Daniele Brandstetter Rodrigues

    2016-10-01

    Full Text Available An adequate concentration of growth regulators as well as the replacement of agar by an alternative medium may be promising from practical and financial points of view to produce orchid plants by micropropagation. The objective of this work was to evaluate different concentrations of growth regulator and alternative substrates for agar replacement in culture medium for in vitro multiplication and rooting of Oncidium baueri. In the explant multiplication phase, two experimental factors were evaluated- various concentrations of 6-benzylaminopurine (BAP (0.0, 1.0, 2.0, and 3.0 mg L-1 and substrates (agar, vermiculite, and coconut fiber added to MS medium. In the rooting phase, different concentrations of indole butyric acid (IBA (0.0, 0.5, 1.0, and 1.5 mg L-1 were added to culture medium containing the same substrate. Six months after the experiments were initiated, the survival percentage, number of leaves, shoots, and roots and length of the aerial part and the major root were evaluated. The results suggested that addition of 1.0 mg L-1 BAP is necessary for the O. baueri in vitro multiplication phase, but IBA is not necessary in the rooting phase. For the substrate, vermiculite is not indicated as an agar replacement. In contrast, coconut fiber can be used in both multiplication and rooting phases of Oncidium baueri in vitro culture.

  12. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  13. Influence of substrate temperature, growth rate and TCO substrate on the properties of CSS deposited CdS thin films

    Energy Technology Data Exchange (ETDEWEB)

    Schaffner, J., E-mail: jschaffner@surface.tu-darmstadt.de; Feldmeier, E.; Swirschuk, A.; Schimper, H.-J.; Klein, A.; Jaegermann, W.

    2011-08-31

    The growth of CdS thin films by close space sublimation (CSS) has been systematically studied using an ultra-high vacuum system known as DAISY-SOL in order to understand the basic growth mechanisms and their impact on the film properties. Substrate temperature and deposition rate were varied, and the surface properties of the CdS layer were determined by photoelectron spectroscopy (XPS) without breaking the vacuum. To analyze the influence of the deposition conditions on the layer morphology and crystallographic structure, the films were further characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM) and atomic force microscopy (AFM). The SEM and AFM studies show a correlation between the deposition rate and the film morphology. For high deposition rates, edged grain shapes and smoother surfaces were observed than for low deposition rates. CdS films were deposited onto two different commercially available fluorine-doped tin oxide (FTO) substrates. XRD studies show that a high <200> texture of the FTO substrate prefers the CdS growth in <0001> orientation of the hexagonal crystal modification.

  14. Epitaxial growth mechanisms of graphene and effects of substrates

    OpenAIRE

    Özçelik, V. Ongun; Cahangirov, S.; Ciraci, S.

    2012-01-01

    The growth process of single layer graphene with and without substrate is investigated using ab initio, finite temperature molecular dynamic calculations within density functional theory. An understanding of the epitaxial graphene growth mechanisms in the atomic level is provided by exploring the transient stages which occur at the growing edges of graphene. These stages are formation and collapse of large carbon rings together with the formation and healing of Stone-Wales like pentagon-hepta...

  15. Enhancing trichloroethylene degradation using non-aromatic compounds as growth substrates.

    Science.gov (United States)

    Kim, Seungjin; Hwang, Jeongmin; Chung, Jinwook; Bae, Wookeun

    2014-06-30

    The effect of non-aromatic compounds on the trichloroethylene (TCE) degradation of toluene-oxidizing bacteria were evaluated using Burkholderia cepacia G4 that expresses toluene 2-monooxygenase and Pseudomonas putida that expresses toluene dioxygenase. TCE degradation rates for B. cepacia G4 and P. putida with toluene alone as growth substrate were 0.144 and 0.123 μg-TCE/mg-protein h, respectively. When glucose, acetate and ethanol were fed as additional growth substrates, those values increased up to 0.196, 0.418 and 0.530 μg-TCE/mg-protein h, respectively for B. cepacia G4 and 0.319, 0.219 and 0.373 μg-TCE/mg-protein h, respectively for P. putida. In particular, the addition of ethanol resulted in a high TCE degradation rate regardless of the initial concentration. The use of a non-aromatic compound as an additional substrate probably enhanced the TCE degradation because of the additional supply of NADH that is consumed in co-metabolic degradation of TCE. Also, it is expected that the addition of a non-aromatic substrate can reduce the necessary dose of toluene and, subsequently, minimize the potential competitive inhibition upon TCE co-metabolism by toluene. Copyright © 2014 Elsevier B.V. All rights reserved.

  16. Growth specificity of vertical ZnO nanorods on patterned seeded substrates through integrated chemical process

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, P. Suresh [Thin Film and Nanomaterials Laboratory, Department of Physics, Bharathiar University, Coimbatore 641 046 (India); Maniam, S.M. [Centre for Quantum Technologies, National University of Singapore (Singapore); Sundaramurthy, J. [Department of Chemical and Biomolecular Engineering, National University of Singapore (NUS) (Singapore); Arokiaraj, J. [3M R and D Center (Singapore); Mangalaraj, D., E-mail: dmraj800@yahoo.com [Department of Nanoscience and Technology, Bharathiar University, Coimbatore 641046 (India); Rajarathnam, D. [CERAR, University of South Australia, Mawson Lakes, SA-5095 (Australia); Srinivasan, M.P. [Department of Chemical and Biomolecular Engineering, National University of Singapore (NUS) (Singapore); Jian, L.K. [Singapore Synchrotron Light Source (SSLS), National University of Singapore (NUS) (Singapore)

    2012-03-15

    Highlights: Black-Right-Pointing-Pointer Simple integrated chemical process was adopted for specific ZnO nanorod growth. Black-Right-Pointing-Pointer Size and orientation of nanorods are well controlled by optimum reaction time and temperature. Black-Right-Pointing-Pointer Different site-selective ZnO nanorod growths are demonstrated. - Abstract: A simple and cost effective method has been employed for the random growth and oriented ZnO nanorod arrays over as-prepared and patterned seeded glass substrates by low temperature two step growth process and growth specificity by direct laser writing (DLW) process. Scanning electron microscopy (SEM) images and X-ray diffraction analysis confirm the growth of vertical ZnO nanorods with perfect (0 0 2) orientation along c-axis which is in conjunction with optimizing the parameters at different reaction times and temperatures. Transmission electron microscopy (TEM) images show the formation of vertical ZnO nanorods with diameter and length of {approx}120 nm and {approx}400 nm respectively. Photoluminescence (PL) spectroscopic studies show a narrow emission at {approx}385 nm and a broad visible emission from 450 to 600 nm. Further, site-selective ZnO nanorod growth is demonstrated for its high degree of control over size, orientation, uniformity, and periodicity on a positive photoresist ZnO seed layer by simple geometrical (line, circle and ring) patterns of 10 {mu}m and 5 {mu}m dimensions. The demonstrated control over size, orientation and periodicity of ZnO nanorods process opens up an opportunity to develop multifunctional properties which promises their potential applications in sensor, piezoelectric, and optoelectronic devices.

  17. Mechanistic basis for high stereoselectivity and broad substrate scope in the (salen)Co(III)-catalyzed hydrolytic kinetic resolution.

    Science.gov (United States)

    Ford, David D; Nielsen, Lars P C; Zuend, Stephan J; Musgrave, Charles B; Jacobsen, Eric N

    2013-10-16

    In the (salen)Co(III)-catalyzed hydrolytic kinetic resolution (HKR) of terminal epoxides, the rate- and stereoselectivity-determining epoxide ring-opening step occurs by a cooperative bimetallic mechanism with one Co(III) complex acting as a Lewis acid and another serving to deliver the hydroxide nucleophile. In this paper, we analyze the basis for the extraordinarily high stereoselectivity and broad substrate scope observed in the HKR. We demonstrate that the stereochemistry of each of the two (salen)Co(III) complexes in the rate-determining transition structure is important for productive catalysis: a measurable rate of hydrolysis occurs only if the absolute stereochemistry of each of these (salen)Co(III) complexes is the same. Experimental and computational studies provide strong evidence that stereochemical communication in the HKR is mediated by the stepped conformation of the salen ligand, and not the shape of the chiral diamine backbone of the ligand. A detailed computational analysis reveals that the epoxide binds the Lewis acidic Co(III) complex in a well-defined geometry imposed by stereoelectronic rather than steric effects. This insight serves as the basis of a complete stereochemical and transition structure model that sheds light on the reasons for the broad substrate generality of the HKR.

  18. The effect of clay amendment on substrate properties and growth of woody plants

    Directory of Open Access Journals (Sweden)

    Tomáš Meisl

    2012-01-01

    Full Text Available This work deals with the effect of two clay products differing in particle size distribution on properties of growing substrate and on growth of containerized woody plants in substrates amended with these clay products. Fine and coarse clay were added to a peat substrate, each at two rates. The peat substrate without clay was used as a control. The substrates were tested in experiments with two woody ornamentals (Thuja occidentalis ’Smaragd’ and Prunus cistena. Chemical and physical properties of the substrates were measured according to European Standards before planting. Proportion of water categories differing in availability to the plants were calculated from retention curves measured on the sand box. Properties of substrates in containers with and without plants were evaluated in the same way at the end of the culture. Clay addition changed chemical and physical properties of the tested substrates in terms: available nutrients content, particle density, bulk density, total pore volume, easy available water, water buffering capacity, air capacity, and shrinkage. The effect of fine clay was much stronger. In comparison with the clear effect of clay addition on the substrate chemical and physical properties, the effect on the growth and quality of model woody plants was not so explicit.

  19. Stress-driven lithium dendrite growth mechanism and dendrite mitigation by electroplating on soft substrates

    Science.gov (United States)

    Wang, Xu; Zeng, Wei; Hong, Liang; Xu, Wenwen; Yang, Haokai; Wang, Fan; Duan, Huigao; Tang, Ming; Jiang, Hanqing

    2018-03-01

    Problems related to dendrite growth on lithium-metal anodes such as capacity loss and short circuit present major barriers to next-generation high-energy-density batteries. The development of successful lithium dendrite mitigation strategies is impeded by an incomplete understanding of the Li dendrite growth mechanisms, and in particular, Li-plating-induced internal stress in Li metal and its effect on Li growth morphology are not well addressed. Here, we reveal the enabling role of plating residual stress in dendrite formation through depositing Li on soft substrates and a stress-driven dendrite growth model. We show that dendrite growth is mitigated on such soft substrates through surface-wrinkling-induced stress relaxation in the deposited Li film. We demonstrate that this dendrite mitigation mechanism can be utilized synergistically with other existing approaches in the form of three-dimensional soft scaffolds for Li plating, which achieves higher coulombic efficiency and better capacity retention than that for conventional copper substrates.

  20. Aqueous chemical growth and patterning of ZnO nanopillars on different substrate materials

    Energy Technology Data Exchange (ETDEWEB)

    Kreye, M.; Postels, B.; Wehmann, H.H.; Waag, A. [Institute of Semiconductor Technology, Technical University of Braunschweig, Hans-Sommer-Strasse 66, 38106 Braunschweig (Germany); Fuhrmann, D.; Hangleiter, A. [Institute of Applied Physics, Technical University of Braunschweig, Mendelssohnstrasse 2, 38106 Braunschweig (Germany)

    2006-03-15

    Aqueous chemical growth (ACG) is a low-temperature approach that is only weakly influenced by the substrate and allows for the growth of ZnO nanopillars on various substrates. ACG is an efficient way to generate wafer-scale and densely packed arrays of ZnO nanopillars even on polymer materials. Photoluminescence (PL) characterisation clearly shows a comparatively strong band-edge luminescence even at room temperature that is accompanied with a rather weak visible luminescence in the yellow/orange spectral range. We introduce a rather simple postgrowth lithographic technique. Patterning of ZnO nanopillars even on layered conducting and flexible substrate materials using ACG as a low-temperature growth technique is demonstrated. The economical potential for future applications and devices using ZnO nanopillar arrays is discussed. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Effects of mixed substrates on growth and vitamin production by ...

    African Journals Online (AJOL)

    SERVER

    2007-10-16

    Oct 16, 2007 ... The cells were grown mixotrophically in glucose (G), ethanol ... Key words: mixed substrate culture, Euglena gracilis, cell growth, vitamin production. ..... Biological elimination of nitric oxide from fuel gas by marine micro-.

  2. Logistic growth models of China pinks, cultivated on seven substrates, as a function of degree days

    Directory of Open Access Journals (Sweden)

    Marília Milani

    Full Text Available ABSTRACT: The objective of this study was to characterize the height (H and leaf number (LN of China pinks, grown in seven substrates, as a function of degree days, using the logistic growth model. H and LN were measured from 56 plants per substrate, for 392 plants in total. Plants that were grown on substrates formed of 50% soil with 50% rice husk ash (50% S + 50% RH and 80% rice husk ash with 20% worm castings (80% RH + 20% W had the longest vegetative growth period (74d, corresponding to 1317.9ºCd. The logistic growth model, adjusted for H, showed differences in the estimation of maximum expected height (α between the substrates, with values between 10.47cm for 50% S + 50% RH and 35.75cm for Mecplant(r. When α was estimated as LN, variation was also observed between the different substrates, from approximately 30 leaves on plants growing on 50% S + 50% RH to 34 leaves on the plants growing on the substrate formed of 80% RH + 20% W. Growth of China pinks can be characterized using H or LN in the logistic growth model as a function of degree days, being the provided plants adequately fertilized. The best substrates in terms of maximum height and leaf number were 80% soil + 20% worm castings and Mecplant(r. However, users must recalibrate the model with the estimated parameters before applying it to different growing conditions.

  3. Kinetic models of cell growth, substrate utilization and bio ...

    African Journals Online (AJOL)

    Bio-decolorization kinetic studies of distillery effluent in a batch culture were conducted using Aspergillus fumigatus. A simple model was proposed using the Logistic Equation for the growth, Leudeking-Piret kinetics for bio-decolorization, and also for substrate utilization. The proposed models appeared to provide a suitable ...

  4. The role of the substrate surface morphology and water in growth of vertically aligned single-walled carbon nanotubes.

    Science.gov (United States)

    Pint, Cary; Pheasant, Sean; Nicholas, Nolan; Horton, Charles; Hauge, Robert

    2008-11-01

    Growth of high quality, vertically aligned single-walled carbon nanotubes (carpets) is achieved using a rapid insertion hot filament chemical vapor deposition (HF-CVD) technique. The effect of the substrate morphology on growth is explored by comparing carpets grown on epitaxially polished MgO substrates to those grown on "as-cut", macroscopically rough MgO substrates. Depending on the substrate morphology, we observe differences in both the overall carpet morphology as well as the diameter distribution of nanotubes grown in the carpet based on optical measurements. In addition, we explore the role of water in the growth of carpets on MgO and the conventional Al2O3 coated Si substrates. We find that the addition of a small amount of water is beneficial to the growth rates of the SWNT carpets, enhancing the growth rates by up to eight times.

  5. Molecular-mediated crystal growth of PbTiO3 nanostructure on silicon substrate

    International Nuclear Information System (INIS)

    Chao Chunying; Ren Zhaohui; Liu Zhenya; Xiao Zhen; Xu Gang; Li Xiang; Wei Xiao; Shen Ge; Han Gaorong

    2011-01-01

    A simple approach based on an organically modified sol-gel process has been developed to fabricate PbTiO 3 (PT) nanocrystals on Si (1 0 0) substrate, where the amorphous powder modified by acetylacetone (acac) was used as precursor. After dropping the amorphous powder precursor prepared by freeze-drying process, PT nanocrystals on Si (1 0 0) substrate were obtained after heat treatment at 720 deg. C for 30 min in air. PT nanocrystals have been detected by XRD to be tetragonal perovskite structure. With the increase of acac/Pb molar ratio, the relative (1 0 0)/(0 0 1) diffraction peak intensity gradually increases, which probably suggested an oriented growth of PT nanocrystal along [1 0 0] on Si (1 0 0) substrates. In addition, Atomic force microscopy (AFM) results indicated that the height and the average lateral size of PT nanocrystal increased and then decreased as the acac/Pb molar ratio increased. Piezoelectric force microscopy (PFM) results demonstrated that all the samples show obvious piezoelectric activity. These results implied that the acetylacetone molecular mediated the growth of PT nanocrystals on Si (1 0 0) substrates possibly by the acac/Pb molar ratio. This simple method has been suggested to be attractive for tailoring an oriented growth of the nanostructures of perovskite oxide systems on Si substrates.

  6. Homo- and heteroepitaxial growth behavior of upright InAs nanowires on InAs and GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Bauer, Jens; Gottschalch, Volker; Paetzelt, Hendrik [Institut fuer Anorganische Chemie, Universitaet Leipzig, Johannesallee 29, D-04103 Leipzig (Germany); Wagner, Gerald [Institut fuer Kristallographie und Mineralogie, Universitaet Leipzig, Linnestr. 5, D-04103 Leipzig (Germany); Pietsch, Ulrich [Festkoerperphysik, Universitaet Siegen, D-57068 Siegen (Germany)

    2008-07-01

    Semiconductor nanowires (NW) acquire recently attraction because of promising new application fields in electronics and optoelectronic. We applied the vapor-liquid-solid mechanism with gold seeds in combination with low-pressure metal-organic vapor phase epitaxy (LP-MOVPE) to achieve replicable InAs NW growth with high growth rates. Since the initial alloying of the gold seeds with the substrate material plays a deciding role for the inceptive NW growth, InAs free standing nanowires were grown on GaAs(111)B substrate as well as on InAs/GaAs(111)B quasi-substrate. The influence of the MOVPE parameters will be discussed with respect to NW morphology and real-structure. A special focus will be set on the heteroepitaxial InAs NW growth on GaAs substrates. Gracing-incidence X-ray studies and transmission electron microscopy investigations revealed the existence of a thin Ga{sub x}In{sub 1-x}As graduated alloy layer with embedded crystalline gold alloy particles at the NW substrate interface. The effect of droplet composition on the VLS growth will be presented in a thermodynamic model.

  7. Influence of nutrition and various substrates on spruce seedling growth

    Directory of Open Access Journals (Sweden)

    Đukić Matilda

    2004-01-01

    Full Text Available The results of the influence of main macronutrients (N, P, and K on growth and development of spruce (Picea abies L. Karst one-year old seedlings are presented. They were grown in containers, in nursery conditions, on four different substrates. There is a good influence on biogenous element contents, height, root collar diameter, needle length and mass, root mass as well as physiological vitality of spruce seedlings. It was observed that the effect of nutrition depends also on the type of substrate.

  8. Mammalian cell growth on gold nanoparticle-decorated substrates is influenced by the nanoparticle coating

    Directory of Open Access Journals (Sweden)

    Christina Rosman

    2014-12-01

    Full Text Available In this work, we study epithelial cell growth on substrates decorated with gold nanorods that are functionalized either with a positively charged cytotoxic surfactant or with a biocompatible polymer exhibiting one of two different end groups, resulting in a neutral or negative surface charge of the particle. Upon observation of cell growth for three days by live cell imaging using optical dark field microscopy, it was found that all particles supported cell adhesion while no directed cell migration and no significant particle internalization occurred. Concerning cell adhesion and spreading as compared to cell growth on bare substrates after 3 days of incubation, a reduction by 45% and 95%, respectively, for the surfactant particle coating was observed, whereas the amino-terminated polymer induced a reduction by 30% and 40%, respectively, which is absent for the carboxy-terminated polymer. Furthermore, interface-sensitive impedance spectroscopy (electric cell–substrate impedance sensing, ECIS was employed in order to investigate the micromotility of cells added to substrates decorated with various amounts of surfactant-coated particles. A surface density of 65 particles/µm2 (which corresponds to 0.5% of surface coverage with nanoparticles diminishes micromotion by 25% as compared to bare substrates after 35 hours of incubation. We conclude that the surface coating of the gold nanorods, which were applied to the basolateral side of the cells, has a recognizable influence on the growth behavior and thus the coating should be carefully selected for biomedical applications of nanoparticles.

  9. Graphene growth on Ge(100)/Si(100) substrates by CVD method.

    Science.gov (United States)

    Pasternak, Iwona; Wesolowski, Marek; Jozwik, Iwona; Lukosius, Mindaugas; Lupina, Grzegorz; Dabrowski, Pawel; Baranowski, Jacek M; Strupinski, Wlodek

    2016-02-22

    The successful integration of graphene into microelectronic devices is strongly dependent on the availability of direct deposition processes, which can provide uniform, large area and high quality graphene on nonmetallic substrates. As of today the dominant technology is based on Si and obtaining graphene with Si is treated as the most advantageous solution. However, the formation of carbide during the growth process makes manufacturing graphene on Si wafers extremely challenging. To overcome these difficulties and reach the set goals, we proposed growth of high quality graphene layers by the CVD method on Ge(100)/Si(100) wafers. In addition, a stochastic model was applied in order to describe the graphene growth process on the Ge(100)/Si(100) substrate and to determine the direction of further processes. As a result, high quality graphene was grown, which was proved by Raman spectroscopy results, showing uniform monolayer films with FWHM of the 2D band of 32 cm(-1).

  10. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    International Nuclear Information System (INIS)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip

    2015-01-01

    Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields

  11. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Kim, Dong Rip, E-mail: dongrip@hanyang.ac.kr [School of Mechanical Engineering, Hanyang University, Seoul 133-791 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 133-791 (Korea, Republic of)

    2015-06-15

    Graphical abstract: - Highlights: • Cerium oxide nanorods were uniformly grown on diverse substrates. • Changes in growth conditions led to morphology evolution of cerium oxide nanostructures. • The grown cerium oxide nanostructures were single or poly crystalline. • Direct growth of cerium oxide nanorods made the diverse substrates superhydrophobic and anti-corrosive without any surface modifiers. - Abstract: Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.

  12. Hydrogen-surfactant-assisted coherent growth of GaN on ZnO substrate

    Science.gov (United States)

    Zhang, Jingzhao; Zhang, Yiou; Tse, Kinfai; Zhu, Junyi

    2018-01-01

    Heterostructures of wurtzite based devices have attracted great research interest because of the tremendous success of GaN in light emitting diodes (LED) industry. High-quality GaN thin films on inexpensive and lattice matched ZnO substrates are both commercially and technologically desirable. Intrinsic wetting conditions, however, forbid such heterostructures as the energy of ZnO polar surfaces is much lower than that of GaN polar surfaces, resulting in 3D growth mode and poor crystal quality. Based on first-principles calculations, we propose the use of surfactant hydrogen to dramatically alter the growth mode of the heterostructures. Stable H-involved surface configurations and interfaces are investigated with the help of our newly developed modelling techniques. The temperature and chemical potential dependence of our proposed strategy, which is critical in experiments, is predicted by applying the experimental Gibbs free energy of H2. Our thermodynamic wetting condition analysis is a crucial step for the growth of GaN on ZnO, and we find that introducing H will not degrade the stability of ZnO substrate. This approach will allow the growth of high-quality GaN thin films on ZnO substrates. We believe that our new strategy may reduce the manufactory cost, improve the crystal quality, and improve the efficiency of GaN-based devices.

  13. Transfer free graphene growth on SiO2 substrate at 250 °C

    Science.gov (United States)

    Vishwakarma, Riteshkumar; Rosmi, Mohamad Saufi; Takahashi, Kazunari; Wakamatsu, Yuji; Yaakob, Yazid; Araby, Mona Ibrahim; Kalita, Golap; Kitazawa, Masashi; Tanemura, Masaki

    2017-03-01

    Low-temperature growth, as well as the transfer free growth on substrates, is the major concern of graphene research for its practical applications. Here we propose a simple method to achieve the transfer free graphene growth on SiO2 covered Si (SiO2/Si) substrate at 250 °C based on a solid-liquid-solid reaction. The key to this approach is the catalyst metal, which is not popular for graphene growth by chemical vapor deposition. A catalyst metal film of 500 nm thick was deposited onto an amorphous C (50 nm thick) coated SiO2/Si substrate. The sample was then annealed at 250 °C under vacuum condition. Raman spectra measured after the removal of the catalyst by chemical etching showed intense G and 2D peaks together with a small D and intense SiO2 related peaks, confirming the transfer free growth of multilayer graphene on SiO2/Si. The domain size of the graphene confirmed by optical microscope and atomic force microscope was about 5 μm in an average. Thus, this approach will open up a new route for transfer free graphene growth at low temperatures.

  14. Epitaxial growth of ZnO layers on (111) GaAs substrates by laser molecular beam epitaxy

    International Nuclear Information System (INIS)

    Ding Jian; Zhang Di; Konomi, Takaharu; Saito, Katsuhiko; Guo Qixin

    2012-01-01

    ZnO layers were grown on (111) GaAs substrates by laser molecular epitaxy at substrate temperatures between 200 and 550 °C. X-ray diffraction analysis revealed that c-axis of ZnO epilayer with a wurtzite structure is perpendicular to the substrate surface. X-ray rocking curves and Raman spectroscopy showed that the crystal quality of ZnO epilayers depends on the substrate temperature during the growth. Strong near-band-edge emission in the UV region without any deep-level emissions was observed from the ZnO epilayers at room temperature. The results indicate that laser molecular beam epitaxy is a promising growth method for obtaining high-quality ZnO layers on (111) GaAs substrates.

  15. Substrate mediated growth of organic semiconducting thin films; Templateffekte bei der Strukturierung organischer Halbleiterfilme

    Energy Technology Data Exchange (ETDEWEB)

    Goetzen, Jan

    2010-09-17

    Since electronic properties of molecular materials are closely related to their structural order a precise control of the molecular packing and crystalline orientation of thin films is of vital interest for an optimization of organic electronic devices. Of particular interest in this respect is the initial stage of film formation which is largely governed by the interplay of intermolecular and molecule-substrate interactions. One approach to control the molecular film structure is based on substrate mediated growth. In this respect we have studied structural properties of thin films of pentacene, pentacene- 5,7,12,14-tetrone and perfluoro-pentacene which were grown onto various substrates including metals, metal oxides and graphite. On metal surfaces the molecules initially form a chemisorbed monolayer where molecules even can be uniformly aligned when using appropriate substrates with twofold symmetry. Further deposition, however, is accompanied by a pronounced dewetting and formation of disjoined islands which results from a large structural mismatch between the molecular arrangement in the monolayer and the crystalline phase. In some cases it is possible to orient such islands by utilizing step mediated nucleation and decoration of step bunches which allows the preparation of azimuthally well oriented elongated islands. On single crystalline oxides the growth parallels the situation found before for SiO{sub 2} where islands of upright oriented molecules are formed. The growth on graphite is somewhat particular since the lattice provides a natural template for acenes yielding epitaxially ordered monolayer films with planar adsorption geometry like in case of metals. Interestingly, however, no dewetting occurs upon further growth and instead rather smooth films are formed. The detailed analysis for the case of pentacene showed that the substrate-molecule interaction actually is weaker than the intermolecular interaction so that multilayer films can lift the

  16. Influence of the Hydrothermal Method Growth Parameters on the Zinc Oxide Nanowires Deposited on Several Substrates

    Directory of Open Access Journals (Sweden)

    Concepción Mejía-García

    2014-01-01

    Full Text Available We report the synthesis of ZnO nanowires grown on several substrates (PET, glass, and Si using a two-step process: (a preparation of the seed layer on the substrate by spin coating, from solutions of zinc acetate dihydrate and 1-propanol, and (b growth of the ZnO nanostructures by dipping the substrate in an equimolar solution of zinc nitrate hexahydrate and hexamethylenetetramine. Subsequently, films were thermally treated with a commercial microwave oven (350 and 700 W for 5, 20, and 35 min. The ZnO nanowires obtained were characterized structurally, morphologically, and optically using XRD, SEM, and UV-VIS transmission, respectively. XRD patterns spectra revealed the presence of Zn(OH2 on the films grown on glass and Si substrates. A preferential orientation along c-axis directions for films grown on PET substrate was observed. An analysis by SEM revealed that the growth of the ZnO nanowires on PET and glass is better than the growth on Si when the same growth parameters are used. On glass substrates, ZnO nanowires less than 50 nm in diameter and between 200 nm and 1200 nm in length were obtained. The ZnO nanowires band gap energy for the films grown on PET and glass was obtained from optical transmission spectra.

  17. Effect of Trichoderma on horticultural seedlings' growth promotion depending on inoculum and substrate type.

    Science.gov (United States)

    Marín-Guirao, J I; Rodríguez-Romera, P; Lupión-Rodríguez, B; Camacho-Ferre, F; Tello-Marquina, J C

    2016-10-01

    The biostimulant effect of Trichoderma spp. on horticultural crops are highly variable. Thus, practical use of Trichoderma sp. requires feasible formulated products and suitable substrates. This study evaluates the survival and the growth-promotion effect of a Trichoderma saturnisporum rice formulation compared with a nonformulated conidia suspension (seven treatments in total), on tomato, pepper and cucumber seedlings grown in two substrates: (i) rich in organic matter (OM) and (ii) mineral substrate without OM. The results showed beneficial effects on seedling growth in the OM-rich substrate when T. saturnisporum rice formulation (mainly at maximum concentration) was applied, but the effects were opposite when the mineral substrate without OM was used. The effects were closely linked to the level of inoculum in the substrate, which was greater upon application of the formulated inoculum as opposed to the nonformulated one. The use of rice to prepare the inoculum of T. saturnisporum seems to be promising for seedling growth in the nursery when it is applied in a substrate that is rich in organic matter, but it must be considered that under certain conditions of food shortage, Trichoderma sp. could show pathogenicity to seedlings. This study provides evidence of the complexity inherent in the use of micro-organisms in agriculture, while also confirming that the activity of the biofertilizers based on Trichoderma depends on the type of inoculum and its concentration, as well as the properties of the medium in which the fungi develop. Further studies assessing the effectiveness or possible pathogenicity of Trichoderma in different soils under greenhouse conditions must be addressed. © 2016 The Society for Applied Microbiology.

  18. Growth on elastic silicone substrate elicits a partial myogenic response in periodontal ligament derived stem cells

    Directory of Open Access Journals (Sweden)

    Daniel Pelaez

    2016-12-01

    Full Text Available The processes of cellular differentiation and phenotypic maintenance can be influenced by stimuli from a variety of different factors. One commonly overlooked factor is the mechanical properties of the growth substrate in which stem cells are maintained or differentiated down various lineages. Here we explored the effect that growth on an elastic silicone substrate had on the myogenic expression and cytoskeletal morphology of periodontal ligament derived stem cells. Cells were grown on either collagen I coated tissue culture polystyrene plates or collagen I coated elastic silicone membranes for a period of 4 days without further induction from soluble factors in the culture media. Following the 4-day growth, gene expression and immunohistochemical analysis for key cardiomyogenic markers was performed along with a morphological assessment of cytoskeletal organization. Results show that cells grown on the elastic substrate significantly upregulate key markers associated with contractile activity in muscle tissues. Namely, the myosin light chain polypeptides 2 and 7, as well as the myosin heavy chain polypeptide 7 genes underwent a statistically significant upregulation in the cells grown on elastic silicone membranes. Similarly, the cells on the softer elastic substrate stained positive for both sarcomeric actin and cardiac troponin t proteins following just 4 days of growth on the softer material. Cytoskeletal analysis showed that substrate stiffness had a marked effect on the organization and distribution of filamentous actin fibers within the cell body. Growth on silicone membranes produced flatter and shorter cellular morphologies with filamentous actin fibers projecting anisotropically throughout the cell body. These results demonstrate how crucial the mechanical properties of the growth substrate of cells can be on the ultimate cellular phenotype. These observations highlight the need to further optimize differentiation protocols to enhance

  19. Experimental Results and Integrated Modeling of Bacterial Growth on an Insoluble Hydrophobic Substrate (Phenanthrene)

    DEFF Research Database (Denmark)

    Adam, Iris K. U.; Rein, Arno; Miltner, Anja

    2014-01-01

    Metabolism of a low-solubility substrate is limited by dissolution and availability and can hardly be determined. We developed a numerical model for simultaneously calculating dissolution kinetics of such substrates and their metabolism and microbial growth (Monod kinetics with decay) and tested ...

  20. Three-dimensional growth simulation: A study of substrate oriented films

    International Nuclear Information System (INIS)

    Besnard, A; Martin, N; Carpentier, L

    2010-01-01

    Monte Carlo simulations are developed to simulate the growth of three-dimensional columnar microstructure in thin films. We are studying in particular oriented microstructure like those produced with the Glancing Angle Deposition technique (GLAD). Some geometrical characteristics of the particles flux, the organization of defect sites on the substrate surface and the atomic surface diffusion are mainly investigated in order to predict the growth processes and the resulting features of the films. This study reports on simulations of thin film growth exhibiting an oblique and zigzag columnar microstructure. Column angle evolution and density are investigated versus incidence angle α or period number n and compared with experimental measurements.

  1. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  2. Effect of different substrates on growth of Mimosa bimucronata seedlings inoculate with rhizobium

    Directory of Open Access Journals (Sweden)

    Juliana Müller Freire

    2017-06-01

    Full Text Available The objective of this study was to evaluate the growth response of Mimosa bimucronata (DC O. Kuntze seedlings in nursery conditions to inoculation with rhizobium strains previously selected using different substrates. An experimental design of randomized blocks with split plots was used, testing three substrates (pure organic-containing clay, sand and manure in 1: 1: 1 v: v: v ratio; organomineral mixed with 30% straw and sand with vermiculite in 1: 1 v: v and four N sources (inoculation with strains BR 3461 and BR 3470, control with N fertilization and control without fertilization, totalizing 12 treatments. Height and stem diameter were evaluate after 90 days and shoot, root and nodules dry mass were evaluate after 120 days. Organomineral substrates provided better seedling growth. However, only organic-substrate with straw and sand with vermiculite showed positive responses of plants inoculated with BR3470 strain. The performance of the inoculated seedlings was not higher than that of seedlings fertilized with N. The rate of N applied stimulated nodulation rather than inhibit it.

  3. Epitaxial growth of nobel metals on alumina substrates

    International Nuclear Information System (INIS)

    Al-Mohammad, A.

    2007-06-01

    The influence of the reconstructed (0001) α-Al 2 O 3 surface on the heteroepitaxial growth and adhesion properties of small metal particles (gold, silver and copper) of noncontinuous thin films has been investigated. The crystallographic structure and morphology of substrate surfaces were examined by Reflection High Energy Electron Diffraction and Atomic Force Microscopy techniques. The reconstructed surfaces are terminated by one or more Aluminum atomic layers. By means of the Transmission Electronic Microscopy, the various granulometric and lattice parameters variations are investigated during different stages of the heteroepitaxial growth of metallic thin films. We estimated the adhesion energy values for each case of metal//(0001)α-Al 2 O 3 interfaces by two methods: the maximum cluster density and the Lifshits theory of Van der Waals energy of interfaces. The results of both methods are in good agreement. Using these methods, we found interfaces Hamaker's constants values and we investigated all the heteroepitaxial growth steps.(author)

  4. Effects of substrate concentrations on the growth of heterotrophic bacteria and algae in secondary facultative ponds.

    Science.gov (United States)

    Kayombo, S; Mbwette, T S A; Katima, J H Y; Jorgensen, S E

    2003-07-01

    This paper presents the effect of substrate concentration on the growth of a mixed culture of algae and heterotrophic bacteria in secondary facultative ponds (SFPs) utilizing settled domestic sewage as a sole source of organic carbon. The growth of the mixed culture was studied at the concentrations ranging between 200 and 800 mg COD/l in a series of batch chemostat reactors. From the laboratory data, the specific growth rate (micro) was determined using the modified Gompertz model. The maximum specific growth rate ( micro(max)) and half saturation coefficients (K(s)) were calculated using the Monod kinetic equation. The maximum observed growth rate ( micro(max)) for heterotrophic bacteria was 3.8 day(-1) with K(s) of 200 mg COD/l. The micro(max) for algal biomass based on suspended volatile solids was 2.7 day(-1) with K(s) of 110 mg COD/l. The micro(max) of algae based on the chlorophyll-a was 3.5 day(-1) at K(s) of 50mg COD/l. The observed specific substrate removal by heterotrophic bacteria varied between the concentrations of substrate used and the average value was 0.82 (mg COD/mg biomass). The specific substrate utilization rate in the bioreactors was direct proportional to the specific growth rate. Hence, the determined Monod kinetic parameters are useful for the definition of the operation of SFPs.

  5. Growth, Plastochron, and the Final Number of Nodes of China Pink Seedlings Grown on Different Substrates

    Directory of Open Access Journals (Sweden)

    Marília Milani

    Full Text Available ABSTRACT The objective of this work was to plot the growth curves and determine the plastochron and the final number of nodes of China pink seedlings grown on different substrates. Thus, 392 China pink seedlings were grown on seven substrates under greenhouse conditions, in Santa Maria in the state of Rio Grande do Sul, Brazil. The growth curves were plotted using the logistic model. The plastochron was estimated by the inverse of the angular coefficient of the simple linear regression between the number of accumulated nodes and accumulated thermal sum from the subsampling of the seedlings. In all substrates, the logistic model fit better for the variable number of leaves than for the plant height. The plants in substrates with 50% of soil plus 50% of rice husk ash, and 80% of rice husk ash plus 20% earthworm humus had the longest cycles with 74 and 65 days, respectively. They completed the cycles with a thermal sum of 1317.9 ºC day for number of leaves and plant height. The growth curves that were plotted by the logistic model and the plastochron of the China pink seedlings are dependent on the type of substrate used. The commercial substrate Mecplant® had the best results. The average final number of nodes of the main stem of the plants was 14 for all substrates.

  6. Dracaena marginata biofilter: design of growth substrate and treatment of stormwater runoff.

    Science.gov (United States)

    Vijayaraghavan, K; Praveen, R S

    2016-01-01

    The purpose of this research was to investigate the efficiency of Dracaena marginata planted biofilters to decontaminate urban runoff. A new biofilter growth substrate was prepared using low-cost and locally available materials such as red soil, fine sand, perlite, vermiculite, coco-peat and Sargassum biomass. The performance of biofilter substrate was compared with local garden soil based on physical and water quality parameters. Preliminary analyses indicated that biofilter substrate exhibited desirable characteristics such as low bulk density (1140 kg/m(3)), high water holding capacity (59.6%), air-filled porosity (7.82%) and hydraulic conductivity (965 mm/h). Four different biofilter assemblies, with vegetated and non-vegetated systems, were examined for several artificial rain events (un-spiked and metal-spiked). Results from un-spiked artificial rain events suggested that concentrations of most of the chemical components in effluent were highest at the beginning of rain events and thereafter subsided during the subsequent rain events. Biofilter growth substrate showed superior potential over garden soil to retain metal ions such as Al, Fe, Cu, Cr, Ni, Zn, Cd and Pb during metal-spiked rain events. Significant differences were also observed between non-vegetated and vegetated biofilter assemblies in runoff quality, with the latter producing better results.

  7. Bacterial growth and substrate degradation by BTX-oxidizing culture in response to salt stress.

    Science.gov (United States)

    Lee, Chi-Yuan; Lin, Ching-Hsing

    2006-01-01

    Interactions between microbial growth and substrate degradation are important in determining the performance of trickle-bed bioreactors (TBB), especially when salt is added to reduce biomass formation in order to alleviate media clogging. This study was aimed at quantifying salinity effects on bacterial growth and substrate degradation, and at acquiring kinetic information in order to improve the design and operation of TBB. Experiment works began by cultivating a mixed culture in a chemostat reactor receiving artificial influent containing a mixture of benzene, toluene, and xylene (BTX), followed by using the enrichment culture to degrade the individual BTX substrates under a particular salinity, which ranged 0-50 g l(-1) in batch mode. Then, the measured concentrations of biomass and residual substrate versus time were analyzed with the microbial kinetics; moreover, the obtained microbial kinetic constants under various salinities were modeled using noncompetitive inhibition kinetics. For the three substrates the observed bacterial yields appeared to be decreased from 0.51-0.74 to 0.20-0.22 mg mg(-1) and the maximum specific rate of substrate utilization, q, declined from 0.25-0.42 to 0.07-0.11 h(-1), as the salinity increased from 0 to 50 NaCl g l(-1). The NaCl acted as noncompetitive inhibitor, where the modeling inhibitions of the coefficients, K ( T(S)), were 22.7-29.7 g l(-1) for substrate degradation and K ( T(mu)), 13.0-19.0 g l(-1), for biomass formation. The calculated ratios for the bacterial maintenance rate, m (S), to q, further indicated that the percentage energy spent on maintenance increased from 19-24 to 86-91% as salinity level increased from 0 to 50 g l(-1). These results revealed that the bacterial growth was more inhibited than substrate degradation by the BTX oxidizers under the tested salinity levels. The findings from this study demonstrate the potential of applying NaCl salt to control excessive biomass formation in biotrickling filters.

  8. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS2 thin films

    International Nuclear Information System (INIS)

    Berndt, P.R.; Botha, J.R.; Branch, M.S.; Leitch, A.W.R.; Kirmse, H.; Neumann, W.; Weber, J.

    2007-01-01

    In this study, various CuGaS 2 layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures

  9. Molecular beam epitaxial growth and characterization of GaSb layers on GaAs (0 0 1) substrates

    International Nuclear Information System (INIS)

    Li Yanbo; Zhang Yang; Zhang Yuwei; Wang Baoqiang; Zhu Zhanping; Zeng Yiping

    2012-01-01

    We report on the growth of GaSb layers on GaAs (0 0 1) substrates by molecular beam epitaxy (MBE). We investigate the influence of the GaAs substrate surface treatment, growth temperature, and V/III flux ratios on the crystal quality and the surface morphology of GaSb epilayers. Comparing to Ga-rich GaAs surface preparation, the Sb-rich GaAs surface preparation can promote the growth of higher-quality GaSb material. It is found that the crystal quality, electrical properties, and surface morphology of the GaSb epilayers are highly dependent on the growth temperature, and Sb/Ga flux ratios. Under the optimized growth conditions, we demonstrate the epitaxial growth of high quality GaSb layers on GaAs substrates. The p-type nature of the unintentionally doped GaSb is studied and from the growth conditions dependence of the hole concentrations of the GaSb, we deduce that the main native acceptor in the GaSb is the Ga antisite (Ga Sb ) defect.

  10. EVALUATION OF THE GROWTH OF SELECTED LACTOBACILLI IN PSEUDOCEREAL SUBSTRATE

    Directory of Open Access Journals (Sweden)

    Denisa Liptáková

    2011-12-01

    Full Text Available The growth dynamics of Lactobacillus spp. in sweet water- and milk-based substrates from cooked buckwheat and amaranth flour were studied in this work. The numbers of lactobacilli were observed during fermentation in 5% CO2 atmosphere at 37 °C and storage (3 weeks at 6 °C. The earned data and estimated growth parameters showed that certain strains grew well in the milk-based gruels, even water-based amaranth gruel. This was also the case of the species under study characterized with the fastest growth. Based on the rates, only the strains of Lactobacillus rhamnosus GG and VT1 were able to grow with the values higher than 0.6 log CFU.ml-1.h-1 that can be expressed as the times to double (td lower than 0.5 h. This was found in both the amaranth and buckwheat milk-based gruels and water-based amaranth gruels but fermented only by the probiotic GG strain. The 3-week storage tests aimed on survival of the lactobacilli at 6 °C showed minimal decrease of the counts in buckwheat gruels with the average rates of -0.084 and -0.004 log CFU.ml-1.d-1 in water- and milk-based gruels, respectively. On the other hand in amaranth gruels, the numbers of lactobacilli slightly increased with the rate of 0.02 log CFU.ml-1.d-1, on average. The results of this pilot study pointed out that the selection of suitable lactic acid bacteria should be performed for optimal fermentation of pseudo-cereal substrates. The numbers of lactobacilli at the end of fermentation were not or very slightly affected by the type of substrate at 6 °C during three weeks.doi:10.5219/169

  11. Effects of substrate misorientation and growth rate on ordering in GaInP

    Science.gov (United States)

    Su, L. C.; Ho, I. H.; Stringfellow, G. B.

    1994-05-01

    Epitaxial layers of GaxIn1-xP with x≊0.52 have been grown by organometallic vapor-phase epitaxy on GaAs substrates misoriented from the (001) plane in the [1¯10] direction by angles ϑm, of 0°, 3°, 6°, and 9°. For each substrate orientation growth rates rg of 1, 2, and 4 μm/h have been used. The ordering was characterized using transmission electron diffraction (TED), dark-field imaging, and photoluminescence. The (110) cross-sectional images show domains of the Cu-Pt structure separated by antiphase boundaries (APBs). The domain size and shape and the degree of order are found to be strongly affected by both the substrate misorientation and the growth rate. For example, lateral domain dimensions range from 50 Å for layers grown with rg=4 μm/h and ϑm=0° to 2500 Å for rg=1 μm/h and ϑm=9°. The APBs generally propagate from the substrate/epilayer interface to the top surface at an angle to the (001) plane that increases dramatically as the angle of misorientation increases. The angle is nearly independent of growth rate. From the superspot intensities in the TED patterns, the degree of order appears to be a maximum for ϑm≊5°. Judging from the reduction in photoluminescence peak energy caused by ordering, the maximum degree of order appears to occur at ϑm≊4°.

  12. A model framework to describe growth-linked biodegradation of trace-level pesticides in the presence of coincidental carbon substrates and microbes

    DEFF Research Database (Denmark)

    Liu, Li; Helbling, Damian E.; Kohler, Hans-Peter E.

    2014-01-01

    described were: the growth-linked biodegradation of micropollutant at environmentally relevant concentrations; the effect of coincidental assimilable organic carbon substrates; and the effect of coincidental microbes that compete for assimilable organic carbon substrates. We used Monod kinetic models...... to describe substrate utilization and microbial growth rates for specific pesticide and degrader pairs. We then extended the model to include terms for utilization of assimilable organic carbon substrates by the specific degrader and coincidental microbes, growth on assimilable organic carbon substrates......, challenges remain in developing engineered remediation strategies for pesticide-contaminated environments because the fundamental processes that regulate growth-linked biodegradation of pesticides in natural environments remain poorly understood. In this research, we developed a model framework to describe...

  13. Molecular Beam Epitaxial Growth of GaAs on (631) Oriented Substrates

    International Nuclear Information System (INIS)

    Cruz Hernandez, Esteban; Rojas Ramirez, Juan-Salvador; Contreras Hernandez, Rocio; Lopez Lopez, Maximo; Pulzara Mora, Alvaro; Mendez Garcia, Victor H.

    2007-01-01

    In this work, we report the study of the homoepitaxial growth of GaAs on (631) oriented substrates by molecular beam epitaxy (MBE). We observed the spontaneous formation of a high density of large scale features on the surface. The hilly like features are elongated towards the [-5, 9, 3] direction. We show the dependence of these structures with the growth conditions and we present the possibility of to create quantum wires structures on this surface

  14. Growth of bi- and tri-layered graphene on silicon carbide substrate via molecular dynamics simulation

    Energy Technology Data Exchange (ETDEWEB)

    Min, Tjun Kit; Yoon, Tiem Leong [School of Physics, Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Lim, Thong Leng [Faculty of Engineering and Technology, Multimedia University, Melaka Campus, 75450 Melaka (Malaysia)

    2015-04-24

    Molecular dynamics (MD) simulation with simulated annealing method is used to study the growth process of bi- and tri-layered graphene on a 6H-SiC (0001) substrate via molecular dynamics simulation. Tersoff-Albe-Erhart (TEA) potential is used to describe the inter-atomic interactions among the atoms in the system. The formation temperature, averaged carbon-carbon bond length, pair correlation function, binding energy and the distance between the graphene formed and the SiC substrate are quantified. The growth mechanism, graphitization of graphene on the SiC substrate and characteristics of the surface morphology of the graphene sheet obtained in our MD simulation compare well to that observed in epitaxially grown graphene experiments and other simulation works.

  15. Growth and yield of Dutch cucumber grown in a protected environment and with alternative organic substrates

    Directory of Open Access Journals (Sweden)

    Cinthya Meneses Fernández

    2018-05-01

    Full Text Available The replacement of imported substrates by local materials is a trend in severaltechnifiedproduction systems, given the cost reduction and the importance of agricultural by-products, formerly considered to be waste. The main objective of this work was to validate the effect of different substrates on the growth and productivity of Dutch cucumber plants under greenhouse conditions. The study took place from October 2012 to January 2013, at the Agricultural Experiment Station Fabio Baudrit, Alajuela, Costa Rica. Variety Fuerte was used and four mixed substrates (volume ratio made of local raw materials as coconut fiber (FC, oil palm leaf fiber (FP, organic compost (ABO, and sawdust (AS as well as a commercial treatment (control constituted by coco coir slabs were analyzed. Substrate water content, plant growth (vegetative phase, and yield were evaluated according to commercial size (S, M, L, XL, and rejected. The growth of Dutch cucumber plants, variety Fuerte, was higher on substrates with coconut fiber 40% + oil palm leaf fiber 40% + organic compost 20%, and coconut fiber 70% + organic compost 30%, while yield of the same two substrates was 15.57 and 15.44 kg/m2, respectively. Both treatments were statistically equal to the commercial coco coir substrate slabs with a yield of 14.77 kg/m2. Result attributed to the nutritional contribution of the organic compost (high K, Ca, and Mg along with and to the effects of aeration and water retention of fibers.

  16. Patterned growth of carbon nanotubes on Si substrates without predeposition of metal catalysts

    Science.gov (United States)

    Chen, Y.; Yu, J.

    2005-07-01

    Aligned carbon nanotubes (CNTs) can be readily synthesized on quartz or silicon-oxide-coated Si substrates using a chemical vapor deposition method, but it is difficult to grow them on pure Si substrates without predeposition of metal catalysts. We report that aligned CNTs were grown by pyrolysis of iron phthalocyanine at 1000°C on the templates created on Si substrates with simple mechanical scratching. Scanning electron microscopy and x-ray energy spectroscopy analysis revealed that the trenches and patterns created on the surface of Si substrates were preferred nucleation sites for nanotube growth due to a high surface energy, metastable surface structure, and possible capillarity effect. A two-step pyrolysis process maintained Fe as an active catalyst.

  17. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P S; Prawer, S; Nugent, K W; Bettiol, A A; Kostidis, L I; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  18. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    Energy Technology Data Exchange (ETDEWEB)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 {mu}m{sup 2}. After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs.

  19. Homo-epitaxial diamond film growth on ion implanted diamond substrates

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.; Nugent, K.W.; Bettiol, A.A.; Kostidis, L.I.; Jamieson, D.N.

    1996-01-01

    The nucleation of CVD diamond is a complicated process, governed by many interrelated parameters. In the present work we attempt to elucidate the effect of strain on the growth of a homo-epitaxial CVD diamond. We have employed laterally confined high dose (MeV) Helium ion implantation to produce surface swelling of the substrate. The strain is enhanced by the lateral confinement of the implanted region to squares of 100 x 100 μm 2 . After ion implantation, micro-Raman spectroscopy was employed to map the surface strain. The substrates were then inserted into a CVD reactor and a CVD diamond film was grown upon them. Since the strained regions were laterally confined, it was then possible to monitor the effect of strain on diamond nucleation. The substrates were also analysed using Rutherford Backscattering Spectroscopy (RBS), Proton induced X-ray Emission (PIXE) and Ion Beam induced Luminescence (IBIL). 7 refs., 5 figs

  20. Catalyst free growth of CNTs by CVD on nanoscale rough surfaces of silicon substrates

    Science.gov (United States)

    Damodar, D.; Sahoo, R. K.; Jacob, C.

    2013-06-01

    Catalyst free growth of carbon nanotubes (CNT) has been achieved using atmospheric pressure chemical vapor deposition (APCVD) on surface modified Si(111) substrates. The effect of the substrate surface has been observed by partially etching with KOH (potassium hydroxide) solution which is an anisotropic etchant. Scanning electron microscopy (SEM) confirmed the formation of CNTs over most of the area of the substrate where substrates were anisotropically etched. Transmission electron microscopy (TEM) was used to observe the internal structure of the CNTs. Raman spectroscopy further confirmed the formation of the carbon nanostructures and also their graphitic crystallinity.

  1. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  2. Multilayer graphene growth on polar dielectric substrates using chemical vapour deposition

    Science.gov (United States)

    Karamat, S.; Çelik, K.; Shah Zaman, S.; Oral, A.

    2018-06-01

    High quality of graphene is necessary for its applications at industrial scale production. The most convenient way is its direct growth on dielectrics which avoid the transfer route of graphene from metal to dielectric substrate usually followed by graphene community. The choice of a suitable dielectric for the gate material which can replace silicon dioxide (SiO2) is in high demand. Various properties like permittivity, thermodynamic stability, film morphology, interface quality, bandgap and band alignment of other dielectrics with graphene needs more exploration. A potential dielectric material is required which could be used to grow graphene with all these qualities. Direct growth of graphene on magnesium oxide (MgO) substrates is an interesting idea and will be a new addition in the library of 2D materials. The present work is about the direct growth of graphene on MgO substrates by an ambient pressure chemical vapour deposition (CVD) method. We address the surface instability issue of the polar oxides which is the most challenging factor in MgO. Atomic force microscopy (AFM) measurements showed the topographical features of the graphene coated on MgO. X-ray photoelectron spectroscopy (XPS) study is carried out to extract information regarding the presence of necessary elements, their bonding with substrates and to confirm the sp-2 hybridization of carbon, which is a characteristic feature of graphene film. The chemical shift is due to the surface reconstruction of MgO in the prepared samples. For graphene-MgO interface, valence band offset (VBO) and conduction band offset (CBO) extracted from valence band spectra reported. Further, we predicted the energy band diagram for single layer and thin film of graphene. By using the room-temperature energy band gap values of MgO and graphene, the CBO is calculated to be 6.85 eV for single layer and 5.66 eV for few layer (1-3) of graphene layers.

  3. Growth and sporulation of Trichoderma polysporum on organic substrates by addition of carbon and nitrogen sources

    International Nuclear Information System (INIS)

    Rajput, A.Q.; Shahzad, S.

    2015-01-01

    During the present study nine different organic substrates viz., rice grains, sorghum grains, wheat grains, millet grains, wheat straw, rice husk, cow dung, sawdust and poultry manure were used for mass multiplication of Trichoderma polysporum. Grains, especially sorghum grains were found to be the best substrate for T. polysporum. Wheat straw and rice husk were less suitable, whereas, cow dung, sawdust and poultry manure were not suitable for growth of the fungus. Sucrose at the rate of 30,000 ppm and ammonium nitrate at the rate of 3,000 ppm were found to be the best carbon and nitrogen sources for growth and sporulation of T. polysporum. Amendment of the selected C and N sources to wheat straw, rice husk and millet grains resulted in significantly higher growth and conidia production by T. polysporum as compared to un-amended substrates. Sorghum and rice grains showed suppression in growth and sporulation of T. polysporum when amended with C and N sources. During studies on shelf life, populations of T. polysporum attained the peck at 60-135 days intervals on different substrates and declined gradually thereafter. However, even after 330 days, the populations were greater than the population at 0-day. At 345-360 days interval, populations were less than the initial populations at 0- days. Shelf life on C+N amended wheat straw and rice husk were more as compared to un-amended substrates. (author)

  4. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Science.gov (United States)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F.

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerence of GaAs and that Ti can protected GaAs from erosion by NH3. By depositing Ti on GaAs(111)A surface, a millor-like GaN layer could be grown at 1000 °C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future.

  5. Modeling gravity effects on water retention and gas transport characteristics in plant growth substrates

    DEFF Research Database (Denmark)

    Deepagoda Thuduwe Kankanamge Kelum, Chamindu; Jones, Scott B.; Tuller, Markus

    2014-01-01

    utilization to conserve energy and to limit transport costs, native materials mined on Moon or Mars are of primary interest for plant growth media in a future outpost, while terrestrial porous substrates with optimal growth media characteristics will be useful for onboard plant growth during space missions....... Due to limited experimental opportunities and prohibitive costs, liquid and gas behavior in porous substrates under reduced gravity conditions has been less studied and hence remains poorly understood. Based on ground-based measurements, this study examined water retention, oxygen diffusivity and air...... that estimates the gas percolation threshold based on the pore size distribution. The model successfully captured measured data for all investigated media and demonstrated the implications of the poorly-understood shift in gas percolation threshold with improved gas percolation in reduced gravity. Finally, using...

  6. The effect of sulphur-terminated GaAs substrates on the MOVPE growth of CuGaS{sub 2} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Berndt, P.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)]. E-mail: pearl.berndt@nmmu.ac.za; Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Branch, M.S. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Leitch, A.W.R. [Department of Physics, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Kirmse, H. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Neumann, W. [Institute of Physics, Chair of Crystallography, Humboldt University of Berlin, Berlin (Germany); Weber, J. [Institute for Applied Physics-Semiconductor Physics, University of Technology, Dresden (Germany)

    2007-05-31

    In this study, various CuGaS{sub 2} layers were grown on GaAs (001) substrates using metalorganic vapour phase epitaxy, for the purpose of studying the effect of sulphur-termination of the substrate on layer quality. The resultant films were investigated using X-ray diffractometry, and transmission electron microscopy, with high-resolution transmission electron microscopy providing additional insights into crystallite growth on the control substrates. This paper will demonstrate that sulphur-termination limits substrate degradation. In the absence of sulphur-termination, atypical three-dimensional MOVPE growth is observed, with epitaxial crystallites varying in size from 10 nm to 200 nm. Substrate degradation inhibits lateral growth at the interface resulting in amorphous regions, cavities, and epitaxial crystallites demonstrating overgrowth into mushroom-like structures.

  7. Direct growth of nanocrystalline hexagonal boron nitride films on dielectric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Tay, Roland Yingjie [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Tsang, Siu Hon [Temasek Laboratories@NTU, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Loeblein, Manuela; Chow, Wai Leong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); CNRS-International NTU Thales Research Alliance CINTRA UMI 3288, Research Techno Plaza, 50 Nanyang Drive, Singapore, Singapore 637553 (Singapore); Loh, Guan Chee [Institue of High Performance Computing, 1 Fusionopolis Way, #16-16 Connexis, Singapore 138632 (Singapore); Department of Physics, Michigan Technological University, Houghton, Michigan 49931 (United States); Toh, Joo Wah; Ang, Soon Loong [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); Teo, Edwin Hang Tong, E-mail: htteo@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore); School of Materials Science and Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore, Singapore 639798 (Singapore)

    2015-03-09

    Atomically thin hexagonal-boron nitride (h-BN) films are primarily synthesized through chemical vapor deposition (CVD) on various catalytic transition metal substrates. In this work, a single-step metal-catalyst-free approach to obtain few- to multi-layer nanocrystalline h-BN (NCBN) directly on amorphous SiO{sub 2}/Si and quartz substrates is demonstrated. The as-grown thin films are continuous and smooth with no observable pinholes or wrinkles across the entire deposited substrate as inspected using optical and atomic force microscopy. The starting layers of NCBN orient itself parallel to the substrate, initiating the growth of the textured thin film. Formation of NCBN is due to the random and uncontrolled nucleation of h-BN on the dielectric substrate surface with no epitaxial relation, unlike on metal surfaces. The crystallite size is ∼25 nm as determined by Raman spectroscopy. Transmission electron microscopy shows that the NCBN formed sheets of multi-stacked layers with controllable thickness from ∼2 to 25 nm. The absence of transfer process in this technique avoids any additional degradation, such as wrinkles, tears or folding and residues on the film which are detrimental to device performance. This work provides a wider perspective of CVD-grown h-BN and presents a viable route towards large-scale manufacturing of h-BN substrates and for coating applications.

  8. Tolerance of GaAs as an original substrate for HVPE growth of free standing GaN

    Energy Technology Data Exchange (ETDEWEB)

    Suzuki, Mio; Sato, T.; Suemasu, T.; Hasegawa, F. [University of Tsukuba, Institute of Applied Physics, Tsukuba, Ibaraki 305-8573 (Japan)

    2004-09-01

    In order to investigate possibility of thick GaN growth on a GaAs substrate by halide vapar phase epitaxy (HVPE), GaN was grown on GaAs(111)/Ti wafer with Ti deposited by E-gun. It was found that surface treatment of the GaAs substrate by HF solution deteriorated greatly the tolerance of GaAs and that Ti can protected GaAs from erosion by NH{sub 3}. By depositing Ti on GaAs(111)A surface, a mirror-like GaN layer could be grown at 1000 C for 1 hour without serious deterioration of the original GaAs substrate. By increasing the growth rate, a thick free standing GaN will be obtained with GaAs as an original substrate in near future. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Nanoscale imaging of the growth and division of bacterial cells on planar substrates with the atomic force microscope

    Energy Technology Data Exchange (ETDEWEB)

    Van Der Hofstadt, M. [Institut de Bioenginyeria de Catalunya (IBEC), C/ Baldiri i Reixac 11-15, 08028 Barcelona (Spain); Hüttener, M.; Juárez, A. [Institut de Bioenginyeria de Catalunya (IBEC), C/ Baldiri i Reixac 11-15, 08028 Barcelona (Spain); Departament de Microbiologia, Universitat de Barcelona, Avinguda Diagonal 645, 08028 Barcelona (Spain); Gomila, G., E-mail: ggomila@ibecbarcelona.eu [Institut de Bioenginyeria de Catalunya (IBEC), C/ Baldiri i Reixac 11-15, 08028 Barcelona (Spain); Departament d' Electronica, Universitat de Barcelona, C/ Marti i Franqués 1, 08028 Barcelona (Spain)

    2015-07-15

    With the use of the atomic force microscope (AFM), the Nanomicrobiology field has advanced drastically. Due to the complexity of imaging living bacterial processes in their natural growing environments, improvements have come to a standstill. Here we show the in situ nanoscale imaging of the growth and division of single bacterial cells on planar substrates with the atomic force microscope. To achieve this, we minimized the lateral shear forces responsible for the detachment of weakly adsorbed bacteria on planar substrates with the use of the so called dynamic jumping mode with very soft cantilever probes. With this approach, gentle imaging conditions can be maintained for long periods of time, enabling the continuous imaging of the bacterial cell growth and division, even on planar substrates. Present results offer the possibility to observe living processes of untrapped bacteria weakly attached to planar substrates. - Highlights: • Gelatine coatings used to weakly attach bacterial cells onto planar substrates. • Use of the dynamic jumping mode as a non-perturbing bacterial imaging mode. • Nanoscale resolution imaging of unperturbed single living bacterial cells. • Growth and division of single bacteria cells on planar substrates observed.

  10. Direct Growth of High-Quality InP Layers on GaAs Substrates by MOCVD

    Directory of Open Access Journals (Sweden)

    K. F. Yarn

    2003-01-01

    group V partial pressure, growth rate and V/III ratios. A mirror-like, uniform surface and high crystal quality of the metamorphic buffer layer directly grown on a GaAs substrate can be achieved. Finally, to investigate the performance of the metamorphic microwave devices, we also fabricate the InAlAs/InGaAs metamorphic HEMT on GaAs substrates.

  11. Study of carbide-forming element interlayers for diamond nucleation and growth on silicon and WC-Co substrates

    International Nuclear Information System (INIS)

    Tang, Y.; Li, Y.S.; Yang, Q.; Hirose, A.

    2010-01-01

    Diamond nucleation and growth on several typical carbide-forming elements (CFE) (Ti, Cr and W) coated Si and WC-Co substrates were studied. The ion beam sputtered CFE interlayers show an amorphous/nanocrystalline microstructure. The diamond formed on the CFE coated substrates shows higher nucleation density and rate and finer grain structure than on uncoated substrates. Consequently, nanocrystalline diamond thin films can be formed on the CFE coated substrates under conventional microcrystalline diamond growth conditions. Among the three tested CFE interlayers, diamond has the highest nucleation density and rate on W layer and the lowest on Ti layer. The diamond nucleation density and rate on CFE coated WC-Co are much higher than those on widely used metal nitride coated WC-Co.

  12. Effects of substrate type on growth and mortality of blue mussels ( Mytilus edulis ) exposed to the predator Carcinus maenas

    DEFF Research Database (Denmark)

    Frandsen, Rikke; Dolmer, Per

    2002-01-01

    Structure and complexity of the substrate are important habitat characteristics for benthic epifauna. The specific growth and mortality rates and inducible defence characters on medium- sized blue mussels (Mytilus edulis L.) exposed to shore crabs (Carcinus maenas L.) were examined on three...... different substrate types in combined field and laboratory experiments. The experiments showed that complexity of the substrate increased blue mussel survival significantly, through a decrease in predation pressure. However, increased intraspecific competition for food on the complex substrate resulted...... in significantly lower growth rates of the mussels. Inducible defence characters were also influenced by substrate type. Blue mussels were more affected by predators on the structurally simple substrate, where they developed thicker shells and a larger posterior adductor muscle....

  13. Dual inoculation with an Aarbuscular Mycorrhizal fungus and Rhizobium to facilitate the growth of alfalfa on coal mine substrates

    Energy Technology Data Exchange (ETDEWEB)

    Wu, F.Y.; Bi, Y.L.; Wong, M.H. [China University of Mining & Technology, Beijing (China)

    2009-07-01

    A pot experiment was conducted to investigate the effects of Glomus mosseae and Rhizobium on Medicago sativa grown on three types of coal mine substrates, namely a mixture of coal wastes and sands (CS), coal wastes and fly ash (CF), and fly ash (FA). Inoculation with Rhizobium alone did not result in any growth response but G. mosseae alone displayed a significant effect on plant growth. G. mosseae markedly increased the survival rate of M. sativa in CS substrate. In CF and FA substrates the respective oven dry weights of M. sativa inoculated with G. mosseae were 1.8 and 5.1 times higher than those without inoculation. Based on nitrogen (N), phosphorus (P) and potassium (K) uptake and legume growth, the results also show that dual inoculation in CS and CF substrates elicited a synergistic effect. This indicates that inoculation with arbuscular mycorrhizal (AM) fungi may be a promising approach for revegetation of coal mine substrates.

  14. Efficient production of l-lactic acid by an engineered Thermoanaerobacterium aotearoense with broad substrate specificity

    Science.gov (United States)

    2013-01-01

    Background Efficient conversion of lignocellulosic biomass to optically pure lactic acid is a key challenge for the economical production of biodegradable poly-lactic acid. A recently isolated strain, Thermoanaerobacterium aotearoense SCUT27, is promising as an efficient lactic acid production bacterium from biomass due to its broad substrate specificity. Additionally, its strictly anaerobic and thermophilic characteristics suppress contamination from other microoragnisms. Herein, we report the significant improvements of concentration and yield in lactic acid production from various lignocellulosic derived sugars, achieved by the carbon flux redirection through homologous recombination in T. aotearoense SCUT27. Results T. aotearoense SCUT27 was engineered to block the acetic acid formation pathway to improve the lactic acid production. The genetic manipulation resulted in 1.8 and 2.1 fold increase of the lactic acid yield using 10 g/L of glucose or 10 g/L of xylose as substrate, respectively. The maximum l-lactic acid yield of 0.93 g/g glucose with an optical purity of 99.3% was obtained by the engineered strain, designated as LA1002, from 50 g/L of substrate, which is very close to the theoretical value (1.0 g/g of glucose). In particular, LA1002 produced lactic acid at an unprecedented concentration up to 3.20 g/L using 10 g/L xylan as the single substrate without any pretreatment after 48 h fermentation. The non-sterilized fermentative production of l-lactic acid was also carried out, achieving values of 44.89 g/L and 0.89 g/g mixed sugar for lactic acid concentration and yield, respectively. Conclusions Blocking acetic acid formation pathway in T. aotearoense SCUT27 increased l-lactic acid production and yield dramatically. To our best knowledge, this is the best performance of fermentation on lactic acid production using xylan as the sole carbon source, considering the final concentration, yield and fermentation time. In addition, it should be

  15. Substrate in the emergence and initial growth of seedlings of Caesalpinia pulcherrima

    Directory of Open Access Journals (Sweden)

    Magnólia Martins Alves

    Full Text Available ABSTRACT: Caesalpinia pulcherrima is an exotic species belongs to the Fabaceae family commonly known as flamboyant-mirim, and widely used for urban forestry. This study aimed to evaluate the effect of different substrates on the emergence and early seedlings growth of C. pulcherrima . The experiment was conducted in a greenhouse belonging to the Centro de Ciências Agrárias, Universidade Federal da Paraíba. The experimental design was completely randomized and treatments had 14 substrates: sand, vegetable soil, vermiculite, wood dust, carbonized rice straw, vegetable soil + sand 1:1, sand + wood dust 1:1, sand + carbonized rice straw 1:1, earth + wood dust 1:1, vegetable soil + carbonized rice straw 1:1, vermiculite + sand 1:1, vermiculite + wood dust 1:1, vermiculite + earth 1:1 and vermiculite + carbonized rice straw 1:1. Evaluation of the effect of the treatments was through the following determinations: percentage of emergency, first count, index of germination speed, length and dry weight of roots and shoots. The vermiculite, vegetable soil + sand 1:1, vermiculite + sand 1:1, vermiculite + saw dust 1:1, are suitable for emergence and early growth of seedlings of Caesalpinia pulcherrima . Substrates saw dust and carbonized rice straw were responsible for the worst performers on emergence and seedling development.

  16. Poinsettia Growth and Development Response to Container Root Substrate with Biochar

    Directory of Open Access Journals (Sweden)

    Yanjun Guo

    2018-01-01

    Full Text Available A greenhouse study was conducted to evaluate the growth and development of poinsettia ‘Prestige Red’ (Euphorbia pulcherrima grown in a commercial peat-based potting mix (Sunshine Mix #1 amended with biochar at 0%, 20%, 40%, 60%, 80%, or 100% (by volume at four different fertigation regimes: F1: 100 to 200 mg·L−1 nitrogen (N, F2: 200 to 300 mg·L−1 N (control, F3: 300 to 400 mg·L−1 N, or F4: 400 to 500 mg·L−1 N. The experiment was a two-factor factorial design with 10 replications for each combination of biochar by fertigation. As the percentage of biochar increased, root substrate pore space and bulk density increased, while container capacity decreased. Root rot and red bract necrosis only occurred in F4 combined with 100% biochar. Plants grown in 40% biochar had a similar growth and development to those in 0% biochar. Up to 80% biochar, plants exhibited no significant change, except in terms of dry weight, which decreased at higher biochar percentages (60% and 80%. In summary, at a fertigation rate of 100 mg·L−1 N to 400 mg·L−1 N, up to 80% biochar could be used as an amendment to peat-based root substrate with acceptable growth reduction and no changes in quality.

  17. A novel, substrate independent three-step process for the growth of uniform ZnO nanorod arrays

    International Nuclear Information System (INIS)

    Byrne, D.; McGlynn, E.; Henry, M.O.; Kumar, K.; Hughes, G.

    2010-01-01

    We report a three-step deposition process for uniform arrays of ZnO nanorods, involving chemical bath deposition of aligned seed layers followed by nanorod nucleation sites and subsequent vapour phase transport growth of nanorods. This combines chemical bath deposition techniques, which enable substrate independent seeding and nucleation site generation with vapour phase transport growth of high crystalline and optical quality ZnO nanorod arrays. Our data indicate that the three-step process produces uniform nanorod arrays with narrow and rather monodisperse rod diameters (∼ 70 nm) across substrates of centimetre dimensions. X-ray photoelectron spectroscopy, scanning electron microscopy and X-ray diffraction were used to study the growth mechanism and characterise the nanostructures.

  18. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  19. Growth and characterization of semi-polar (11-22) GaN on patterned (113) Si substrates

    International Nuclear Information System (INIS)

    Bai, J; Yu, X; Gong, Y; Hou, Y N; Zhang, Y; Wang, T

    2015-01-01

    Patterned (113) Si substrates have been fabricated for the growth of (11-22) semi-polar GaN, which completely eliminates one of the great issues in the growth of semi-polar GaN on silicon substrates, ‘Ga melting-back’. Furthermore, unlike any other mask patterning approaches which normally lead to parallel grooves along a particular orientation, our approach is to form periodic square window patterns. As a result, crack-free semi-polar (11-22) GaN with a significant improvement in crystal quality has been achieved, in particular, basal stacking faults (BSFs) have been significantly reduced. The mechanism for the defect suppression has been investigated based on detailed transmission electron microscopy measurements. It has been found that the BSFs can be impeded effectively at an early growth stage due to the priority growth along the 〈0001〉 direction. The additional 〈1-100〉 lateral growth above the masks results in a further reduction in dislocation density. The significant reduction in BSFs has been confirmed by low temperature photoluminescence measurements. (paper)

  20. Biochar increases plant growth and alters microbial communities via regulating the moisture and temperature of green roof substrates.

    Science.gov (United States)

    Chen, Haoming; Ma, Jinyi; Wei, Jiaxing; Gong, Xin; Yu, Xichen; Guo, Hui; Zhao, Yanwen

    2018-09-01

    Green roofs have increasingly been designed and applied to relieve environmental problems, such as water loss, air pollution as well as heat island effect. Substrate and vegetation are important components of green roofs providing ecosystem services and benefiting the urban development. Biochar made from sewage sludge could be potentially used as the substrate amendment for green roofs, however, the effects of biochar on substrate quality and plant performance in green roofs are still unclear. We evaluated the effects of adding sludge biochar (0, 5, 10, 15 and 20%, v/v) to natural soil planted with three types of plant species (ryegrass, Sedum lineare and cucumber) on soil properties, plant growth and microbial communities in both green roof and ground ecosystems. Our results showed that sludge biochar addition significantly increased substrate moisture, adjusted substrate temperature, altered microbial community structure and increased plant growth. The application rate of 10-15% sludge biochar on the green roof exerted the most significant effects on both microbial and plant biomass by 63.9-89.6% and 54.0-54.2% respectively. Path analysis showed that biochar addition had a strong effect on microbial biomass via changing the soil air-filled porosity, soil moisture and temperature, and promoted plant growth through the positive effects on microbial biomass. These results suggest that the applications of biochar at an appropriate rate can significantly alter plant growth and microbial community structure, and increase the ecological benefits of green roofs via exerting effects on the moisture, temperature and nutrients of roof substrates. Copyright © 2018 Elsevier B.V. All rights reserved.

  1. Halo(natronoarchaea isolated from hypersaline lakes utilize cellulose and chitin as growth substrates

    Directory of Open Access Journals (Sweden)

    Dimitry Y Sorokin

    2015-09-01

    Full Text Available Until recently, extremely halophilic euryarchaeota were considered mostly as aerobic heterotrophs utilizing simple organic compounds as growth substrates. Almost nothing is known on the ability of these prokaryotes to utilize complex polysaccharides as cellulose, xylan and chitin. Although few haloarchaeal cellulases and chitinases were recently characterized, the analysis of currently available haloarchaeal genomes deciphered numerous genes encoding glycosidases (GHs of various families including endoglucanases and chitinases. However, all these haloarchaea were isolated and cultivated on simple substrates and their ability to grow on polysaccharides in situ or in vitro is unknown. This study examines several halo(natronoarchaeal strains from geographically distant hypersaline lakes for the ability to grow on insoluble polymers as a sole growth substrate in salt-saturated mineral media. Some of them belonged to known taxa, while other represented novel phylogenetic lineages within the class Halobacteria. All isolates produced extracellular extremely salt tolerant cellulases or chitinases, either cell-free or cell-bound. Obtained results demonstrate a presence of diverse population of haloarchaeal cellulo/chitinotrophs in hypersaline habitats indicating that euryarchaea participate in aerobic mineralization of recalcitrant organic polymers in salt-saturated environments.

  2. Substrate engineering for Ni-assisted growth of carbon nano-tubes

    Energy Technology Data Exchange (ETDEWEB)

    Kolahdouz, Z.; Kolahdouz, M. [Department of Electrical and Computer Engineering, Nano-electronic Laboratory, University of Tehran, Tehran (Iran, Islamic Republic of); Ghanbari, H. [Tarbiat Modarres University, Tehran (Iran, Islamic Republic of); Mohajerzadeh, S. [Department of Electrical and Computer Engineering, Nano-electronic Laboratory, University of Tehran, Tehran (Iran, Islamic Republic of); Naureen, S. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Kista (Sweden); Radamson, H.H., E-mail: rad@kth.se [School of Information and Communication Technology, KTH (Royal Institute of Technology) Kista (Sweden)

    2012-10-01

    The growth of carbon multi-walled nano-tubes (MWCNTs) using metal catalyst (e.g. Ni, Co, and Fe) has been extensively investigated during the last decade. In general, the physical properties of CNTs depend on the type, quality and diameter of the tubes. One of the parameters which affects the diameter of a MWCNT is the size of the catalyst metal islands. Considering Ni as the metal catalyst, the formed silicide layer agglomerates (island formation) after a thermal treatment. One way to decrease the size of Ni islands is to apply SiGe as the base for the growth. In this study, different methods based on substrate engineering are proposed to change/control the MWCNT diameters. These include (i) well-controlled oxide openings containing Ni to miniaturize the metal island size, and (ii) growth on strained or partially relaxed SiGe layers for smaller Ni silicide islands.

  3. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  4. Growth of ZnO nanowire arrays directly onto Si via substrate topographical adjustments using both wet chemical and dry etching methods

    Energy Technology Data Exchange (ETDEWEB)

    Smith, Nathan A., E-mail: 523615@swansea.ac.uk [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom); Evans, Jon E.; Jones, Daniel R. [Multidisciplinary Nanotechnology Centre, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Lord, Alex M. [Centre for Nanohealth, College of Engineering, University of Swansea, Singleton Park, SA2 8PP United Kingdom (United Kingdom); Wilks, S.P. [Centre for Nanohealth, Department of Physics, College of Science, University of Swansea, Singleton Park SA2 8PP United Kingdom (United Kingdom)

    2015-03-15

    Highlights: • Arrays of catalyst-free ZnO NWs have been grown by CVD without seed layers on Si. • Si surface topography was altered by substrate etching, resulting in NW growth. • XPS analysis shows growth is related to topography and not surface contamination. • Using e-beam lithography with etching, selective nanowire growth is demonstrated. • Electrical measurements on the arrays show improved conduction through the Si. - Abstract: Arrays of CVD catalyst-free ZnO nanowires have been successfully grown without the use of seed layers, using both wet chemical and dry plasma etching methods to alter surface topography. XPS analysis indicates that the NW growth cannot be attributed to a substrate surface chemistry and is therefore directly related to the substrate topography. These nanowires demonstrate structural and optical properties typical of CVD ZnO nanowires. Moreover, the NW arrays exhibit a degree of vertical alignment of less than 20° from the substrate normal. Electrical measurements suggest an improved conduction path through the substrate over seed layer grown nanowires. Furthermore, the etching technique was combined with e-beam lithography to produce high resolution selective area nanowire growth. The ability to pattern uniform nanowires using mature dry etch technology coupled with the increased charge transport through the substrate demonstrates the potential of this technique in the vertical integration of nanowire arrays.

  5. Heteroepitaxial Growth of Ferromagnetic MnSb(0001) Films on Ge/Si(111) Virtual Substrates.

    Science.gov (United States)

    Burrows, Christopher W; Dobbie, Andrew; Myronov, Maksym; Hase, Thomas P A; Wilkins, Stuart B; Walker, Marc; Mudd, James J; Maskery, Ian; Lees, Martin R; McConville, Christopher F; Leadley, David R; Bell, Gavin R

    2013-11-06

    Molecular beam epitaxial growth of ferromagnetic MnSb(0001) has been achieved on high quality, fully relaxed Ge(111)/Si(111) virtual substrates grown by reduced pressure chemical vapor deposition. The epilayers were characterized using reflection high energy electron diffraction, synchrotron hard X-ray diffraction, X-ray photoemission spectroscopy, and magnetometry. The surface reconstructions, magnetic properties, crystalline quality, and strain relaxation behavior of the MnSb films are similar to those of MnSb grown on GaAs(111). In contrast to GaAs substrates, segregation of substrate atoms through the MnSb film does not occur, and alternative polymorphs of MnSb are absent.

  6. Three-dimensionally structured silicon as a substrate for the MOVPE growth of GaN nanoLEDs

    Energy Technology Data Exchange (ETDEWEB)

    Fuendling, Soenke; Li, Shunfeng; Soekmen, Uensal; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig, Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2009-06-15

    Three-dimensionally patterned Si(111) substrates are used to grow GaN based heterostructures by metalorganic vapour phase epitaxy, with the goal of fabricating well controlled, defect reduced GaN-based nanoLEDs. In contrast to other approaches to achieve GaN nanorods, we employed silicon substrates with deep etched nanopillars to control the GaN nanorods growth by varying the size and distance of the Si pillars. The small footprint of GaN nanorods grown on Si pillars minimise the influence of the lattice mismatched substrate and improve the material quality. For the Si pillars an inductively coupled plasma dry-etching process at cryogenic temperature has been developed. An InGaN/GaN multi quantum well (MQW) structure has been incorporated into the GaN nanorods. We found GaN nanostructures grown on top of the silicon pillars with a pyramidal shape. This shape results from a competitive growth on different facets as well as from surface diffusion of the growth species. Spatially resolved optical properties of the structures are analysed by cathodoluminescence. Strongly spatial-dependent MQW emission spectra indicate the growth rate differences on top of the rods. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Thermal oxidation of seeds for the hydrothermal growth of WO3 nanorods on ITO glass substrate

    International Nuclear Information System (INIS)

    Ng, Chai Yan; Abdul Razak, Khairunisak; Lockman, Zainovia

    2015-01-01

    This work reports a simple seed formation method for the hydrothermal growth of tungsten oxide (WO 3 ) nanorods. A WO 3 seed layer was prepared by thermal oxidation, where a W-sputtered substrate was heated and oxidized in a furnace. Oxidation temperatures and periods were varied at 400–550 °C and 5–60 min, respectively, to determine an appropriate seed layer for nanorod growth. Thermal oxidation at 500 °C for 15 min was found to produce a seed layer with sufficient crystallinity and good adhesion to the substrate. These properties prevented the seed from peeling off during the hydrothermal process, thereby allowing nanorod growth on the seed. The nanorod film showed better electrochromic behavior (higher current density of − 1.11 and + 0.65 mA cm −2 ) than compact film (lower current density of − 0.54 and + 0.28 mA cm −2 ). - Highlights: • A simple seed formation method (thermal oxidation) on sputtered W film is reported. • Crystalline seed with good adhesion to substrate is required for nanorod growth. • The appropriate temperature and period for seed formation were 500 °C and 15 min. • WO 3 nanorods exhibited higher electrochromic current density than WO 3 compact film.

  8. Epitaxial growth of manganese oxide films on MgAl2O4 (001) substrates and the possible mechanism

    Science.gov (United States)

    Ren, Lizhu; Wu, Shuxiang; Zhou, Wenqi; Li, Shuwei

    2014-03-01

    Three types of manganese oxide films were grown on MgAl2O4 (001) substrates by plasma-assisted molecular beam epitaxy (PA-MBE) under different growth rates and substrate temperatures. The structural characteristics and chemical compositions of the films were investigated by using in-situ reflection high-energy electron diffraction (RHEED), ex-situ X-ray diffraction, Raman, and X-ray photoelectron spectra (XPS). At a lower substrate temperature (730 K), the epitaxial film tends to form mixed phases with a coexistence of Mn3O4 and Mn5O8 in order to relieve the mismatch-strain. However, at a higher substrate temperature (750 K), all of the films crystallize into Mn3O4; the critical thickness of the film grown under a lower growth rate (7 Å/min) is much larger than that under a high growth rate (10 Å/min). When the film reaches a certain critical thickness, the surface will become fairly rough, and another oriented phase Mn3O4 would crystallize on such a surface.

  9. Solid-support substrates for plant growth at a lunar base

    Science.gov (United States)

    Ming, D. W.; Galindo, C.; Henninger, D. L.

    1990-01-01

    Zeoponics is only in its developmental stages at the Johnson Space Center and is defined as the cultivation of plants in zeolite substrates that contain several essential plant growth cations on their exchange sites, and have minor amounts of mineral phases and/or anion-exchange resins that supply essential plant growth anions. Zeolites are hydrated aluminosilicates of alkali and alkaline earth cations with the ability to exchange most of their constituent exchange cations as well as hydrate/dehydrate without change to their structural framework. Because zeolites have extremely high cation exchange capabilities, they are very attractive media for plant growth. It is possible to partially or fully saturate plant-essential cations on zeolites. Zeoponic systems will probably have their greatest applications at planetary bases (e.g., lunar bases). Lunar raw materials will have to be located that are suited for the synthesis of zeolites and other exchange resings. Lunar 'soil' simulants have been or are being prepared for zeolite/smectite synthesis and 'soil' dissolution studies.

  10. Rayleigh-Taylor instability under curved substrates: An optimal transient growth analysis

    Science.gov (United States)

    Balestra, Gioele; Brun, P.-T.; Gallaire, François

    2016-12-01

    We investigate the stability of thin viscous films coated on the inside of a horizontal cylindrical substrate. In such a case, gravity acts both as a stabilizing force through the progressive drainage of the film and as a destabilizing force prone to form droplets via the Rayleigh-Taylor instability. The drainage solution, derived from lubrication equations, is found asymptotically stable with respect to infinitesimally small perturbations, although in reality, droplets often form. To resolve this paradox, we perform an optimal transient growth analysis for the first-order perturbations of the liquid's interface, generalizing the results of Trinh et al. [Phys. Fluids 26, 051704 (2014), 10.1063/1.4876476]. We find that the system displays a linear transient growth potential that gives rise to two different scenarios depending on the value of the Bond number (prescribing the relative importance of gravity and surface tension forces). At low Bond numbers, the optimal perturbation of the interface does not generate droplets. In contrast, for higher Bond numbers, perturbations on the upper hemicircle yield gains large enough to potentially form droplets. The gain increases exponentially with the Bond number. In particular, depending on the amplitude of the initial perturbation, we find a critical Bond number above which the short-time linear growth is sufficient to trigger the nonlinear effects required to form dripping droplets. We conclude that the transition to droplets detaching from the substrate is noise and perturbation dependent.

  11. Catalytic growth of carbon nanowires on composite diamond/silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sellam, Amine [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Miska, Patrice [Université de Lorraine, Institut Jean Lamour, Département P2M (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Ghanbaja, Jaafar [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France); Barrat, Silvère, E-mail: Silvere.Barrat@ijl.nancy-universite.fr [Université de Lorraine, Institut Jean Lamour, Département CP2S (UMR CNRS 7198), Parc de Saurupt, F-54042 Nancy Cedex (France)

    2014-01-01

    Polycrystalline diamond (PCD) films and carbon nanowires (CNWs) provide individually highly attractive properties for science and technology applications. The possibility of carbon composite materials made from a combination of these materials remains a potential approach widely discussed in literature but modestly investigated. We report in this work an early attempt to explore this opportunity in the light of some specific experimental considerations. Carbon nanowires (CNWs) are grown at low temperature without the conventional use of external hydrocarbon vapor source on silicon substrates partially covered by a thin film of coalesced micrometric CVD diamond. Composite substrates constituted by PCD on silicon were first cleaned with H{sub 2} plasma then used for the PVD deposition of 5 nm Ni thin films. Then, samples were heat treated in a CVD reactor at 580 °C in the presence of pure H{sub 2} pressure of 60 hPa at different annealing times. Comparative effect of annealing time on the dewetting of Ni thin films and the subsequent CNWs growth process was considered in this work using systematic observations by SEM. Possible mechanisms underlying CNWs growth in pure H{sub 2} gas were proposed. The nature and structure of these CNWs have been investigated by TEM microscopy and by Raman spectroscopy on the sample showing the highest CNWs density.

  12. Impact of the silicon substrate resistivity and growth condition on the deep levels in Ni-Au/AlN/Si MIS Capacitors

    Science.gov (United States)

    Wang, Chong; Simoen, Eddy; Zhao, Ming; Li, Wei

    2017-10-01

    Deep levels formed under different growth conditions of a 200 nm AlN buffer layer on B-doped Czochralski Si(111) substrates with different resistivity were investigated by deep-level transient spectroscopy (DLTS) on metal-insulator-semiconductor capacitors. Growth-temperature-dependent Al diffusion in the Si substrate was derived from the free carrier density obtained by capacitance-voltage measurement on samples grown on p- substrates. The DLTS spectra revealed a high concentration of point and extended defects in the p- and p+ silicon substrates, respectively. This indicated a difference in the electrically active defects in the silicon substrate close to the AlN/Si interface, depending on the B doping concentration.

  13. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  14. EFFECT OF PLANT EXTRACTS AND GROWTH SUBSTRATES ON CONTROLLING DAMPING-OFF IN PINUS TECUNUMANII SEEDLINGS

    Directory of Open Access Journals (Sweden)

    Maria Alejandra Fajardo-Mejía

    2016-09-01

    Full Text Available Damping-off is considered one of the most limiting phytosanitary problems in conifer seedling production because it may cause massive damage or total plant death in short time periods. This pathology is caused by a complex of microorganisms, the most common of which are Fusarium spp. and Rhizoctonia spp. This study evaluated the effect of growth substrates and plant extracts at different concentrations on germination and incidence of disease in Pinus tecunumanii plants. The plants were inoculated with the damping-off pathogen Fusarium oxysporum and treatments were applied in a completely randomized design with a factorial arrangement of 4x2x3. This corresponded to four substrates (pine bark, rice hull, coconut husk and sandy soil (4:1; two plant extracts (Matricaria chamomilla and Datura stramonium, andthree concentrations of each extract (Control concentration: 0%, Concentration 1: 50 % and Concentration 2: Undiluted. Each treatment had three repetitions, with 25 plants per repetition. The growth substrates affected germination; the most effective of these were sandy soil (4:1 and pine bark, with 90% and 92% germination at day 20, respectively. No significant difference was observed between the germination obtained with these substrates and that obtained with coconut husk after day 19. Meanwhile, all of the extracts had a significant effect on controlling the disease when they were combined with the substrates, with the exception of coconut husk. With this last substrate the incidence of disease was lower than 4% without the application of plant extracts; this indicates that coconut husk discourages the development of the disease on its own.

  15. Plasma assisted growth of MoO{sub 3} films on different substrate locations relative to sublimation source

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Rabindar K., E-mail: rkrksharma6@gmail.com; Saini, Sujit K.; Kumar, Prabhat; Singh, Megha; Reddy, G. B. [Thin film laboratory, Department of Physics, Indian Institute of Technology Delhi, New Delhi – 110016 (India)

    2016-05-06

    In the present paper, we reported the role of substrate locations relative to source on the growth of MoO{sub 3} films deposited on Ni coated glass substrates using plasma assisted sublimation process (PASP). According to the XRD and SEM results, substrate location is very crucial factor to control the morphology of MoO{sub 3} films and the best nanostructure growth (in terms of alignments and features) is obtained in case of Sample B (in which substrate is placed on source). The structural results point out that all films exhibit only orthorhombic phase of molybdenum oxide (i.e. α-MoO{sub 3})but the most preferential growth is recorded in Sample B due to the presence of intense peaks crossponding to only (0 k 0) family of crystal planes (k = 2, 4,6..). The Raman analysis again confirms the orthorhombic nature of MoO{sub 3} NFs and details of vibrational bondsin Sample B have been given in the present report. The MoO{sub 3} NFs show intense PL emission in wavelength range of 300-700 nm with three peaks located at 415, 490, and 523 nm in accordance to the improved crystallinity in Sample B.

  16. Effects of Precursor-Substrate Distances on the Growth of GaN Nanowires

    Directory of Open Access Journals (Sweden)

    Hongbin Cheng

    2015-01-01

    Full Text Available GaN nanowires were synthesized through the Ni-catalyzed chemical vapor deposition (CVD method using Ga2O3/GaN mixtures as gallium sources, and precursor-substrate distances were investigated as the important factor for the growth of GaN nanowires. The microstructure, composition, and photoluminescence property were characterized by X-ray diffraction, field emission scanning electron microscopy, high-resolution transmission electron microscopy, and photoluminescence spectra. The results showed that single crystalline GaN nanowires with the diameter of about 90 nm and the length up to tens of micrometers had been grown thickly across Si (100 substrates with uniform density. Moreover, the variations of the GaN nanowire morphology, density, and size were largely attributed to substrate positions which would influence Ga precursor density in the carrier gas, the saturation degree of gaseous reactants, and the catalyst activity, respectively, in the fabrication of GaN nanowires by the vapour liquid solid mechanism.

  17. Diamond film growth with modification properties of adhesion between substrate and diamond film

    Directory of Open Access Journals (Sweden)

    Setasuwon P.

    2004-03-01

    Full Text Available Diamond film growth was studied using chemical vapor deposition (CVD. A special equipment was build in-house, employing a welding torch, and substrate holder with a water-cooling system. Acetylene and oxygen were used as combustion gases and the substrate was tungsten carbide cobalt. It was found that surface treatments, such as diamond powder scratching or acid etching, increase the adhesion and prevent the film peel-off. Diamond powder scratching and combined diamond powder scratching with acid etching gave the similar diamond film structure with small grain and slightly rough surface. The diamond film obtained with both treatments has high adhesion and can withstand internal stress better than ones obtained by untreated surface or acid etching alone. It was also found that higher substrate temperature produced smoother surface and more uniform diamond grain.

  18. Thermal oxidation of seeds for the hydrothermal growth of WO{sub 3} nanorods on ITO glass substrate

    Energy Technology Data Exchange (ETDEWEB)

    Ng, Chai Yan [School of Materials and Mineral Resources Engineering, Universiti Sains Malaysia, 14300 Nibong Tebal, Penang (Malaysia); Department of Mechanical and Material Engineering, Lee Kong Chian Faculty of Engineering and Science, Universiti Tunku Abdul Rahman, Jalan Sungai Long, Bandar Sungai Long, Cheras, 43000 Kajang, Selangor (Malaysia); Abdul Razak, Khairunisak, E-mail: khairunisak@usm.my [School of Materials and Mineral Resources Engineering, Universiti Sains Malaysia, 14300 Nibong Tebal, Penang (Malaysia); NanoBiotechnology Research and Innovation (NanoBRI), Institute for Research in Molecular Medicine (INFORMM), Universiti Sains Malaysia, 11800 USM, Penang (Malaysia); Lockman, Zainovia, E-mail: zainovia@usm.my [School of Materials and Mineral Resources Engineering, Universiti Sains Malaysia, 14300 Nibong Tebal, Penang (Malaysia)

    2015-11-30

    This work reports a simple seed formation method for the hydrothermal growth of tungsten oxide (WO{sub 3}) nanorods. A WO{sub 3} seed layer was prepared by thermal oxidation, where a W-sputtered substrate was heated and oxidized in a furnace. Oxidation temperatures and periods were varied at 400–550 °C and 5–60 min, respectively, to determine an appropriate seed layer for nanorod growth. Thermal oxidation at 500 °C for 15 min was found to produce a seed layer with sufficient crystallinity and good adhesion to the substrate. These properties prevented the seed from peeling off during the hydrothermal process, thereby allowing nanorod growth on the seed. The nanorod film showed better electrochromic behavior (higher current density of − 1.11 and + 0.65 mA cm{sup −2}) than compact film (lower current density of − 0.54 and + 0.28 mA cm{sup −2}). - Highlights: • A simple seed formation method (thermal oxidation) on sputtered W film is reported. • Crystalline seed with good adhesion to substrate is required for nanorod growth. • The appropriate temperature and period for seed formation were 500 °C and 15 min. • WO{sub 3} nanorods exhibited higher electrochromic current density than WO{sub 3} compact film.

  19. Room temperature growth of biaxially aligned yttria-stabilized zirconia films on glass substrates by pulsed-laser deposition

    CERN Document Server

    Li Peng; Mazumder, J

    2003-01-01

    Room temperature deposition of biaxially textured yttria-stabilized zirconia (YSZ) films on amorphous glass substrates was successfully achieved by conventional pulsed-laser deposition. The influence of the surrounding gases, their pressure and the deposition time on the structure of the films was studied. A columnar growth process was revealed based on the experimental results. The grown biaxial texture appears as a kind of substrate independence, which makes it possible to fabricate in-plane aligned YSZ films on various substrates.

  20. The effect of substrate orientation on the kinetics and thermodynamics of initial oxide-film growth on metals

    Energy Technology Data Exchange (ETDEWEB)

    Reichel, Friederike

    2007-11-19

    This thesis addresses the effect of the parent metal-substrate orientation on the thermodynamics and kinetics of ultra-thin oxide-film growth on bare metals upon their exposure to oxygen gas at low temperatures (up to 650 K). A model description has been developed to predict the thermodynamically stable microstructure of a thin oxide film grown on its bare metal substrate as function of the oxidation conditions and the substrate orientation. For Mg and Ni, the critical oxide-film thickness is less than 1 oxide monolayer and therefore the initial development of an amorphous oxide phase on these metal substrates is unlikely. Finally, for Cu and densely packed Cr and Fe metal surfaces, oxide overgrowth is predicted to proceed by the direct formation and growth of a crystalline oxide phase. Further, polished Al single-crystals with {l_brace}111{r_brace}, {l_brace}100{r_brace} and {l_brace}110{r_brace} surface orientations were introduced in an ultra-high vacuum system for specimen processing and analysis. After surface cleaning and annealing, the bare Al substrates have been oxidized by exposure to pure oxygen gas. During the oxidation, the oxide-film growth kinetics has been established by real-time in-situ spectroscopic ellipsometry. After the oxidation, the oxide-film microstructures were investigated by angle-resolved X-ray photoelectron spectroscopy and low energy electron diffraction. Finally, high-resolution transmission electron microscopic analysis was applied to study the microstructure and morphology of the grown oxide films on an atomic scale. (orig.)

  1. Epitaxial growth of YBa2Cu3O7-δ thin films on LiNbO3 substrates

    International Nuclear Information System (INIS)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C.

    1989-01-01

    In situ epitaxial growth of YBa 2 Cu 3 O 7-δ thin films on Y-cut LiNbO 3 substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ( c (R=0) of 92 K. High critical current density of J c (77 K)=2x10 5 A/cm 2 is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the c axis is normal to the substrate plane and the a axis is at 45 degree to the [11.0] direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane

  2. [Nutrient transfer and growth of Pinus greggii Engelm. inoculated with edible ectomycorrhizal mushrooms in two substrates].

    Science.gov (United States)

    Rentería-Chávez, María C; Pérez-Moreno, Jesús; Cetina-Alcalá, Víctor M; Ferrera-Cerrato, Ronald; Xoconostle-Cázares, Beatriz

    An ectomycorrhiza is a mutualistic symbiosis of paramount importance in forestry and tree production. One of the selection criteria of ectomycorrhizal fungi that has currently gained importance is their edibility due to the economic, ecological and cultural relevance of edible ectomycorrhizal mushrooms as a non-timber forest product. The effect of the inoculation with three edible ectomycorrhizal mushrooms: Laccaria laccata, Laccaria bicolor y Hebeloma leucosarx, which are widely sold in Mexico, on the growth and nutrient contents of Pinus greggii grown in an experimental substrate and a commercial substrate enriched with a slow-release fertilizer, was evaluated. Two years after sowing, differences in terms of shoot and root biomass and macro and micronutrient contents between inoculated and non-inoculated plants, were recorded independently of the fungal species and the substrate. Despite the fact that plants grown in the commercial substrate had higher growth and nutrient contents, their ectomycorrhizal colonization percentages were smaller than those of the plants grown in the experimental substrate. The differences in the nutrient transfer to the inoculated plant shoots among the evaluated fungal species were recorded. Ca mobilization by L. laccata, Na by L. bicolor and Mn by H. leucosarx were observed in the plants growing in the experimental substrate. It has been demonstrated that the selection of substrates constitutes an important factor in the production of ectomycorrhizal plants and that the three evaluated species of edible ectomycorrhizal mushrooms have an enormous potential in the controlled mycorrhization of P. greggii. Copyright © 2017 Asociación Argentina de Microbiología. Publicado por Elsevier España, S.L.U. All rights reserved.

  3. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  4. Growth and properties of ZnO films on polymeric substrate by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kriisa, Merike; Kärber, Erki [Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Krunks, Malle, E-mail: malle.krunks@ttu.ee [Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Mikli, Valdek [Centre for Materials Research, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia); Unt, Tarmo; Kukk, Mart; Mere, Arvo [Department of Materials Science, Tallinn University of Technology, Ehitajate tee 5, 19086 Tallinn (Estonia)

    2014-03-31

    The growth of ZnO layers deposited by spray pyrolysis on polymeric substrate was studied. Zinc acetate precursor solution was sprayed onto preheated polyimide (PI) and glass reference substrates at 380 °C. The structural, morphological, optical and electrical properties of the layers were measured by X-ray diffraction, scanning electron microscopy, optical spectroscopy and van der Pauw and Hall method. ZnO:In layers could be grown on PI when deposited onto undoped ZnO layer acting as a buffer layer on PI. Independent of the substrate type, the ZnO/ZnO:In bilayer showed a mixed morphology from smooth canvas-like surface to large scrolled belt grains dependent on buffer layer morphology. Due to the formation of scrolled belts, the ZnO:In layer shows no preferential orientation, yet the preferred orientation of the ZnO buffer crystallites is (100) plane parallel to the substrate. The bilayers deposited on PI exhibit high light scattering capability (haze factor of 85–95% in the spectral region of 350–1500 nm). The resistivity of the ZnO:In film in bilayer on PI is 4.4 × 10{sup −2} Ω cm mainly due to low carrier mobility of 1.5 cm{sup 2}/Vs, the carrier concentration is 10{sup 20} cm{sup −3}. - Highlights: • ZnO:In layers were grown on polyimide substrate by spray pyrolysis. • The buffer layer morphology is controlled by the layer thickness and spray rate. • ZnO/ZnO:In bilayer morphology is dependent on the surface of buffer layer. • Rough buffer layer leads to rough bilayer with scrolled belts (diameter of 2–6 μm). • Due to scrolled belts layers show no preferential growth yet highly scatter light.

  5. Design and development of green roof substrate to improve runoff water quality: plant growth experiments and adsorption.

    Science.gov (United States)

    Vijayaraghavan, K; Raja, Franklin D

    2014-10-15

    Many studies worldwide have investigated the potential benefits achievable by transforming brown roofs of buildings to green roofs. However, little literature examined the runoff quality/sorption ability of green roofs. As the green roof substrate is the main component to alter the quality of runoff, this investigation raises the possibility of using a mixture of low-cost inorganic materials to develop a green roof substrate. The tested materials include exfoliated vermiculite, expanded perlite, crushed brick and sand along with organic component (coco-peat). Detailed physical and chemical analyses revealed that each of these materials possesses different characteristics and hence a mix of these materials was desirable to develop an optimal green roof substrate. Using factorial design, 18 different substrate mixes were prepared and detailed examination indicated that mix-12 exhibited desirable characteristics of green roof substrate with low bulk density (431 kg/m(3)), high water holding capacity (39.4%), air filled porosity (19.5%), and hydraulic conductivity (4570 mm/h). The substrate mix also provided maximum support to Portulaca grandiflora (380% total biomass increment) over one month of growth. To explore the leaching characteristics and sorption capacity of developed green roof substrate, a down-flow packed column arrangement was employed. High conductivity and total dissolved solids along with light metal ions (Na, K, Ca and Mg) were observed in the leachates during initial stages of column operation; however the concentration of ions ceased during the final stages of operation (600 min). Experiments with metal-spiked deionized water revealed that green roof substrate possess high sorption capacity towards various heavy metal ions (Al, Fe, Cr, Cu, Ni, Pb, Zn and Cd). Thus the developed growth substrate possesses desirable characteristics for green roofs along with high sorption capacity. Copyright © 2014 Elsevier Ltd. All rights reserved.

  6. Vertically aligned ZnO nanorods on porous silicon substrates: Effect of growth time

    Directory of Open Access Journals (Sweden)

    R. Shabannia

    2015-04-01

    Full Text Available Vertically aligned ZnO nanorods were successfully grown on porous silicon (PS substrates by chemical bath deposition at a low temperature. X-ray diffraction, field-emission scanning electron microscopy (FESEM, transmission electron microscopy (TEM, and photoluminescence (PL analyses were carried out to investigate the effect of growth duration (2 h to 8 h on the optical and structural properties of the aligned ZnO nanorods. Strong and sharp ZnO (0 0 2 peaks of the ZnO nanorods proved that the aligned ZnO nanorods were preferentially fabricated along the c-axis of the hexagonal wurtzite structure. FESEM images demonstrated that the ZnO nanorod arrays were well aligned along the c-axis and perpendicular to the PS substrates regardless of the growth duration. The TEM image showed that the top surfaces of the ZnO nanorods were round with a smooth curvature. PL spectra demonstrated that the ZnO nanorods grown for 5 h exhibited the sharpest and most intense PL peaks within the ultraviolet range among all samples.

  7. Growth optimization and characterization of GaN epilayers on multifaceted (111) surfaces etched on Si(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ansah-Antwi, KwaDwo Konadu, E-mail: kakadee@gmail.com; Chua, Soo Jin [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore); Department of Electrical and Computer Engineering, National University of Singapore, E4-5-45, 4 Engineering Drive 3, Singapore 117576 (Singapore); Soh, Chew Beng [Singapore Institute of Technology, 10 Dover Drive, Singapore 138683 (Singapore); Liu, Hongfei [Institute of Materials Research and Engineering (IMRE), A*STAR (Agency for Science, Technology and Research), 2 Fusionopolis Way, Innovis # 08-03, Singapore 138634 (Singapore)

    2015-11-15

    The four nearest Si(111) multifaceted sidewalls were exposed inside an array of 3 μm-wide square holes patterned on an Si(100) substrate, and this patterned Si(100) substrate was used as a substrate for the deposition of a gallium nitride (GaN) epilayer. Subsequently the effect that the growth pressure, the etched-hole profiles, and the etched-hole arrangement had upon the quality of the as-grown GaN was investigated. The coalescence of the as-grown GaN epilayer on the exposed Si(111) facets was observed to be enhanced with reduced growth pressure from 120 to 90 Torr. A larger Si(001) plane area at the bottom of the etched holes resulted in bidirectional GaN domains, which resulted in poor material quality. The bidirectional GaN domains were observed as two sets of six peaks via a high-resolution x-ray diffraction phi scan of the GaN(10-11) reflection. It was also shown that a triangular array of etched holes was more desirable than square arrays of etched holes for the growth high-quality and continuous GaN films.

  8. Simulation and theory of island growth on stepped substrates

    International Nuclear Information System (INIS)

    Pownall, C.D.

    1999-10-01

    The nucleation, growth and coalescence of islands on stepped substrates is investigated by Monte Carlo simulations and analytical theories. Substrate steps provide a preferential site for the nucleation of islands, making many of the important processes one-dimensional in nature, and are of potentially major importance in the development of low-dimensional structures as a means of growing highly ordered chains of 'quantum dots' or continuous 'quantum wires'. A model is developed in which island nucleation is entirely restricted to the step edge, islands grow in compact morphologies by monomer capture, and eventually coalesce with one another until a single continuous cluster of islands covers the entire step. A series of analytical theories is developed to describe the dynamics of the whole evolution. The initial nucleation and aggregation regimes are modeled using the traditional approach of rate equations, rooted in mean field theory, but incorporating corrections to account for correlations in the nucleation and capture processes. This approach is found to break down close to the point at which the island density saturates and a new approach is developed based upon geometric and probabilistic arguments to describe the saturation behaviour, including the characteristic dynamic scaling which is found to persist through the coalescence regime as well. A further new theory, incorporating arguments based on the geometry of Capture Zones, is presented which reproduces the dynamics of the coalescence regime. The, latter part of the. thesis considers the spatial properties of the system, in particular the spacing of the islands along the step. An expression is derived which describes the distribution of gap sizes, and this is solved using a recently-developed relaxation method. An important result is the discovery that larger critical island sizes tend to yield more evenly spaced arrays of islands. The extent of this effect is analysed by solving for critical island

  9. Effects of substrate type on plant growth and nitrogen and nitrate concentration in spinach

    Science.gov (United States)

    The effects of three commercial substrates (a mixture of forest residues, composted grape husks, and white peat; black peat; and coir) on plant growth and nitrogen (N) and nitrate (NO3) concentration and content were evaluated in spinach (Spinacia oleracea L. cv. Tapir). Spinach seedlings were trans...

  10. Evolutionary selection growth of two-dimensional materials on polycrystalline substrates

    Science.gov (United States)

    Vlassiouk, Ivan V.; Stehle, Yijing; Pudasaini, Pushpa Raj; Unocic, Raymond R.; Rack, Philip D.; Baddorf, Arthur P.; Ivanov, Ilia N.; Lavrik, Nickolay V.; List, Frederick; Gupta, Nitant; Bets, Ksenia V.; Yakobson, Boris I.; Smirnov, Sergei N.

    2018-03-01

    There is a demand for the manufacture of two-dimensional (2D) materials with high-quality single crystals of large size. Usually, epitaxial growth is considered the method of choice1 in preparing single-crystalline thin films, but it requires single-crystal substrates for deposition. Here we present a different approach and report the synthesis of single-crystal-like monolayer graphene films on polycrystalline substrates. The technological realization of the proposed method resembles the Czochralski process and is based on the evolutionary selection2 approach, which is now realized in 2D geometry. The method relies on `self-selection' of the fastest-growing domain orientation, which eventually overwhelms the slower-growing domains and yields a single-crystal continuous 2D film. Here we have used it to synthesize foot-long graphene films at rates up to 2.5 cm h-1 that possess the quality of a single crystal. We anticipate that the proposed approach could be readily adopted for the synthesis of other 2D materials and heterostructures.

  11. Growth and BZO-doping of the nanostructured YBCO thin films on buffered metal substrates

    DEFF Research Database (Denmark)

    Huhtinen, H.; Irjala, M.; Paturi, P.

    2010-01-01

    The growth of the nanostructured YBa2Cu3O6+x (YBCO) films is investigated for the first time on biaxially textured NiW substrates used in coated conductor technology. The optimization process of superconducting layers is made in wide magnetic field and temperature range in order to understand...... the vortex pinning structure and mechanism in our films prepared from nanostructured material. Structural analysis shows that growth mechanism in YBCO films grown on NiW is completely different when compared to YBCO on STO. Films on NiW are much rougher, there is huge in-plane variation of YBCO crystals...... and moreover out-of-plane long range lattice ordering is greatly reduced. Magnetic measurements demonstrate that jc in films grown on NiW is higher in high magnetic fields and low temperatures. This effect is connected to the amount of pinning centres observed in films on metal substrates which are effective...

  12. Large-scale uniform ZnO tetrapods on catalyst free glass substrate by thermal evaporation method

    Energy Technology Data Exchange (ETDEWEB)

    Alsultany, Forat H., E-mail: foratusm@gmail.com [School of Physics, USM, 11800 Penang (Malaysia); Hassan, Z. [Institute of Nano-Optoelectronics Research and Technology Laboratory (INOR), USM, 11800 Penang (Malaysia); Ahmed, Naser M. [School of Physics, USM, 11800 Penang (Malaysia)

    2016-07-15

    Highlights: • Investigate the growth of ZnO-Ts on glass substrate by thermal evaporation method. • Glass substrate without any catalyst or a seed layer. • The morphology was controlled by adjusting the temperature of the material and the substrate. • Glass substrate was placed vertically in the quartz tube. - Abstract: Here, we report for the first time the catalyst-free growth of large-scale uniform shape and size ZnO tetrapods on a glass substrate via thermal evaporation method. Three-dimensional networks of ZnO tetrapods have needle–wire junctions, an average leg length of 2.1–2.6 μm, and a diameter of 35–240 nm. The morphology and structure of ZnO tetrapods were investigated by controlling the preparation temperature of each of the Zn powder and the glass substrate under O{sub 2} and Ar gases. Studies were carried out on ZnO tetrapods using X-ray diffraction, field emission scanning electron microscopy, UV–vis spectrophotometer, and a photoluminescence. The results showed that the sample grow in the hexagonal wurtzite structure with preferentially oriented along (002) direction, good crystallinity and high transmittance. The band gap value is about 3.27 eV. Photoluminescence spectrum exhibits a very sharp peak at 378 nm and a weak broad green emission.

  13. Rational growth of semi-polar ZnO texture on a glass substrate for optoelectronic applications

    Science.gov (United States)

    Lu, B.; Ma, M. J.; Ye, Y. H.; Lu, J. G.; He, H. P.; Ye, Z. Z.

    2013-02-01

    Semi-polar ZnO films with surface texture were grown on glass substrates via pulsed-laser deposition (PLD) through Co-Ga co-doping. Oxygen pressure (PO2) was found to have significant effects on the structural and optical properties of the Zn(Co, Ga)O (ZCGO) films. A self-textured film with (1\\,0\\,\\bar {1}\\,1) preferred orientation (PO) was achieved by varying the growth conditions including a crucial narrow PO2 window and growth time. A possible mechanism underlying the PO evolution and the final texture of the films was proposed, which can be attributed to the collaboration of the doping effect and the PO2-dependent evolutionary selection process, in which certain grains can have increased vertical growth rate with respect to the substrate surface through interplane diffusion. Moreover, the growth of undoped pure ZnO films proceeded by using the (1\\,0\\,\\bar {1}\\,1) ZCGO film as a buffer layer. The ZnO layers retained a semi-polar characteristic with improved crystallinity and better optical quality. The epitaxy-like orientation of ZnO layers grown on (1\\,0\\,\\bar {1}\\,1) ZCGO films has applications in the development of semi-polar ZnO-based light-emitting diodes.

  14. Epidermal growth factor pathway substrate 15, Eps15

    DEFF Research Database (Denmark)

    Salcini, A E; Chen, H; Iannolo, G

    1999-01-01

    Eps15 was originally identified as a substrate for the kinase activity of the epidermal growth factor receptor (EGFR). Eps15 has a tripartite structure comprising a NH2-terminal portion, which contains three EH domains, a central putative coiled-coil region, and a COOH-terminal domain containing...... multiple copies of the amino acid triplet Aspartate-Proline-Phenylalanine. A pool of Eps15 is localized at clathrin coated pits where it interacts with the clathrin assembly complex AP-2 and a novel AP-2 binding protein, Epsin. Perturbation of Eps15 and Epsin function inhibits receptor-mediated endocytosis...... of EGF and transferrin, demonstrating that both proteins are components of the endocytic machinery. Since the family of EH-containing proteins is implicated in various aspects of intracellular sorting, biomolecular strategies aimed at interfering with these processes can now be envisioned...

  15. A model framework to describe growth-linked biodegradation of trace-level pollutants in the presence of coincidental carbon substrates and microbes.

    Science.gov (United States)

    Liu, Li; Helbling, Damian E; Kohler, Hans-Peter E; Smets, Barth F

    2014-11-18

    Pollutants such as pesticides and their degradation products occur ubiquitously in natural aquatic environments at trace concentrations (μg L(-1) and lower). Microbial biodegradation processes have long been known to contribute to the attenuation of pesticides in contaminated environments. However, challenges remain in developing engineered remediation strategies for pesticide-contaminated environments because the fundamental processes that regulate growth-linked biodegradation of pesticides in natural environments remain poorly understood. In this research, we developed a model framework to describe growth-linked biodegradation of pesticides at trace concentrations. We used experimental data reported in the literature or novel simulations to explore three fundamental kinetic processes in isolation. We then combine these kinetic processes into a unified model framework. The three kinetic processes described were: the growth-linked biodegradation of micropollutant at environmentally relevant concentrations; the effect of coincidental assimilable organic carbon substrates; and the effect of coincidental microbes that compete for assimilable organic carbon substrates. We used Monod kinetic models to describe substrate utilization and microbial growth rates for specific pesticide and degrader pairs. We then extended the model to include terms for utilization of assimilable organic carbon substrates by the specific degrader and coincidental microbes, growth on assimilable organic carbon substrates by the specific degrader and coincidental microbes, and endogenous metabolism. The proposed model framework enables interpretation and description of a range of experimental observations on micropollutant biodegradation. The model provides a useful tool to identify environmental conditions with respect to the occurrence of assimilable organic carbon and coincidental microbes that may result in enhanced or reduced micropollutant biodegradation.

  16. Strictly monolayer large continuous MoS2 films on diverse substrates and their luminescence properties

    International Nuclear Information System (INIS)

    Mohapatra, P. K.; Deb, S.; Singh, B. P.; Vasa, P.; Dhar, S.

    2016-01-01

    Despite a tremendous interest on molybdenum disulfide as a thinnest direct band gap semiconductor, single step synthesis of a large area purely monolayer MoS 2 film has not yet been reported. Here, we report a CVD route to synthesize a continuous film of strictly monolayer MoS 2 covering an area as large as a few cm 2 on a variety of different substrates without using any seeding material or any elaborate pretreatment of the substrate. This is achieved by allowing the growth to take place in the naturally formed gap between a piece of SiO 2 coated Si wafer and the substrate, when the latter is placed on top of the former inside a CVD reactor. We propose a qualitative model to explain why the MoS 2 films are always strictly monolayer in this method. The photoluminescence study of these monolayers shows the characteristic excitonic and trionic features associated with monolayer MoS 2 . In addition, a broad defect related luminescence band appears at ∼1.7 eV. As temperature decreases, the intensity of this broad feature increases, while the band edge luminescence reduces

  17. Remote and direct plasma regions for low-temperature growth of carbon nanotubes on glass substrates for display applications

    International Nuclear Information System (INIS)

    Tabatabaei, M K; Ghafouri fard, H; Koohsorkhi, J; Khatami, S; Mohajerzadeh, S

    2011-01-01

    A novel method for growing carbon nanotubes (CNTs) on glass substrates is introduced in this study. A two-stage plasma was used to achieve low-temperature and vertically aligned CNTs. Ni deposited on indium tin oxide/glass substrate was used as the catalyst and hydrogen and acetylene were used as gas feeds. In this investigation a new technique was developed to grow vertically aligned CNTs at temperatures below 400 deg. C while CNT growth by plasma-enhanced chemical vapour deposition required high temperatures. Low-temperature growth of vertically aligned CNTs was suitable for the fabrication of micro-lens and self-oriented displays on glass substrates. Also, we have reported a new configuration for CNT-based display by means of controlling the refractive index of liquid crystal around the CNT by applying a proper voltage to the top and bottom array.

  18. Molecular dynamics study of growth and interface structure during aluminum deposition on Ni(1 0 0) substrate

    International Nuclear Information System (INIS)

    er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco); Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco); Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Hassani, A.; Makan, A.; er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Sbiaai, K.; er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory of Radiation and Matter, Faculty of Science and Technology, 26000 Settat (Morocco))" >Tabyaoui, A.; er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" data-affiliation=" (Univ Hassan 1er, Laboratory LS3M, Faculté Polydisciplinaire of Khouribga, 26000 Settat (Morocco))" >Hasnaoui, A.

    2015-01-01

    Highlights: • Aluminum thin film growth on Ni(1 0 0) substrate was investigated. • Molecular dynamics simulation based on EAM interaction potential was considered. • Hexagonal and fourfold structures coexisted in the first layer. • Interface mismatch was revealed by wavy effect occurring in both lateral directions. • Film growth followed a layer-by-layer mode only in the first three deposited layers. - Abstract: We investigate aluminum thin film growth on Ni(1 0 0) substrate by means of molecular dynamics simulation. Embedded Atom Method interaction potential is considered. The simulation is performed at 300 K using an incident energy of 1 eV. The substrate-grown film interface shows the coexistence of hexagonal and fourfold structures in the first layer during the initial stage of deposition. As the deposition proceeds, the hexagonal geometry transforms to fourfold one which becomes dominant toward the end of deposition. The coverage of this layer exceeded 100%. Moreover, the deposited Al atoms with fourfold geometry adopt the lattice parameter of Ni as the thickness of deposited film increases. The interface mismatch investigation revealed that the roughness is dictated by how the Al(1 1 1) fits to the Ni(1 0 0) substrate, which may be reflected by a wavy effect occurring in both lateral directions. Furthermore, the film grows by a layer-by-layer mode with a coverage rate greater than 66.7% in the first three layers, while it follows an island mode with a coverage rate lower than the previous value (66.7%) beyond the third layer. Overall, a detailed analysis of each layer growth has established a relationship between the number of deposited atoms and the coverage rate of each layer

  19. White light photoluminescence from ZnS films on porous Si substrates

    International Nuclear Information System (INIS)

    Wang Caifeng; Li Weibing; Li Qingshan; Hu Bo

    2010-01-01

    ZnS films were deposited on porous Si (PS) substrates using a pulsed laser deposition (PLD) technique. White light emission is observed in photoluminescence (PL) spectra, and the white light is the combination of blue and green emission from ZnS and red emission from PS. The white PL spectra are broad, intense in a visible band ranging from 450 to 700 nm. The effects of the excitation wavelength, growth temperature of ZnS films, PS porosity and annealing temperature on the PL spectra of ZnS/PS were also investigated. (semiconductor materials)

  20. Substrate structure dependence of the growth modes of p-quaterphenyl thin films on gold

    International Nuclear Information System (INIS)

    Muellegger, S.; Mitsche, S.; Poelt, P.; Haenel, K.; Birkner, A.; Woell, C.; Winkler, A.

    2005-01-01

    The variably oriented crystallite surfaces of a recrystallized polycrystalline gold sample served as substrates for the investigation of the structure dependence of p-quaterphenyl (4P) thin film growth. The films were prepared in ultrahigh vacuum by organic molecular beam evaporation. Optical microscopy, scanning electron microscopy, combined with laterally resolved electron backscatter diffraction and scanning tunnelling microscopy have been applied to determine the correlation between the substrate surface structure and 4P film morphology. Crystallite surfaces consisting of (110) terraces favour highly anisotropic needle-like 4P growth with the needle orientation normal to the Au directions. Atomic steps on vicinal planes with narrow terraces (< 2 nm) can also induce anisotropy in the 4P thin film growth, in particular elongated 4P islands normal to the step direction. In contrast to that, a nearly isotropic distribution of the needle orientations is observed on Au grains terminated by highly symmetric (111) or (100) crystal planes. Additionally, patches of continuous 4P layers can be found on these surfaces. There is strong evidence that the 4P molecules within the needle-like crystallites are oriented parallel to the Au surface, whereas for the continuous layers the 4P molecules are oriented nearly upright on the surface

  1. Comparative study of initial growth stage in PVT growth of AlN on SiC and on native AlN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Epelbaum, B.M.; Heimann, P.; Bickermann, M.; Winnacker, A. [Department of Materials Science 6, University of Erlangen-Nuernberg, Martensstr. 7, 91058 Erlangen (Germany)

    2005-05-01

    The main issue in homoepitaxial growth of aluminum nitride (AlN) on native seed substrates appears to be aluminum oxynitride poisoning of seed surface leading to polycrystalline growth at 1750-1850 C. This is well below the lowest growth temperature appropriate for physical vapor transport (PVT) of bulk AlN, which is about 2150 C. Contrary, heteroepitaxial growth of AlN on SiC is relatively easy to achieve because of natural formation of a thin molten layer on the seed surface and VLS growth of AlN via the molten buffer layer. The most critical issue of AlN growth on SiC is cracking of the grown layer upon cooling as a result of different thermal expansion. Optimization of seeded growth process can be achieved by proper choice of SiC seed orientation and by use of ultra-pure starting material. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  2. Growth and substrate consumption of Nitrobacter agilis cells immobilized in carrageenan: part 1. Dynamic modeling.

    Science.gov (United States)

    de Gooijer, C D; Wijffels, R H; Tramper, J

    1991-07-01

    The modeling of the growth of Nitrobacter agilis cell immobilized in kappa-carrageenan is presented. A detailed description is given of the modeling of internal diffusion and growth of cells in the support matrix in addition to external mass transfer resistance. The model predicts the substrate and biomass profiles in the support as well as the macroscopic oxygen consumption rate of the immobilized biocatalyst in time. The model is tested by experiments with continuously operated airlift loop reactors containing cells immobilized in kappa-carrageenan. The model describes experimental data very well. It is clearly shown that external mass transfer may not be neglected. Furthermore, a sensitivity analysis of the parameters at their values during the experiments revealed that apart from the radius of the spheres and the substrate bulk concentration, the external mass transfer resistance coefficient is the most sensitive parameter for our case.

  3. MOVPE growth of violet GaN LEDs on β-Ga2O3 substrates

    Science.gov (United States)

    Li, Ding; Hoffmann, Veit; Richter, Eberhard; Tessaro, Thomas; Galazka, Zbigniew; Weyers, Markus; Tränkle, Günther

    2017-11-01

    We report that a H2-free atmosphere is essential for the initial stage of metalorganic vapour phase epitaxy (MOVPE) growth of GaN on β-Ga2O3 to prevent the surface from damage. A simple growth method is proposed that can easily transfer established GaN growth recipes from sapphire to β-Ga2O3 with both (-2 0 1) and (1 0 0) orientations. This method features a thin AlN nucleation layer grown below 900 °C in N2 atmosphere to protect the surface of β-Ga2O3 from deterioration during further growth under the H2 atmosphere. Based on this, we demonstrate working violet vertical light emitting diodes (VLEDs) on n-conductive β-Ga2O3 substrates.

  4. Use of artificial substrate in pond culture of freshwater prawn (Macrobrachium rosenbergii: a new approach regarding growth performance and economic return

    Directory of Open Access Journals (Sweden)

    Dilshad Milky Tuly

    2014-04-01

    Full Text Available An experiment was conducted for six months to evaluate the effects of artificial substrates on the survival, growth and production of Macrobrachium rosenbergii juveniles. The treatment T1 contained locally available bamboo-made substrate both vertical and horizontal and treatment T2 received no substrate. Juvenile prawns (0.40±0.13 g were stocked at the rate of 19,760 prawns ha-1. The water quality parameters range such as temperature, pH and DO were 22.06-33.45°C, 7.70-8.40 and 4.75-6.15 mgl-1 respectively which was no significant difference (P0.05 than T2 (56.87%. The specific growth rate, food conversion ratio and protein efficiency ratio were 1.19 % and 1.14 %, 3.15 and 4.39, 0.98 and 0.71 in T1 and T2 respectively which were not significantly different (P0.05 than T2. Thus growth and survival of prawn juveniles improved in presence of artificial substrate which could be economically viable technique for the freshwater prawn culture.

  5. Growth of M-plane (10-10)InN on LiAlO2(100) substrate

    International Nuclear Information System (INIS)

    Takagi, Yusuke; Muto, Daisuke; Araki, Tsutomu; Nanishi, Yasushi; Yamaguchi, Tomohiro

    2009-01-01

    In this study, we report the growth and characterization of M-plane InN films on LiAlO 2 (100) substrates by radio-frequency plasma assisted molecular beam epitaxy (RF-MBE). InN films were grown at various temperatures and under various V/III ratios on the substrates. Pure M -plane InN films were successfully grown at a high temperature of 450 C and under a slightly In-rich condition, while the incorporation of C-plane phase was observed in M -plane InN films grown at low temperatures of less than 400 C or under a N-rich condition. These indicate that controls of growth temperature and V/III ratio are important for the growth of pure M-plane InN films. The in-plane epitaxial relationships of M -plane InN on LiAlO 2 (100) were[0001] InN //[010] LiAlO 2 and[1-210] InN //[001] LiAlO 2 . A surface electron accumulation layer on the obtained M-plane InN film is also discussed. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  6. Experimental and simulation study of growth of TiO2 films on different substrates and its applications

    Science.gov (United States)

    Ghogare, Trupti T.; Kartha, Moses J.; Kendre, Subhash D.; Pathan, Habib M.

    2018-04-01

    Monte-Carlo Ballistic Deposition simulations have done on substrates with different initial roughness. The grown films were observed to be porous. The initial growths of the films with seed like initiations are observed for substrate with high initial roughness. In order to confirm this effect TiO2 films were deposited on different substrates using chemical bath deposition. The surface morphological and optical properties were measured using scanning electron microscopy and a UV-Vis spectrophotometer. Flower like porous structure are obtained on glass substrate and continuous porous morphology is formed on ITO substrate. The morphology of the surfaces was successfully reconstructed and the surface porosity was calculated after digitalising images and reconstructed the surfaces. The TiO2 film formed on ITO is observed to be 10% more porous than on the film formed on glass substrate. Diffusion Limited Aggregation simulations with multiple seeds confirms that the observed flower like structure formed are due to the screening effects of the diffusing ion by already deposited particles.

  7. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    International Nuclear Information System (INIS)

    Yan, Guoguo; Zhang, Feng; Niu, Yingxi; Yang, Fei; Liu, Xingfang; Wang, Lei; Zhao, Wanshun; Sun, Guosheng; Zeng, Yiping

    2015-01-01

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H_2 flow rate ranging from15 to 30 slm. • High H_2 flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H_2 flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H_2 flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H_2 flow rate. The growth rate and n-type doping are also dependent on H_2 flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H_2 flow rate are attributed to higher 3C-SiC film growth rate and H_2 etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H_2 flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  8. Effect of hydrogen flow on growth of 3C-SiC heteroepitaxial layers on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yan, Guoguo [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Zhang, Feng, E-mail: fzhang@semi.ac.cn [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Niu, Yingxi; Yang, Fei [Electrical Engineering New Materials and Microelectronics Department, State Grid Smart Grid Research Institute, Beijing 100192 (China); Liu, Xingfang; Wang, Lei; Zhao, Wanshun [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Sun, Guosheng [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China); Dongguan Tianyu Semiconductor, Inc., Dongguan 523000 (China); Zeng, Yiping [Institute of Semiconductors, Chinese Academy of Sciences, Beijing 100083 (China)

    2015-10-30

    Highlights: • 3C-SiC thin films of preferential orientation along with Si(111) substrates were obtained using home-made horizontal LPCVD with different H{sub 2} flow rate ranging from15 to 30 slm. • High H{sub 2} flow rate will inhibit the out-diffusion of silicon atoms from silicon substrates effectively. Transformation and the mechanism of void formation are discussed based on our model. • The variation of growth rate and n-type doping with increasing H{sub 2} flow rate is researched and the influencing mechanism is discussed. - Abstract: 3C-SiC thin films were grown on Si(111) substrates at 1250 °C by horizontal low pressure chemical vapor deposition (LPCVD). We performed an exhaustive study on the effect of H{sub 2} flow rate on the crystalline quality, surface morphologies, growth rate, n-type doping of 3C-SiC thin films and the voids at the interface. The films show epitaxial nature with high crystal quality and surface morphology increase obviously with increasing H{sub 2} flow rate. The growth rate and n-type doping are also dependent on H{sub 2} flow rate. The properties of the voids at the interface are discussed based on the cross-sectional scanning electron microscope characterization. Transformation of voids with increasing H{sub 2} flow rate are attributed to higher 3C-SiC film growth rate and H{sub 2} etching rate. The mechanism of void formation is discussed based on our model, too. The results demonstrate that H{sub 2} flow rate plays a very important role in the heteroepitaxial growth of 3C-SiC films.

  9. Direct growth of cerium oxide nanorods on diverse substrates for superhydrophobicity and corrosion resistance

    Science.gov (United States)

    Cho, Young Jun; Jang, Hanmin; Lee, Kwan-Soo; Kim, Dong Rip

    2015-06-01

    Superhydrophobic surfaces with anti-corrosion properties have attracted great interest in many industrial fields, particularly to enhance the thermal performance of offshore applications such as heat exchangers, pipelines, power plants, and platform structures. Nanostructures with hydrophobic materials have been widely utilized to realize superhydrophobicity of surfaces, and cerium oxide has been highlighted due to its good corrosion resistive and intrinsically hydrophobic properties. However, few studies of direct growth of cerium oxide nanostructures on diverse substrates have been reported. Herein we report a facile hydrothermal method to directly grow cerium oxide nanorods on diverse substrates, such as aluminum alloy, stainless steel, titanium, and silicon. Diverse substrates with cerium oxide nanorods exhibited superhydrophobicity with no hydrophobic modifiers on their surfaces, and showed good corrosion resistive properties in corrosive medium. We believe our method could pave the way for realization of scalable and sustainable corrosion resistive superhydrophobic surfaces in many industrial fields.

  10. Effect of Different Substrates and Casing Materials on the Growth and Yield of Calocybe indica.

    Science.gov (United States)

    Amin, Ruhul; Khair, Abul; Alam, Nuhu; Lee, Tae Soo

    2010-06-01

    Calocybe indica, a tropical edible mushroom, is popular because it has good nutritive value and it can be cultivated commercially. The current investigation was undertaken to determine a suitable substrate and the appropriate thickness of casing materials for the cultivation of C. indica. Optimum mycelial growth was observed in coconut coir substrate. Primordia initiation with the different substrates and casing materials was observed between the 13th and 19th day. The maximum length of stalk was recorded from sugarcane leaf, while diameter of stalk and pileus, and thickness of pileus were found in rice straw substrate. The highest biological and economic yield, and biological efficiency were also obtained in the rice straw substrate. Cow dung and loamy soil, farm-yard manure, loamy soil and sand, and spent oyster mushroom substrates were used as casing materials to evaluate the yield and yield-contributing characteristics of C. indica. The results indicate that the number of effective fruiting bodies, the biological and economic yield, and the biological efficiency were statistically similar all of the casing materials used. The maximum biological efficiency was found in the cow dung and loamy soil casing material. The cow dung and loamy soil (3 cm thick) was the best casing material and the rice straw was the best substrate for the commercial cultivation of C. indica.

  11. Germanium growth on electron beam lithography patterned Si3N4/Si(001) substrate using molecular beam epitaxy

    Science.gov (United States)

    Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar

    2018-04-01

    It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.

  12. Strictly monolayer large continuous MoS{sub 2} films on diverse substrates and their luminescence properties

    Energy Technology Data Exchange (ETDEWEB)

    Mohapatra, P. K.; Deb, S.; Singh, B. P.; Vasa, P.; Dhar, S., E-mail: dhar@phy.iitb.ac.in [Department of Physics, Indian Institute of Technology Bombay, Mumbai 400076 (India)

    2016-01-25

    Despite a tremendous interest on molybdenum disulfide as a thinnest direct band gap semiconductor, single step synthesis of a large area purely monolayer MoS{sub 2} film has not yet been reported. Here, we report a CVD route to synthesize a continuous film of strictly monolayer MoS{sub 2} covering an area as large as a few cm{sup 2} on a variety of different substrates without using any seeding material or any elaborate pretreatment of the substrate. This is achieved by allowing the growth to take place in the naturally formed gap between a piece of SiO{sub 2} coated Si wafer and the substrate, when the latter is placed on top of the former inside a CVD reactor. We propose a qualitative model to explain why the MoS{sub 2} films are always strictly monolayer in this method. The photoluminescence study of these monolayers shows the characteristic excitonic and trionic features associated with monolayer MoS{sub 2}. In addition, a broad defect related luminescence band appears at ∼1.7 eV. As temperature decreases, the intensity of this broad feature increases, while the band edge luminescence reduces.

  13. Growth of InGaAs/GaAsP multiple quantum well solar cells on mis-orientated GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sodabanlu, Hassanet, E-mail: sodabanlu@hotaka.t.u-tokyo.ac.jp; Wang, Yunpeng; Watanabe, Kentaroh [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Sugiyama, Masakazu [Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Institute of Engineering Innovation, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan); Nakano, Yoshiaki [Research Center for Advanced Science and Technology, The University of Tokyo, 4-6-1 Komaba, Meguro, Tokyo 153-8904 (Japan); Department of Electrical Engineering and Information System, School of Engineering, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-8656 (Japan)

    2014-06-21

    The effects of growth temperature on the properties of InGaAs/GaAsP multiple quantum well (MQW) solar cells on various mis-orientated GaAs substrates were studied using metalorganic vapor phase epitaxy. Thickness modulation effect caused by mismatch strain of InGaAs/GaAsP could be suppressed by low growth temperature. Consequently, abrupt MQWs with strong light absorption could be deposited on mis-oriented substrates. However, degradation in crystal quality and impurity incorporation are the main drawbacks with low temperature growth because they tend to strongly degraded carrier transport and collection efficiency. MQW solar cells grown at optimized temperature showed the better conversion efficiency. The further investigation should focus on improvement of crystal quality and background impurities.

  14. In situ study of the growth and degradation processes in tetragonal lysozyme crystals on a silicon substrate by high-resolution X-ray diffractometry

    Science.gov (United States)

    Kovalchuk, M. V.; Prosekov, P. A.; Marchenkova, M. A.; Blagov, A. E.; D'yakova, Yu. A.; Tereshchenko, E. Yu.; Pisarevskii, Yu. V.; Kondratev, O. A.

    2014-09-01

    The results of an in situ study of the growth of tetragonal lysozyme crystals by high-resolution X-ray diffractometry are considered. The crystals are grown by the sitting-drop method on crystalline silicon substrates of different types: both on smooth substrates and substrates with artificial surface-relief structures using graphoepitaxy. The crystals are grown in a special hermetically closed crystallization cell, which enables one to obtain images with an optical microscope and perform in situ X-ray diffraction studies in the course of crystal growth. Measurements for lysozyme crystals were carried out in different stages of the crystallization process, including crystal nucleation and growth, developed crystals, the degradation of the crystal structure, and complete destruction.

  15. Growth of vertically oriented InN nanorods from In-rich conditions on unintentionally patterned sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Terziyska, Penka T., E-mail: pterziy1@lakeheadu.ca [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); Butcher, Kenneth Scott A. [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada); Rafailov, Peter [Institute of Solid State Physics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee Blvd., 1784 Sofia (Bulgaria); Alexandrov, Dimiter [Semiconductor Research Laboratory, Department of Electrical Engineering, Lakehead University, 955 Oliver Road, Thunder Bay, ON P7B 5E1 (Canada); MEAglow Ltd., Box 398, 2400 Nipigon Road, Thunder Bay, ON P7C4W1 (Canada)

    2015-10-30

    Highlights: • Vertical InN nanorods are grown on selective areas of sapphire substrates. • In metal droplets nucleate on the sharp needle apexes on the selective areas. • The preferred orientation and the growth direction of the nanorods are (0 0 0 1). • The nanorods grow from the supersaturated indium melt on their tops. - Abstract: Vertically oriented InN nanorods were grown on selective areas of unintentionally patterned c-oriented sapphire substrates exhibiting sharp needles that preferentially accommodate In-metal liquid droplets, using Migration Enhanced Afterglow (MEAglow) growth technique. We point out that the formation of AlN needles on selected areas can be reproduced intentionally by over-nitridation of unmasked areas of sapphire substrates. The liquid indium droplets serve as a self-catalyst and the nanorods grow from the supersaturated indium melt in the droplet in a vertical direction. X-ray diffraction measurements indicate the presence of hexagonal InN only, with preferred orientation along (0 0 0 1) crystal axis, and very good crystalline quality. The room temperature Raman spectrum shows the presence of the A{sub 1}(TO), E{sub 2}(high) and A{sub 1}(LO) phonon modes of the hexagonal InN.

  16. The effect of substrate modification on microbial growth on surfaces

    International Nuclear Information System (INIS)

    Brown, Angela Ann

    1998-01-01

    The principle aim of the program was to produce a novel, non-leaching antimicrobial surface for commercial development and future use in the liquid food packaging industry. Antimicrobial surfaces which exist presently have been produced to combat the growth of prokaryotic organisms and usually function as slow release systems. A system which could inhibit eukaryotic growth without contaminating the surrounding 'environment' with the inhibitor was considered of great commercial importance. The remit of this study was concerned with creating a surface which could control the growth of eukaryotic organisms found in fruit juice with particular interest in the yeast, Saccharomyces cerevisiae. Putative antimicrobial surfaces were created by the chemical modification of the test substrate polymers; nylon and ethylvinyl alcohol (EVOH). Surfaces were chemically modified by the covalent coupling of antimicrobial agents known to be active against the yeast Saccharomyces cerevisiae as ascertained by the screening process determining the minimum inhibitory concentration (MIC) values of agents in the desired test medium. During the study it was found that a number of surfaces did appear to inhibit yeast growth in fruit juice, however on further investigation the apparent inhibitory effect was discovered to be the result of un-bound material free in the test medium. On removing the possibility of any un-bound material present on the test surface, by a series of surface washings, the inhibitory effect on yeast growth was eliminated. Of the agents tested only one appeared to have an inhibitory effect which could be attributed to a true antimicrobial surface effect, Amical 48. As there is little known about this agent in the literature, its affect on yeast growth was examined and in particular a proposal for the mode of action on yeast is discussed, providing a plausible explanation for the inhibitory effect observed when this agent is covalently immobilised onto nylon. (author)

  17. Growth of tin oxide thin films composed of nanoparticles on hydrophilic and hydrophobic glass substrates by spray pyrolysis technique

    Energy Technology Data Exchange (ETDEWEB)

    Paloly, Abdul Rasheed; Satheesh, M. [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Martínez-Tomás, M. Carmen; Muñoz-Sanjosé, Vicente [Departamento de Física Aplicada y Electromagnetismo, Universitat de Valencia, c/Dr Moliner 50, Burjassot, Valencia 46100 (Spain); Rajappan Achary, Sreekumar [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India); Bushiri, M. Junaid, E-mail: junaidbushiri@gmail.com [Nano Functional Materials Lab, Department of Physics, Cochin University of Science and Technology, Kochi 682022, Kerala (India)

    2015-12-01

    Highlights: • SnO{sub 2} thin films were grown on hydrophilic and hydrophobic glass substrates. • Samples on hydrophobic substrates are having comparatively larger lattice volume. • Films on hydrophobic substrates have larger particles and low density distribution. • Substrate dependent photoluminescence emission is observed and studied. • SnO{sub 2} thin films grown over hydrophobic substrates may find potential applications. - Abstract: In this paper, we have demonstrated the growth of tin oxide (SnO{sub 2}) thin films composed of nanoparticles on hydrophobic (siliconized) and hydrophilic (non-siliconized) glass substrates by using the spray pyrolysis technique. X-ray diffraction (XRD) analysis confirmed the formation of SnO{sub 2} thin films with tetragonal rutile-phase structure. Average particle size of nanoparticles was determined to be in the range of 3–4 nm measured from the front view images obtained by a field emission gun scanning electron microscope (FESEM), while the size of nanoparticle clusters, when present, were in the range of 11–20 nm. Surface morphology of SnO{sub 2} films grown over hydrophobic substrates revealed larger isolated particles which are less crowded compared to the highly crowded and agglomerated smaller particles in films on hydrophilic substrates. Blue shift in the band gap is observed in samples in which the average particle size is slightly larger than the exciton Bohr radius. Photoluminescence (PL) analysis of samples grown over hydrophobic substrates exhibited an intense defect level emission and a weak near band edge emission. The enhanced visible emission from these SnO{sub 2} thin films is attributed to lattice defects formed during the film growth due to the mismatch between the film and the hydrophobic substrate surface.

  18. Study of molecular-beam epitaxy growth on patterned GaAs (311)A substrates with different mesa height

    NARCIS (Netherlands)

    Gong, Q.; Nötzel, R.; Schönherr, H.-P.; Ploog, K.

    2000-01-01

    We report on the evolution of the growth front during molecular-beam epitaxy on GaAs (3 1 1)A substrates stripe patterned along the [ ] direction as a function of the mesa height. During growth (1 0 0) and (2 1 1)A facets are formed and expand at the corners near the two opposite lying ( )A and (1 1

  19. Growth of InAs/InGaAs nanowires on GaAs(111)B substrates

    Energy Technology Data Exchange (ETDEWEB)

    Scholz, Sven; Schott, Ruediger; Ludwig, Arne; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany); Reuter, Dirk [Arbeitsgruppe fuer optoelektronische Materialien und Bauelemente, Universitaet Paderborn (Germany)

    2013-07-01

    To investigate the structure and behavior of individual 1D-quantum structures, so called nanowires, we have grown single localized Au seeded InAs/InGaAs nanowires on GaAs(111)B substrate by molecular beam epitaxy. The Au-seeds are implanted by focused ion beam (FIB) technology. We developed a AuGa-LMIS to avoid the beam spread induced by using a Wien-Filter, which allows us to reduce the spot size of the focused ion beam and as consequence the number of implanted ions necessary to seed a wire. At present the growth of InAs nanowires is not fully understood and we have been working on optimizing the process. We identified an optimal growth temperature and arsenic to indium ratio for nanowire growth. Further investigations also aim at analyzing the influence of the growth rates and growth directions. We studied the morphology of the nanowires by SEM imaging and the optical properties with photoluminescence spectroscopy.

  20. Connective tissue growth factor is a substrate of ADAM28

    International Nuclear Information System (INIS)

    Mochizuki, Satsuki; Tanaka, Rena; Shimoda, Masayuki; Onuma, Junko; Fujii, Yutaka; Jinno, Hiromitsu; Okada, Yasunori

    2010-01-01

    Research highlights: → The hyper-variable region in the cysteine-rich domain of ADAM28 binds to C-terminal domain of CTGF. → ADAM28 cleaves CTGF alone and CTGF in the CTGF/VEGF 165 complex. → CTGF digestion by ADAM28 releases biologically active VEGF 165 from the complex. → ADAM28, CTGF and VEGF 165 are commonly co-expressed by carcinoma cells in human breast carcinoma tissues. → These suggest that ADAM28 promotes VEGF 165 -induced angiogenesis in the breast carcinomas by selective CTGF digestion in the CTGF/VEGF 165 complex. -- Abstract: ADAM28, a member of the ADAM (a disintegrin and metalloproteinase) gene family, is over-expressed by carcinoma cells and the expression correlates with carcinoma cell proliferation and progression in human lung and breast carcinomas. However, information about substrates of ADAM28 is limited. We screened interacting molecules of ADAM28 in human lung cDNA library by yeast two-hybrid system and identified connective tissue growth factor (CTGF). Binding of CTGF to proADAM28 was demonstrated by yeast two-hybrid assay and protein binding assay. ADAM28 cleaved CTGF in dose- and time-dependent manners at the Ala 181 -Tyr 182 and Asp 191 -Pro 192 bonds in the hinge region of the molecule. ADAM28 selectively digested CTGF in the complex of CTGF and vascular endothelial growth factor 165 (VEGF 165 ), releasing biologically active VEGF 165 from the complex. RT-PCR and immunohistochemical analyses demonstrated that ADAM28, CTGF and VEGF are commonly co-expressed in the breast carcinoma tissues. These data provide the first evidence that CTGF is a novel substrate of ADAM28 and suggest that ADAM28 may promote VEGF 165 -induced angiogenesis in the breast carcinomas by the CTGF digestion in the CTGF/VEGF 165 complex.

  1. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  2. Direct CVD Graphene Growth on Semiconductors and Dielectrics for Transfer-Free Device Fabrication.

    Science.gov (United States)

    Wang, Huaping; Yu, Gui

    2016-07-01

    Graphene is the most broadly discussed and studied two-dimensional material because of its preeminent physical, mechanical, optical, and thermal properties. Until now, metal-catalyzed chemical vapor deposition (CVD) has been widely employed for the scalable production of high-quality graphene. However, in order to incorporate the graphene into electronic devices, a transfer process from metal substrates to targeted substrates is inevitable. This process usually results in contamination, wrinkling, and breakage of graphene samples - undesirable in graphene-based technology and not compatible with industrial production. Therefore, direct graphene growth on desired semiconductor and dielectric substrates is considered as an effective alternative. Over the past years, there have been intensive investigations to realize direct graphene growth using CVD methods without the catalytic role of metals. Owing to the low catalytic activity of non-metal substrates for carbon precursor decomposition and graphene growth, several strategies have been designed to facilitate and engineer graphene fabrication on semiconductors and insulators. Here, those developed strategies for direct CVD graphene growth on semiconductors and dielectrics for transfer-free fabrication of electronic devices are reviewed. By employing these methods, various graphene-related structures can be directly prepared on desired substrates and exhibit excellent performance, providing versatile routes for varied graphene-based materials fabrication. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. EFFECT OF DIFFERENT SUBSTRATES ON THE GROWTH AND YIELD OF TOMATO (Lycopersicum esculentum Mill UNDER GREENHOUSE CONDITIONS

    Directory of Open Access Journals (Sweden)

    Luis Daniel Ortega-Martínez

    2010-09-01

    Full Text Available The tomato (Lycopersicum esculentum Mill is the world's second most important vegetable. In Mexico, the crop gains economic and social relevance by the generation of foreign exchange and jobs, the production systems of this vegetable have been diversified in order to increase performance, incorporating innovative technologies such as plastic covers, drop irrigation and hydroponics. One of the main factors determining the success of the crop is the substrate, being the medium in which roots were developed which have great influence on the growth and development. In thisstudy, we evaluated during the crop season 2008-2009, the effect of substrate: pine sawdust, compost of sheep manure, agricultural land and red volcanic rock, on growth and yield of tomato. The experimental design used was randomized complete block with four repetitions and ten treatments were evaluated results from a combination of substrates in a volume of 1:1, each experimental unit consisted of four plants, the studied variables were subjected to an analysis of variance (ANOVA using the statistical package Statistical Package for the Social Sciences (SPSS. The genotype used was Sun 7705. Significant differences between substrates, composting with sawdust mixing affected to a greater response for the variables height 4.61 m, 2.1 cm thick of stem, the fruits of greater weight 107.8 g, yield per plant and 4 kg and 25 kg/m-2. However, the number of flowers and clusters was higher in the sawdust substrate, so the composting with sawdust mixture may be a viable option for greenhouse tomato production.

  4. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  5. Plasma deposition of thin film silicon at low substrate temperature and at high growth rate

    NARCIS (Netherlands)

    Verkerk, A.D.|info:eu-repo/dai/nl/304831719

    2009-01-01

    To expand the range of applications for thin film solar cells incorporating hydrogenated amorphous silicon (a-Si:H) and hydrogenated nanocrystalline silicon (nc-Si:H), the growth rate has to be increased 0.5 or less to several nm/s and the substrate temperature should be lowered to around 100 C. In

  6. Growth kinetics, effect of carbon substrate in biosynthesis of mcl-PHA by Pseudomonas putida Bet001.

    Science.gov (United States)

    Gumel, A M; Annuar, M S M; Heidelberg, T

    2014-01-01

    Growth associated biosynthesis of medium chain length poly-3-hydroxyalkanoates (mcl-PHA) in Pseudomonas putida Bet001 isolated from palm oil mill effluent was studied. Models with substrate inhibition terms described well the kinetics of its growth. Selected fatty acids (C8:0 to C18:1) and ammonium were used as carbon and nitrogen sources during growth and PHA biosynthesis, resulting in PHA accumulation of about 50 to 69% (w/w) and PHA yields ranging from 10.12 g L(-1) to 15.45 g L(-1), respectively. The monomer composition of the PHA ranges from C4 to C14, and was strongly influenced by the type of carbon substrate fed. Interestingly, an odd carbon chain length (C7) monomer was also detected when C18:1 was fed. Polymer showed melting temperature (T m) of 42.0 (± 0.2) °C, glass transition temperature (T g) of -1.0 (± 0.2) °C and endothermic melting enthalpy of fusion (ΔHf) of 110.3 (± 0.1) J g(-1). The molecular weight (M w) range of the polymer was relatively narrow between 55 to 77 kDa.

  7. Growth kinetics, effect of carbon substrate in biosynthesis of mcl-PHA by Pseudomonas putida Bet001

    Directory of Open Access Journals (Sweden)

    A.M. Gumel

    2014-06-01

    Full Text Available Growth associated biosynthesis of medium chain length poly-3-hydroxyalkanoates (mcl-PHA in Pseudomonas putida Bet001 isolated from palm oil mill effluent was studied. Models with substrate inhibition terms described well the kinetics of its growth. Selected fatty acids (C8:0 to C18:1 and ammonium were used as carbon and nitrogen sources during growth and PHA biosynthesis, resulting in PHA accumulation of about 50 to 69% (w/w and PHA yields ranging from 10.12 g L-1 to 15.45 g L-1, respectively. The monomer composition of the PHA ranges from C4 to C14, and was strongly influenced by the type of carbon substrate fed. Interestingly, an odd carbon chain length (C7 monomer was also detected when C18:1 was fed. Polymer showed melting temperature (Tm of 42.0 (± 0.2 °C, glass transition temperature (Tg of -1.0 (± 0.2 °C and endothermic melting enthalpy of fusion (ΔHf of 110.3 (± 0.1 J g-1. The molecular weight (Mw range of the polymer was relatively narrow between 55 to 77 kDa.

  8. Investigation of growth, structural and electronic properties of V2O3 thin films on selected substrates

    International Nuclear Information System (INIS)

    Nateprov, Alexei

    2006-08-01

    The present work is devoted to the experimental study of the MI transition in V 2 O 3 thin films, grown on different substrates. The main goal of the work was to develop a technology of growth of V 2 O 3 thin films on substrates with different electrical and structural properties (diamond and LiNbO 3 ), designed for specific applications. The structural and electrical properties of the obtained films were characterized in detail with a special focus on their potential applications. The MIT of V 2 O 3 was investigated by SAW using first directly deposited V 2 O 3 thin film onto a LiNbO 3 substrate. (orig.)

  9. Effects of substrate anisotropy and edge diffusion on submonolayer growth during molecular beam epitaxy: A Kinetic Monte Carlo study

    International Nuclear Information System (INIS)

    Devkota, J.; Shrestha, S.P.

    2007-12-01

    We have performed Kinetic Monte Carlo simulation work to study the effect of diffusion anisotropy, bonding anisotropy and edge diffusion on island formation at different temperatures during the sub-monolayer film growth in Molecular Beam Epitaxy. We use simple cubic solid on solid model and event based Bortz, Kalos and Labowitch (BKL) algorithm on the Kinetic Monte Carlo method to simulate the physical phenomena. We have found that the island morphology and growth exponent are found to be influenced by substrate anisotropy as well as edge diffusion, however they do not play a significant role in island elongation. The growth exponent and island size distribution are observed to be influenced by substrate anisotropy but are negligibly influenced by edge diffusion. We have found fractal islands when edge diffusion is excluded and compact islands when edge diffusion is included. (author)

  10. SEMICONDUCTOR MATERIALS: White light photoluminescence from ZnS films on porous Si substrates

    Science.gov (United States)

    Caifeng, Wang; Qingshan, Li; Bo, Hu; Weibing, Li

    2010-03-01

    ZnS films were deposited on porous Si (PS) substrates using a pulsed laser deposition (PLD) technique. White light emission is observed in photoluminescence (PL) spectra, and the white light is the combination of blue and green emission from ZnS and red emission from PS. The white PL spectra are broad, intense in a visible band ranging from 450 to 700 nm. The effects of the excitation wavelength, growth temperature of ZnS films, PS porosity and annealing temperature on the PL spectra of ZnS/PS were also investigated.

  11. Characterization and growth mechanism of nonpolar and semipolar GaN layers grown on patterned sapphire substrates

    International Nuclear Information System (INIS)

    Okada, Narihito; Tadatomo, Kazuyuki

    2012-01-01

    Nonpolar and semipolar GaN layers with markedly improved crystalline quality can be obtained by selective-area growth from the sapphire sidewalls of patterned sapphire substrates (PSSs). In this paper, we review the crystalline qualities of GaN layers grown on PSSs and their growth mechanism. We grew semipolar {1 1 −2 2} and {1 0 −1 1} GaN layers on r- and n-PSSs. The crystalline qualities of the GaN layers grown on the PSSs were higher than those of GaN layers grown directly on heteroepitaxial substrates. To reveal the growth mechanism of GaN layers grown on PSSs, we also grew various nonpolar and semipolar GaN layers such as m-GaN on a-PSS, {1 1 −2 2} GaN on r-PSS, {1 0 − 1  1} GaN on n-PSS, m-GaN on c-PSS and a-GaN on m-PSS. It was found that the nucleation of GaN on the c-plane-like sapphire sidewall results in selective growth from the sapphire sidewall, and nonpolar or semipolar GaN can be obtained. Finally, we demonstrated a light-emitting diode fabricated on a {1 1 −2 2} GaN layer grown on an r-PSS. (paper)

  12. Atomic control of substrate termination and heteroepitaxial growth of SrTiO sub 3 /LaAlO sub 3 films

    CERN Document Server

    Kim, D W; Choi, C; Lim, K D; Noh, T W; Lee, D R; Park, J H; Lee, K B

    2000-01-01

    The roles of substrate termination in the growth behaviors of SrTiO sub 3 (STO) films were investigated. With heat treatment and an atomic layer deposition technique, LaAlO sub 3 (LAO) substrates with two kinds of terminations, i.e., LaO- and AlO sub 2 -terminated ones, could be prepared. On top of them STO films were grown by using laser molecular beam epitaxy. In the case of the STO/LaO-LAO film, a transition from layer-by-layer growth to island growth was observed after growth of about 10 monolayers (ML). On the other hand, the STO/AlO sub 2 -LAO film could be grown in a layer-by-layer mode with a flat surface up to 40 ML. We suggest that defects induced by charge compensation influence the strain states and the physical properties of oxide heterostructures significantly.

  13. The relative importance of exogenous and substrate-derived nitrogen for microbial growth during leaf decomposition

    Science.gov (United States)

    B.M. Cheever; J. R. Webster; E. E. Bilger; S. A. Thomas

    2013-01-01

    Heterotrophic microbes colonizing detritus obtain nitrogen (N) for growth by assimilating N from their substrate or immobilizing exogenous inorganic N. Microbial use of these two pools has different implications for N cycling and organic matter decomposition in the face of the global increase in biologically available N. We used sugar maple leaves labeled with

  14. Epitaxial growth of fcc-CoxNi100-x thin films on MgO(110) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nukaga, Yuri; Sato, Yoichi; Futamoto, Masaaki; Kirino, Fumiyoshi

    2009-01-01

    Co x Ni 100-x (x=100, 80, 20, 0 at. %) epitaxial thin films were prepared on MgO(110) single-crystal substrates heated at 300 deg. C by ultrahigh vacuum molecular beam epitaxy. The growth mechanism is discussed based on lattice strain and crystallographic defects. CoNi(110) single-crystal films with a fcc structure are obtained for all compositions. Co x Ni 100-x film growth follows the Volmer-Weber mode. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of the Co x Ni 100-x films are in agreement within ±0.5% with the values of the respective bulk Co x Ni 100-x crystals, suggesting that the strain in the film is very small. High-resolution cross-sectional transmission microscopy shows that an atomically sharp boundary is formed between a Co(110) fcc film and a MgO(110) substrate, where periodical misfit dislocations are preferentially introduced in the film at the Co/MgO interface. The presence of such periodical misfit dislocations relieves the strain caused by the lattice mismatch between the film and the substrate.

  15. Effects of water depth and substrate color on the growth and body color of the red sea cucumber, Apostichopus japonicus

    Science.gov (United States)

    Jiang, Senhao; Dong, Shuanglin; Gao, Qinfeng; Ren, Yichao; Wang, Fang

    2015-05-01

    Three color variants of the sea cucumber, Apostichopus japonicus are recognized, the red one is highly valued in the market. When the red variant is cultured in ponds in China, its body color changes from red to celadon in 3-6 months. The effects of water depth and substrate color on the growth and body color of this animal were investigated. Juveniles of red A. japonicus were cultured in cages suspended at a range of water depths (20, 50, 100, 150 and 200 cm). The specific growth rate of red sea cucumbers was significantly higher in animals cultured at deeper water layers compared with those grown at shallowers. Body weights were greatest for sea cucumbers cultured at a depth of 150 cm and their survival rates were highest at a depth of 200 cm. A scale to evaluate the color of red sea cucumbers ( R value) was developed using a Pantone standard color card. All stocked animals in the 9-month trial retained a red color, however the red body color was much more intense in sea cucumbers cultured at shallower depths, while animals suspended in deeper layers became pale. In a separate trial, A. japonicus were cultured in suspended cages with seven different colored substrates. Substrate color had a significant effect on the growth and body-color of red A. japonicus. The yield were greatest for A. japonicus cultured on a yellow substrate, followed by green > white > orange > red > black and blue. All sea cucumbers in the 7-month trial retained a red color, although the red was most intense (highest R value) in animals cultured on a blue substrate and pale (lowest R value) for animals cultured on a green substrate.

  16. Chemometrics approach to substrate development, case: semisyntetic cheese

    DEFF Research Database (Denmark)

    Nielsen, Per Væggemose; Hansen, Birgitte Vedel

    1998-01-01

    from food production facilities.The Chemometrics approach to substrate development is illustrated by the development of a semisyntetic cheese substrate. Growth, colour formation and mycotoxin production of 6 cheese related fungi were studied on 9 types of natural cheeses and 24 synthetic cheese......, the most frequently occurring contaminant on semi-hard cheese. Growth experiments on the substrate were repeatable and reproducible. The substrate was also suitable for the starter P. camemberti. Mineral elements in cheese were shown to have strong effect on growth, mycotoxin production and colour...... formation of fungi. For P. roqueforti, P. discolor, P. verrucosum and Aspergillus versicolor the substrate was less suitable as a model cheese substrate, which indicates great variation in nutritional demands of the fungi. Substrates suitable for studies of specific cheese types was found for P. roqueforti...

  17. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding; Wu, Feng; Altahtamouni, Talal Mohammed Ahmad; Alfaraj, Nasir; Li, Kun; Detchprohm, Theeradetch; Dupuis, Russell; Li, Xiaohang

    2017-01-01

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  18. Structural properties, crystal quality and growth modes of MOCVD-grown AlN with TMAl pretreatment of sapphire substrate

    KAUST Repository

    Sun, Haiding

    2017-08-08

    The growth of high quality AlN epitaxial films relies on precise control of the initial growth stages. In this work, we examined the influence of the trimethylaluminum (TMAl) pretreatment of sapphire substrates on the structural properties, crystal quality and growth modes of heteroepitaxial AlN films on (0001) sapphire substrates. Without the pretreatment, the AlN films nucleated on the smooth surface but exhibited mixed crystallographic Al- (N-) polarity, resulting in rough AlN film surfaces. With increasing the pretreatment time from 1 to 5 s, the N-polarity started to be impeded. However, small islands were formed on sapphire surface due to the decompostion of TMAl. As a result, small voids became noticeable at the nucleation layer (NL) because the growth started as quasi three-dimensional (3D) but transformed to 2D mode as the film grew thicker and got coalesced, leading to smoother and Al-polar films. On the other hand, longer pretreatment time of 40 s formed large 3D islands on sapphire, and thus initiated a 3D-growth mode of the AlN film, generating Al-polar AlN nanocolumns with different facets, which resulted into rougher film surfaces. The epitaxial growth modes and their correlation with the AlN film crystal quality under different TMAl pretreatments are also discussed.

  19. Substrate and metabolite diffusion within model medium for soft cheese in relation to growth of Penicillium camembertii.

    Science.gov (United States)

    Aldarf, Mazen; Fourcade, Florence; Amrane, Abdeltif; Prigent, Yves

    2006-08-01

    Penicillium camembertii was cultivated on a jellified peptone-lactate based medium to simulate the composition of Camembert cheese. Diffusional limitations due to substrate consumption were not involved in the linear growth recorded during culture, while nitrogen (peptone) limitation accounted for growth cessation. Examination of gradients confirmed that medium neutralization was the consequence of lactate consumption and ammonium production. The diffusion of the lactate assimilated from the core to the rind and that of the ammonium produced from the rind to the core was described by means of a diffusion/reaction model involving a partial linking of consumption or production to growth. The model matched experimental data throughout growth.

  20. Growth, microstructure, and field-emission properties of synthesized diamond film on adamantane-coated silicon substrate by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Tiwari, Rajanish N.; Chang Li

    2010-01-01

    Diamond nucleation on unscratched Si surface is great importance for its growth, and detailed understanding of this process is therefore desired for many applications. The pretreatment of the substrate surface may influence the initial growth period. In this study, diamond films have been synthesized on adamantane-coated crystalline silicon {100} substrate by microwave plasma chemical vapor deposition from a gaseous mixture of methane and hydrogen gases without the application of a bias voltage to the substrates. Prior to adamantane coating, the Si substrates were not pretreated such as abraded/scratched. The substrate temperature was ∼530 deg. C during diamond deposition. The deposited films are characterized by scanning electron microscopy, Raman spectrometry, x-ray diffraction, and x-ray photoelectron spectroscopy. These measurements provide definitive evidence for high-crystalline quality diamond film, which is synthesized on a SiC rather than clean Si substrate. Characterization through atomic force microscope allows establishing fine quality criteria of the film according to the grain size of nanodiamond along with SiC. The diamond films exhibit a low-threshold (55 V/μm) and high current-density (1.6 mA/cm 2 ) field-emission (FE) display. The possible mechanism of formation of diamond films and their FE properties have been demonstrated.

  1. Direct growth of CdSe nanorods on ITO substrates by co-anchoring of ZnO nanoparticles and ethylenediamine

    International Nuclear Information System (INIS)

    Pan Shangke; Xu Tingting; Venkatesan, Swaminathan; Qiao Qiquan

    2012-01-01

    To grow CdSe nanorods directly onto indium tin oxide (ITO) substrates, a ZnO buffer layer composed of nanoparticles with diameter of ∼30–40 nm was prepared by spin coating ZnO sol–gel solution onto the ITO substrates. CdSe nanorods were then successfully in situ grown onto ITO substrates with diameter of ∼30–40 nm and length of ∼120–160 nm using solvothermal method in which CdSe·0.5en (en = ethylenediamine) acted as solution precursor. The in situ synthesized CdSe nanorods were conformed and characterized by atomic force microscope and electron microscopy. The mechanism of such in situ CdSe growth was understood as ZnO nanoparticles anchored en onto ITO substrates, while en linked CdSe with ZnO.

  2. Maintenance-energy-dependent dynamics of growth and poly(3-hydroxybutyrate [P(3HB] production by Azohydromonas lata MTCC 2311 using simple and renewable carbon substrates

    Directory of Open Access Journals (Sweden)

    M. Zafar

    2014-06-01

    Full Text Available The dynamics of microbial growth and poly(3-hydroxybutyrate [P(3HB] production in growth/ non-growth phases of Azhohydromonas lata MTCC 2311 were studied using a maintenance-energy-dependent mathematical model. The values of calculated model kinetic parameters were: m s1 = 0.0005 h-1, k = 0.0965, µmax = 0.25 h-1 for glucose; m s1 = 0.003 h-1, k = 0.1229, µmax = 0.27 h-1 for fructose; and m s1 = 0.0076 h-1, k = 0.0694, µmax = 0.25 h-1 for sucrose. The experimental data of biomass growth, substrate consumption, and P(3HB production on different carbon substrates were mathematically fitted using non-linear least square optimization technique and similar trends, but different levels were observed at varying initial carbon substrate concentration. Further, on the basis of substrate assimilation potential, cane molasses was used as an inexpensive and renewable carbon source for P(3HB production. Besides, the physico-chemical, thermal, and material properties of synthesized P(3HB were determined which reveal its suitability in various applications.

  3. Growth and yield performance of Pleurotus ostreatus (Jacq. Fr.) Kumm (oyster mushroom) on different substrates.

    Science.gov (United States)

    Girmay, Zenebe; Gorems, Weldesemayat; Birhanu, Getachew; Zewdie, Solomon

    2016-12-01

    Mushroom cultivation is reported as an economically viable bio-technology process for conversion of various lignocellulosic wastes. Given the lack of technology know-how on the cultivation of mushroom, this study was conducted in Wondo Genet College of Forestry and Natural Resource, with the aim to assess the suitability of selected substrates (agricultural and/or forest wastes) for oyster mushroom cultivation. Accordingly, four substrates (cotton seed, paper waste, wheat straw, and sawdust) were tested for their efficacy in oyster mushroom production. Pure culture of oyster mushroom was obtained from Mycology laboratory, Department of Plant Biology and Biodiversity Management, Addis Ababa University. The pure culture was inoculated on potato dextrose agar for spawn preparation. Then, the spawn containing sorghum was inoculated with the fungal culture for the formation of fruiting bodies on the agricultural wastes. The oyster mushroom cultivation was undertaken under aseptic conditions, and the growth and development of mushroom were monitored daily. Results of the study revealed that oyster mushroom can grow on cotton seed, paper waste, sawdust and wheat straw, with varying growth performances. The highest biological and economic yield, as well as the highest percentage of biological efficiency of oyster mushroom was obtained from cotton seed, while the least was from sawdust. The study recommends cotton seed, followed by paper waste as suitable substrates for the cultivation of oyster mushroom. It also suggests that there is a need for further investigation on various aspects of oyster mushroom cultivation in Ethiopia to promote the industry.

  4. Influence of electron beam irradiation on growth of Phytophthora cinnamomi and its control in substrates

    Science.gov (United States)

    MigdaŁ, Wojciech; Orlikowski, Leszek B.; Ptaszek, Magdalena; Gryczka, Urszula

    2012-08-01

    Very extensive production procedure, especially in plants growing under covering, require methods, which would allow quick elimination or substantial reduction of populations of specific pathogens without affecting the growth and development of the cultivated plants. Among soil-borne pathogens, the Phytophthora species are especially dangerous for horticultural plants. In this study, irradiation with electron beam was applied to control Phytophthora cinnamomi. The influence of irradiation dose on the reduction of in vitro growth and the population density of the pathogen in treated peat and its mixture with composted pine bark (1:1), as well as the health of Chamaecyparis lawsoniana and Lavandula angustifolia plants were evaluated. Application of irradiation at a dose of 1.5 kGy completely inhibited the in vitro development of P. cinnamomi. This irradiation effect was connected with the disintegration of the hyphae and spores of the species. Irradiation of peat and its mixture with composted pine bark with 10 kGy resulted in the inhibition of stem base rot development in Ch. lawsoniana. Symptoms of the disease were not observed when the substrates were treated with 15 kGy. In the case of L. angustifolia, stem root rot was not observed on cuttings transplanted to infected peat irradiated at a dose of 10 kGy. Irradiation of the horticultural substrates did not affect plant growth.

  5. Growth of Ca2MnO4 Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    International Nuclear Information System (INIS)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W.; Grygiel, C.; Rohrer, G. S.; Salvador, P. A.; Velazquez, M.; Kloe, R. de

    2014-01-01

    The local epitaxial growth of pulsed laser deposited Ca 2 MnO 4 films on polycrystalline spark plasma sintered Sr 2 TiO 4 substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca 2 MnO 4 grain had a single OR with the Sr 2 TiO 4 grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001] film ||[100][001] sub . The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides

  6. Growth of higher fungi on wheat straw and their impact on the digestibility of the substrate

    Energy Technology Data Exchange (ETDEWEB)

    Moyson, E.; Verachtert, H. (Catholic Univ. of Leuven (Belgium). Faculty of Agriculture)

    1991-12-01

    The influence of the growth of three higher fungi on the composition of wheat straw was investigated. Pleurotus pulmonarius, P. sajor-caju and Lentinus edodes grew very well on lignocellulosic substrates, breaking down a considerable amount of lignin. The initial lignin concentration of straw was halved after 12 weeks of fungal growth, doubling the enzymic digestibility. Together with lignin, the higher fungi consumed half of the amount of hemicellulose (i.e. 15%), leaving cellulose fairly intact, which should remain as an energy source for ruminants. (orig.).

  7. Nucleation and growth microstructural study of ti films on 304 SS substrates

    Directory of Open Access Journals (Sweden)

    Rogério de Almeida Vieira

    2004-09-01

    Full Text Available Coating of steel surfaces with titanium films has been studied with the objective to protect them against corrosion, and to create an intermediate film for CVD diamond and TiN film deposition. In this work, the nucleation, growth mechanisms and microstructural formation of the titanium films deposited on 304 stainless steel (304 SS substrate are presented and discussed. The titanium films of variable thickness were obtained by vapour phase deposition produced by electron beam. The surfaces of these samples were observed by scanning electron microscopy. The cross sections of these samples were observed by using an atomic force microscope. The Ti film-304 SS interfaces were analyzed by X-ray diffraction. The results showed that titanium films have a columnar growth. The Ti film-304 SS interface had a residual compression stress at room temperature due to the inter-diffusion process.

  8. Growth, Structural and Optical Characterization of ZnO Nanotubes on Disposable-Flexible Paper Substrates by Low-Temperature Chemical Method

    Directory of Open Access Journals (Sweden)

    M. Y. Soomro

    2012-01-01

    Full Text Available We report the synthesis of vertically aligned ZnO nanotubes (NTs on paper substrates by low-temperature hydrothermal method. The growth of ZnO NTs on the paper substrate is discussed; further, the structural and optical properties are investigated by scanning electron microscope (SEM, transmission electron microscopy (TEM, X-ray diffraction (XRD, energy-dispersive X-ray spectroscopy (EDS, and cathodoluminescence (CL, and it was found that the ZnO NTs on paper substrate fulfill the structural and optical properties of ZnO NTs grown on other conventional substrates. This will be more beneficial in future usage of ZnO NTs in different fields and applications. Particularly, this approach opens the ways in research and development for high volume manufacturing of low-cost, flexible optoelectronics devices on disposable paper substrates and can be used in the future miniaturization trends.

  9. The Effect of Nitrogen Form on pH and Petunia Growth in a WholeTree Substrate

    Science.gov (United States)

    The objective of our research was to investigate the effect of nitrogen form and proportion on peat-lite (PL) and WholeTree (WT) substrate pH and petunia growth. Chipped whole pine trees (consisting of needles, limbs, bark, wood and cones) were obtained from a commercial fuel wood chipping operation...

  10. The investigation of alloy formation during InAs nanowires growth on GaAs (111)B substrate

    Energy Technology Data Exchange (ETDEWEB)

    Saqib, Muhammad; Biermanns, Andreas; Davydok, Anton; Pietsch, Ullrich [Festkoerperphysik, Universitaet Siegen, Walter-Flex-Str. 3, Siegen 57072 (Germany); Rieger, Torsten; Grap, Thomas; Lepsa, Mihail [Peter Gruenberg Institute (PGI-9), Forschungzentrum Juelich, Juelich 52425 (Germany)

    2013-07-01

    A possible way to obtain nanowires is the growth in molecular beam epitaxy (MBE) on the (111) oriented surface of the desired substrate, covered by a thin oxide layer. A crucial parameter in this method is the initial thickness of the oxide layer, often determined by an etching procedure. In this contribution, we report on the structural investigation of two different series (etched and unetched) of NWs samples. Vertically aligned InAs nanowires (NWs) doped with Si were self-assisted grown by molecular beam epitaxy on GaAs [111]B substrates covered with a thin SiO{sub x} layer. Using a combination of symmetric and asymmetric X-ray diffraction we study the influence of Si supply on the growth process and nanostructure formation. We find that the number of parasitic crystallites grown between the NWs increases with increasing Si flux. In addition, we observe the formation of a Ga{sub 0.2}In{sub 0.8}As alloy if the growth is performed on samples covered by a defective (etched) oxide layer. This alloy formation is observed within the crystallites and not within the nanowires. The Gallium concentration is determined from the lattice mismatch of the crystallites relative to the InAs nanowires. No alloy formation is found for samples with faultless oxide layers.

  11. In silico carbon molecular beam epitaxial growth of graphene on the h-BN substrate: carbon source effect on van der Waals epitaxy

    Science.gov (United States)

    Lee, Jonghoon; Varshney, Vikas; Park, Jeongho; Farmer, Barry L.; Roy, Ajit K.

    2016-05-01

    Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon molecular beam epitaxy (CMBE) techniques using solid carbon sublimation have reported relatively poor quality of the graphene. In this article, the CMBE growth of graphene on the h-BN substrate is numerically studied in order to identify the effect of the carbon source on the quality of the graphene film. The carbon molecular beam generated by the sublimation of solid carbon source materials such as graphite and glassy carbon is mostly composed of atomic carbon, carbon dimers and carbon trimers. Therefore, the graphene film growth becomes a complex process involving various deposition characteristics of a multitude of carbon entities. Based on the study of surface adsorption and film growth characteristics of these three major carbon entities comprising graphite vapour, we report that carbon trimers convey strong traits of vdW epitaxy prone to high quality graphene growth, while atomic carbon deposition is a surface-reaction limited process accompanied by strong chemisorption. The vdW epitaxial behaviour of carbon trimers is found to be substantial enough to nucleate and develop into graphene like planar films within a nanosecond of high flux growth simulation, while reactive atomic carbons tend to impair the structural integrity of the crystalline h-BN substrate upon deposition to form an amorphous interface between the substrate and the growing carbon film. The content of reactive atomic carbons in the molecular beam is suspected to be the primary cause of low quality graphene reported in the literature. A possible optimization of the molecular beam composition towards the synthesis of better quality graphene films is suggested.Against the presumption that hexagonal boron-nitride (h-BN) should provide an ideal substrate for van der Waals (vdW) epitaxy to grow high quality graphene films, carbon

  12. Gold nanoparticle growth control - Implementing novel wet chemistry method on silicon substrate

    KAUST Repository

    Al-Ameer, Ammar

    2013-04-01

    Controlling particle size, shape, nucleation, and self-assembly on surfaces are some of the main challenges facing electronic device fabrication. In this work, growth of gold nanoparticles over a wide range of sizes was investigated by using a novel wet chemical method, where potassium iodide is used as the reducing solution and gold chloride as the metal precursor, on silicon substrates. Four parameters were studied: soaking time, solution temperature, concentration of the solution of gold chloride, and surface pre-treatment of the substrate. Synthesized nanoparticles were then characterized using scanning electron microscopy (SEM). The precise control of the location and order of the grown gold overlayer was achieved by using focused ion beam (FIB) patterning of a silicon surface, pre-treated with potassium iodide. By varying the soaking time and temperature, different particle sizes and shapes were obtained. Flat geometrical shapes and spherical shapes were observed. We believe, that the method described in this work is potentially a straightforward and efficient way to fabricate gold contacts for microelectronics. © 2013 IEEE.

  13. Multiple growths of epitaxial lift-off solar cells from a single InP substrate

    International Nuclear Information System (INIS)

    Lee, Kyusang; Shiu, Kuen-Ting; Zimmerman, Jeramy D.; Forrest, Stephen R.; Renshaw, Christopher K.

    2010-01-01

    We demonstrate multiple growths of flexible, thin-film indium tin oxide-InP Schottky-barrier solar cells on a single InP wafer via epitaxial lift-off (ELO). Layers that protect the InP parent wafer surface during the ELO process are subsequently removed by selective wet-chemical etching, with the active solar cell layers transferred to a thin, flexible plastic host substrate by cold welding at room temperature. The first- and second-growth solar cells exhibit no performance degradation under simulated Atmospheric Mass 1.5 Global (AM 1.5G) illumination, and have a power conversion efficiency of η p =14.4±0.4% and η p =14.8±0.2%, respectively. The current-voltage characteristics for the solar cells and atomic force microscope images of the substrate indicate that the parent wafer is undamaged, and is suitable for reuse after ELO and the protection-layer removal processes. X-ray photoelectron spectroscopy, reflection high-energy electron diffraction observation, and three-dimensional surface profiling show a surface that is comparable or improved to the original epiready wafer following ELO. Wafer reuse over multiple cycles suggests that high-efficiency; single-crystal thin-film solar cells may provide a practical path to low-cost solar-to-electrical energy conversion.

  14. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates

    International Nuclear Information System (INIS)

    Teng, F-Y; Ting, J-M; Sharma, Sahendra P; Liao, Kun-Hou

    2008-01-01

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs

  15. Growth of CNTs on Fe-Si catalyst prepared on Si and Al coated Si substrates.

    Science.gov (United States)

    Teng, F-Y; Ting, Jyh-Ming; Sharma, Sahendra P; Liao, Kun-Hou

    2008-03-05

    In this paper we report the effect of Al interlayers on the growth characteristics of carbon nanotubes (CNTs) using as-deposited and plasma etched Fe-Si catalyst films as the catalysts. Al interlayers having various thicknesses ranging from 2 to 42 nm were deposited on Si substrates prior to the deposition of Fe-Si catalysts. It was found that the Al interlayer diffuses into the Fe-Si catalyst during the plasma etching prior to the CNT growth, leading to the swelling and amorphization of the catalyst. This allows enhanced carbon diffusion in the catalyst and therefore a faster growth rate of the resulting CNTs. It was also found that use of an Al interlayer having a thickness of ∼3 ± 1 nm is most effective. Due to the effectiveness of this, the normally required catalyst etching is no longer needed for the growth of CNTs.

  16. AlGaInAs narrow stripe selective growth on substrates patterned with different mask designs

    International Nuclear Information System (INIS)

    Feng, W; Pan, J Q; Yang, H; Hou, L P; Zhou, F; Zhao, L J; Zhu, H L; Wang, W

    2006-01-01

    We have performed a narrow stripe selective growth of oxide-free AlGaInAs waveguides on InP substrates patterned with pairs of SiO 2 mask stripes under optimized growth conditions. The mask stripe width varied from 0 to 40 μm, while the window region width between a pair of mask stripes was fixed at 1.5, 2.5 or 3.5 μm. Flat and smooth AlGaInAs waveguides covered by specific InP layers are successfully grown on substrates patterned with different mask designs. The thickness enhancement ratio and the photoluminescence (PL) spectrum of the AlGaInAs narrow stripe waveguides are strongly dependent on the mask stripe width and the window region width. In particular, a large PL wavelength shift of 79 nm and a PL FWHM of less than 64 meV are obtained simultaneously with a small mask stripe width varying from 0 to 40 μm when the window region width is 1.5 μm. We present some possible interpretations of the experimental observations in considering both the migration effect from a masked region and the lateral vapour diffusion effect

  17. Super high-power AlGaInN-based laser diodes with a single broad-area stripe emitter fabricated on a GaN substrate

    Energy Technology Data Exchange (ETDEWEB)

    Goto, Shu; Ohta, Makoto; Yabuki, Yoshifumi; Hoshina, Yukio; Hashizu, Toshihiro; Ikeda, Masao [Development Center, Sony Shiroishi Semiconductor, Inc., 3-53-2 Shiratori, Shiroishi, Miyagi, 989-0734 (Japan); Naganuma, Kaori; Tamamura, Koshi [Core Technology Development Group, Micro Systems Network Company, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi Kanagawa, 243-0041 (Japan)

    2003-11-01

    AlGaInN-based blue-violet laser diodes with a single broad-area stripe emitter were successfully fabricated on GaN substrates. Three stripe widths were examined; 10, 50, and 100 {mu}m, and the maximum light output power of 0.94 W under cw operation at 20 C was achieved for the sample with a stripe width of 10 {mu}m. A super high-power laser diode array was fabricated using 11 of these high-performance laser chips, with a resultant output power of 6.1 W under cw operation at 20 C. This result represents the highest reported output power for blue-violet laser diodes. (copyright 2003 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Influence of electron beam irradiation on growth of Phytophthora cinnamomi and its control in substrates

    International Nuclear Information System (INIS)

    MigdaŁ, Wojciech; Orlikowski, Leszek B.; Ptaszek, Magdalena; Gryczka, Urszula

    2012-01-01

    Very extensive production procedure, especially in plants growing under covering, require methods, which would allow quick elimination or substantial reduction of populations of specific pathogens without affecting the growth and development of the cultivated plants. Among soil-borne pathogens, the Phytophthora species are especially dangerous for horticultural plants. In this study, irradiation with electron beam was applied to control Phytophthora cinnamomi. The influence of irradiation dose on the reduction of in vitro growth and the population density of the pathogen in treated peat and its mixture with composted pine bark (1:1), as well as the health of Chamaecyparis lawsoniana and Lavandula angustifolia plants were evaluated. Application of irradiation at a dose of 1.5 kGy completely inhibited the in vitro development of P. cinnamomi. This irradiation effect was connected with the disintegration of the hyphae and spores of the species. Irradiation of peat and its mixture with composted pine bark with 10 kGy resulted in the inhibition of stem base rot development in Ch. lawsoniana. Symptoms of the disease were not observed when the substrates were treated with 15 kGy. In the case of L. angustifolia, stem root rot was not observed on cuttings transplanted to infected peat irradiated at a dose of 10 kGy. Irradiation of the horticultural substrates did not affect plant growth. - Highlights: ► Electron beam irradiation is effective against soil-borne pathogens. ► Application of irradiation at dose 1.5 kGy completely inhibited in vitro development of Phytophthora cinnamomi. ► Irradiation of horticultural substrata did not influence the growth of plants.

  19. Effects of growth duration on the structural and optical properties of ZnO nanorods grown on seed-layer ZnO/polyethylene terephthalate substrates

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Y.I.; Shin, C.M.; Heo, J.H. [Department of Nano Systems Engineering, Center for Nano Manufacturing Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Ryu, H., E-mail: hhryu@inje.ac.kr [Department of Nano Systems Engineering, Center for Nano Manufacturing Inje University, Gimhae, Gyeongnam 621-749 (Korea, Republic of); Lee, W.J. [Department of Nano Engineering, Dong-Eui University, Busan 614-714 (Korea, Republic of); Chang, J.H. [Major of Nano Semiconductor, Korea Maritime University, Busan 606-791 (Korea, Republic of); Son, C.S. [Department of Electronic Materials Engineering, Silla University, Busan 617-736 (Korea, Republic of); Yun, J. [Department of Nano Science and Engineering, Institute of Advanced Materials Kyungnam University, Changwon, Gyeongnam 631-701 (Korea, Republic of)

    2011-10-01

    Well-aligned single crystalline zinc oxide (ZnO) nanorods were successfully grown, by hydrothermal synthesis at a low temperature, on flexible polyethylene terephthalate (PET) substrates with a seed layer. Photoluminescence (PL), field-emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) measurements were used to analyze the optical and structural properties of ZnO nanorods grown for various durations from 0.5 h to 10 h. Regular and well-aligned ZnO nanorods with diameters ranging from 62 nm to 127 nm and lengths from 0.3 {mu}m to 1.65 {mu}m were formed after almost 5 h of growth. The growth rate of ZnO grown on PET substrates is lower than that grown on Si (1 0 0) substrates. Enlarged TEM images show that the tips of the ZnO nanorods grown for 6 h have a round shape, whereas the tips grown for 10 h are sharpened. The crystal properties of ZnO nanorods can be tuned by using the growth duration as a growth condition. The XRD and PL results indicate that the structural and optical properties of the ZnO nanorods are most improved after 5 h and 6 h of growth, respectively.

  20. Temperature effect on the growth of Au-free InAs and InAs/GaSb heterostructure nanowires on Si substrate by MOCVD

    Science.gov (United States)

    Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi

    2018-05-01

    We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.

  1. The growth and characterization of well aligned RuO2 nanorods on sapphire substrates

    International Nuclear Information System (INIS)

    Chen, C C; Chen, R S; Tsai, T Y; Huang, Y S; Tsai, D S; Tiong, K K

    2004-01-01

    Self-assembled and well aligned RuO 2 nanorods (NRs) have been grown on sapphire (SA) substrates via metal-organic chemical vapour deposition (MOCVD), using bis(ethylcyclopentadienyl)ruthenium as the source reagent. The surface morphology, structural, and spectroscopic properties of the as-deposited NRs were characterized using field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), selected-area electron diffractometry (SAD), x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), and micro-Raman spectroscopy. FESEM micrographs reveal that vertically aligned nanorods (NRs) were grown on SA(100), while the NRs on the SA(012) were grown with a tilt angle of ∼ 35 degrees from the normal to the substrates. TEM and SAD measurements showed that the RuO 2 NRs with square cross-section have the long axis directed along the [001] direction. The XRD results indicate that the RuO 2 NRs are (002) oriented on SA(100) and (101) oriented on SA(012) substrates. A strong substrate effect on the alignment of the RuO 2 NRs growth has been demonstrated and the probable mechanism for the formation of these NRs has been discussed. XP spectra show the coexistence of higher oxidation state of ruthenium in the as-grown RuO 2 NRs. Micro-Raman spectra show the red-shift and peak broadening of the RuO 2 signatures with respect to that of the bulk counterpart which may be indicative of a phonon confinement effect for these NRs

  2. Influence of periphyton substrates and rearing density on Liza aurata growth and production in marine nursery ponds

    NARCIS (Netherlands)

    Richard, M.; Maurice, J.T.; Anginot, A.; Paticat, F.; Verdegem, M.C.J.; Hussenot, J.M.E.

    2010-01-01

    The main objectives of this investigation were to test the effects of (i) the presence of periphyton substrates, (ii) rearing density and (iii) supplemental feeding with dry feed on the growth and production of golden mullet (Liza aurata) juveniles. Twenty-six 1 m2-cages were installed in a French

  3. Physiological minimum temperatures for root growth in seven common European broad-leaved tree species.

    Science.gov (United States)

    Schenker, Gabriela; Lenz, Armando; Körner, Christian; Hoch, Günter

    2014-03-01

    Temperature is the most important factor driving the cold edge distribution limit of temperate trees. Here, we identified the minimum temperatures for root growth in seven broad-leaved tree species, compared them with the species' natural elevational limits and identified morphological changes in roots produced near their physiological cold limit. Seedlings were exposed to a vertical soil-temperature gradient from 20 to 2 °C along the rooting zone for 18 weeks. In all species, the bulk of roots was produced at temperatures above 5 °C. However, the absolute minimum temperatures for root growth differed among species between 2.3 and 4.2 °C, with those species that reach their natural distribution limits at higher elevations also tending to have lower thermal limits for root tissue formation. In all investigated species, the roots produced at temperatures close to the thermal limit were pale, thick, unbranched and of reduced mechanical strength. Across species, the specific root length (m g(-1) root) was reduced by, on average, 60% at temperatures below 7 °C. A significant correlation of minimum temperatures for root growth with the natural high elevation limits of the investigated species indicates species-specific thermal requirements for basic physiological processes. Although these limits are not necessarily directly causative for the upper distribution limit of a species, they seem to belong to a syndrome of adaptive processes for life at low temperatures. The anatomical changes at the cold limit likely hint at the mechanisms impeding meristematic activity at low temperatures.

  4. Substrates of Mauritia flexuosa and wastewater from pig farming on growth and quality in seedlings of Acacia mangium

    Directory of Open Access Journals (Sweden)

    Emanuel França Araújo

    Full Text Available ABSTRACT Sustainable alternatives should be adopted to minimise the negative environmental impacts of agricultural activities. The use of wastewater as well as organic waste, from agricultural activities or found naturally, such as the decomposed stems of the Buriti palm (Mauritia flexuosa, can be a sustainable alternative in the production of seedlings for the reforestation of areas in the process of degradation or desertification, common in the State of Piauí, Brazil. The aim of this study was to evaluate growth and quality in seedlings of Acacia mangium Willd grown in substrates with different proportions of decomposed stems of Mauritia flexuosa (DSB, and irrigated with wastewater from pig farming (WPF. The experimental design was completely randomised, arranged in a 5 x 2 factorial scheme, corresponding to five proportions of DSB and soil (v/v,% - 0:100, 20:80, 40:60, 60:40, 80:20, and two sources of irrigation water (well water and WPF, with four replications. At 100 days after sowing (DAS, the seedlings were collected to measure the variables related to growth, quality and nodulation. Height, root collar diameter, shoot dry weight, leaf area and nitrogen accumulation in the shoots were significantly influenced (p≤0.05 by the interaction between substrate and source of irrigation water. The WPF had no significant influence on the growth or quality of the Acacia mangium Willd seedlings. The best ratio between DSB substrate and soil was 46:54, considered the most suitable for seedling production in Acacia mangium Willd.

  5. Microbial uptake of radiolabeled substrates: estimates of growth rates from time course measurements

    International Nuclear Information System (INIS)

    Li, W.K.W.

    1984-01-01

    The uptake of [ 3 H]glucose and a mixture of 3 H-labeled amino acids was measured, in time course fashion, in planktonic microbial assemblages of the eastern tropical Pacific Ocean. The average generation times of those portions of the assemblages able to utilize these substrates were estimated from a simple exponential growth model. Other workers have independently used this model in its integrated or differential form. A mathematical verification and an experimental demonstration of the equivalence of the two approaches are presented. A study was made of the size distribution of heterotrophic activity, using time course measurements. It was found that the size distribution and the effect of sample filtration before radiolabeling were dependent on time of incubation. In principle, it was possible to ascribe these time dependences to differences in th specific growth rate and initial standing stock of the microbial assemblages. 33 references

  6. Real-time optical modelling and investigation of inorganic nano-layer growth onto flexible polymeric substrates

    International Nuclear Information System (INIS)

    Laskarakis, A.; Georgiou, D.; Logothetidis, S.

    2010-01-01

    A major factor for the achievement of the desirable performance, efficiency and lifetime of flexible organic electronic devices is the optimization of the encapsulation layers that protect the device active layers by atmospheric gas molecule permeation. The active layers consisted of small molecule and/or polymer organic semiconductors as well as the organic conductors need to be encapsulated into a transparent medium that will provide the necessary protection and maintain their charge generation and transport characteristics. The encapsulation layers are generally consisted of inorganic thin films (silicon oxide-SiO x and aluminium oxide-AlO x ) deposited onto the polymeric substrates, such as PolyEthylene Terephthalate (PET). In this work, in situ and real-time Spectroscopic Ellipsometry in the ultraviolet spectral region has been implemented in order to investigate the growth of inorganic SiO x and AlO x nano-layers onto PET flexible polymeric substrates as well as the PET/inorganic interface effects during the early stages of growth. The analysis of the pseudodielectric function that was measured in real-time in very short time scales (in the order of hundreds of ms) has provided detailed information on the time evolution of the thickness and deposition rate of the inorganic nano-layers during their growth process as well as on their optical and electronic properties. This work proposes a methodology for using real-time optical monitoring technique with the aim to tailor and control the functionality of these materials for application in flexible electronic devices.

  7. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  8. Molecular Cloning and Characterization of a Broad Substrate Terpenoid Oxidoreductase from Artemisia annua

    NARCIS (Netherlands)

    Ryden, Anna-Margareta; Ruyter-Spira, Carolien; Litjens, Ralph; Takahashi, Shunji; Quax, Wim; Osada, Hiroyuki; Bouwmeester, Harro; Kayser, Oliver

    From Artemisia annua L., a new oxidoreductase (Red 1) was cloned, sequenced and functionally characterized. Through bioinformatics, heterologous protein expression and enzyme substrate conversion assays, the elucidation of the enzymatic capacities of Red1 was achieved. Red1 acts on monoterpenoids,

  9. Molecular cloning and characterization of a broad substrate terpenoid oxidoreductase from Artemisia annua.

    NARCIS (Netherlands)

    Ryden, A.M.; Ruyter-Spira, C.P.; Litjens, R.; Takahashi, S.; Quax, W.J.; Osada, H.; Bouwmeester, H.J.; Kayser, O.

    2010-01-01

    From Artemisia annua L., a new oxidoreductase (Red 1) was cloned, sequenced and functionally characterized. Through bioinformatics, heterologous protein expression, and enzyme substrate conversion assays, the elucidation of the enzymatic capacities of Red1 was achieved. Red1 acts on monoterpenoids,

  10. Molecular-beam epitaxial growth of insulating AlN on surface-controlled 6H-SiC substrate by HCl gas etching

    International Nuclear Information System (INIS)

    Onojima, Norio; Suda, Jun; Matsunami, Hiroyuki

    2002-01-01

    Insulating AlN layers were grown on surface-controlled 6H-SiC subtrates by molecular-beam epitaxy (MBE) using elemental Al and rf plasma-excited nitrogen (N*). HCl gas etching was introduced as an effective pretreatment method of substrate for MBE growth of AlN. 6H-SiC substrates pretreated by HCl gas etching had no surface polishing scratches and an atomically flat surface. In addition, evident ( 3 √x 3 √)R30 deg. surface reconstruction was observed even before thermal cleaning. AlN layers grown on this substrate had no defects related to surface polishing scratches and excellent insulating characteristics

  11. Growth-substrate induced performance degradation in chemically synthesized monolayer MoS{sub 2} field effect transistors

    Energy Technology Data Exchange (ETDEWEB)

    Amani, Matin; Chin, Matthew L.; Mazzoni, Alexander L.; Burke, Robert A.; Dubey, Madan, E-mail: madan.dubey.civ@mail.mil [Sensors and Electron Devices Directorate, US Army Research Laboratory, Adelphi, Maryland 20723 (United States); Najmaei, Sina; Ajayan, Pulickel M.; Lou, Jun [Department of Materials Science and Nanoengineering, Rice University, Houston, Texas 77005 (United States)

    2014-05-19

    We report on the electronic transport properties of single-layer thick chemical vapor deposition (CVD) grown molybdenum disulfide (MoS{sub 2}) field-effect transistors (FETs) on Si/SiO{sub 2} substrates. MoS{sub 2} has been extensively investigated for the past two years as a potential semiconductor analogue to graphene. To date, MoS{sub 2} samples prepared via mechanical exfoliation have demonstrated field-effect mobility values which are significantly higher than that of CVD-grown MoS{sub 2}. In this study, we will show that the intrinsic electronic performance of CVD-grown MoS{sub 2} is equal or superior to that of exfoliated material and has been possibly masked by a combination of interfacial contamination on the growth substrate and residual tensile strain resulting from the high-temperature growth process. We are able to quantify this strain in the as-grown material using pre- and post-transfer metrology and microscopy of the same crystals. Moreover, temperature-dependent electrical measurements made on as-grown and transferred MoS{sub 2} devices following an identical fabrication process demonstrate the improvement in field-effect mobility.

  12. Buffer free MOCVD growth of GaN on 4H-SiC: Effect of substrate treatments and UV-photoirradiation

    Energy Technology Data Exchange (ETDEWEB)

    Losurdo, Maria; Giangregorio, Maria M.; Bruno, Giovanni [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR and INSTM UdR Bari, via Orabona, 4, 70126 Bari (Italy); Kim, Tong-Ho; Choi, Soojeong; Brown, April [Department of Electrical and Computer Engineering, Duke University, Durham, NC 27709 (United States)

    2006-05-15

    GaN has been grown directly on the Si-face 4H-SiC(0001) substrates using remote plasma-assisted metalorganic chemical vapour deposition (RP-MOCVD) with UV-light irradiation. The effects of substrate pre-treatments and UV-photoirradiation of the growth surface on GaN nucleation and film morphology are investigated. Optical data from spectroscopic ellipsometry measurements and morphological data show an improvement in nucleation and material quality with UV-light irradiation. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  13. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  14. Molecular beam epitaxy of quasi-freestanding transition metal disulphide monolayers on van der Waals substrates: a growth study

    Science.gov (United States)

    Hall, Joshua; Pielić, Borna; Murray, Clifford; Jolie, Wouter; Wekking, Tobias; Busse, Carsten; Kralj, Marko; Michely, Thomas

    2018-04-01

    Based on an ultra-high vacuum compatible two-step molecular beam epitaxy synthesis with elemental sulphur, we grow clean, well-oriented, and almost defect-free monolayer islands and layers of the transition metal disulphides MoS2, TaS2 and WS2. Using scanning tunneling microscopy and low energy electron diffraction we investigate systematically how to optimise the growth process, and provide insight into the growth and annealing mechanisms. A large band gap of 2.55 eV and the ability to move flakes with the scanning tunneling microscope tip both document the weak interaction of MoS2 with its substrate consisting of graphene grown on Ir(1 1 1). As the method works for the synthesis of a variety of transition metal disulphides on different substrates, we speculate that it could be of great use for providing hitherto unattainable high quality monolayers of transition metal disulphides for fundamental spectroscopic investigations.

  15. Effect of Substrate Morphology on Growth and Field Emission Properties of Carbon Nanotube Films

    Directory of Open Access Journals (Sweden)

    Kumar Vikram

    2008-01-01

    Full Text Available AbstractCarbon nanotube (CNT films were grown by microwave plasma-enhanced chemical vapor deposition process on four types of Si substrates: (i mirror polished, (ii catalyst patterned, (iii mechanically polished having pits of varying size and shape, and (iv electrochemically etched. Iron thin film was used as catalytic material and acetylene and ammonia as the precursors. Morphological and structural characteristics of the films were investigated by scanning and transmission electron microscopes, respectively. CNT films of different morphology such as vertically aligned, randomly oriented flowers, or honey-comb like, depending on the morphology of the Si substrates, were obtained. CNTs had sharp tip and bamboo-like internal structure irrespective of growth morphology of the films. Comparative field emission measurements showed that patterned CNT films and that with randomly oriented morphology had superior emission characteristics with threshold field as low as ~2.0 V/μm. The defective (bamboo-structure structures of CNTs have been suggested for the enhanced emission performance of randomly oriented nanotube samples.

  16. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    Science.gov (United States)

    Huan, Qing; Hu, Hao; Pan, Li-Da; Xiao, Jiang; Du, Shi-Xuan; Gao, Hong-Jun

    2010-08-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule-molecule interaction. Finally, a phenomenal “two-branch" model is proposed to simulate the growth process of the seahorse pattern.

  17. Atomic force microscopy investigation of growth process of organic TCNQ aggregates on SiO2 and mica substrates

    International Nuclear Information System (INIS)

    Qing, Huan; Hao, Hu; Li-Da, Pan; Jiang, Xiao; Shi-Xuan, Du; Hong-Jun, Gao

    2010-01-01

    Deposition patterns of tetracyanoquinodimethane (TCNQ) molecules on different surfaces are investigated by atomic force microscopy. A homemade physical vapour deposition system allows the better control of molecule deposition. Taking advantage of this system, we investigate TCNQ thin film growth on both SiO 2 and mica surfaces. It is found that dense island patterns form at a high deposition rate, and a unique seahorse-like pattern forms at a low deposition rate. Growth patterns on different substrates suggest that the fractal pattern formation is dominated by molecule–molecule interaction. Finally, a phenomenal “two-branch” model is proposed to simulate the growth process of the seahorse pattern. (general)

  18. Investigation of growth, structural and electronic properties of V{sub 2}O{sub 3} thin films on selected substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nateprov, Alexei

    2006-08-15

    The present work is devoted to the experimental study of the MI transition in V{sub 2}O{sub 3} thin films, grown on different substrates. The main goal of the work was to develop a technology of growth of V{sub 2}O{sub 3} thin films on substrates with different electrical and structural properties (diamond and LiNbO{sub 3}), designed for specific applications. The structural and electrical properties of the obtained films were characterized in detail with a special focus on their potential applications. The MIT of V{sub 2}O{sub 3} was investigated by SAW using first directly deposited V{sub 2}O{sub 3} thin film onto a LiNbO{sub 3} substrate. (orig.)

  19. Study on ECR dry etching and selective MBE growth of AlGaN/GaN for fabrication of quantum nanostructures on GaN (0001) substrates

    International Nuclear Information System (INIS)

    Oikawa, Takeshi; Ishikawa, Fumitaro; Sato, Taketomo; Hashizume, Tamotsu; Hasegawa, Hideki

    2005-01-01

    This paper attempts to form AlGaN/GaN quantum wire (QWR) network structures on patterned GaN (0001) substrates by selective molecular beam epitaxy (MBE) growth. Substrate patterns were prepared along - and -directions by electron cyclotron resonance assisted reactive-ion beam etching (ECR-RIBE) process. Selective growth was possible for both directions in the case of GaN growth, but only in the -direction in the case of AlGaN growth. A hexagonal QWR network was successfully grown on a hexagonal mesa pattern by combining the -direction and two other equivalent directions. AFM observation confirmed excellent surface morphology of the grown network. A clear cathodoluminescence (CL) peak coming from the embedded AlGaN/GaN QWR structure was clearly identified

  20. Growth of InAs Quantum Dots on Germanium Substrate Using Metal Organic Chemical Vapor Deposition Technique

    Directory of Open Access Journals (Sweden)

    Tyagi Renu

    2009-01-01

    Full Text Available Abstract Self-assembled InAs quantum dots (QDs were grown on germanium substrates by metal organic chemical vapor deposition technique. Effects of growth temperature and InAs coverage on the size, density, and height of quantum dots were investigated. Growth temperature was varied from 400 to 450 °C and InAs coverage was varied between 1.40 and 2.35 monolayers (MLs. The surface morphology and structural characteristics of the quantum dots analyzed by atomic force microscope revealed that the density of the InAs quantum dots first increased and then decreased with the amount of InAs coverage; whereas density decreased with increase in growth temperature. It was observed that the size and height of InAs quantum dots increased with increase in both temperature and InAs coverage. The density of QDs was effectively controlled by growth temperature and InAs coverage on GaAs buffer layer.

  1. MBE growth and characterization of GaAs1-x Sb x epitaxial layers on Si (0 0 1) substrates

    International Nuclear Information System (INIS)

    Toda, T.; Nishino, F.; Kato, A.; Kambayashi, T.; Jinbo, Y.; Uchitomi, N.

    2006-01-01

    We investigated the growth of GaAs 1- x Sb x (x=1.0, 0.82, 0.69, 0.44, 0.0) layers on Si (0 0 1) substrates using AlSb as a buffer layer. Epilayers were grown as a function of As beam equivalent pressure (BEP) under a constant Sb BEP, and they were then characterized by atomic force microscopy (AFM), X-ray diffraction (XRD), and micro-Raman scattering analysis. We confirmed that GaAs 1- x Sb x layers have been successfully grown on Si substrates by introducing AlSb layers

  2. Substrate and growth related microstructural and magnetic properties in La{sub 0.67}Sr{sub 0.33}MnO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Hawley, M.E.; Brown, G.W.; Kwon, C.; Jia, Q.

    1998-12-31

    Ambient observation of magnetic domain structures by magnetic force microscopy (MFM) in La{sub 0.67}Sr{sub 0.33}MnO{sub 3} films has not yet been clearly correlated with stresses induced by kinetic or thermodynamic growth processes or the compressive (LaAlO{sub 3}) or tensile (SrTiO{sub 3}) nature of the film-substrate lattice mismatch. Although domain-like magnetic structures have been seen in some as-grown films and related to substrate-induced stress and film thickness, no magnetic structure has been seen for other films grown under similar conditions on the same pair of substrates. In this study the authors have grown films over a range of temperatures by pulsed-laser deposition, using the above substrates, to determine the relationship between growth and stress-induced magnetic structures. Results from scanning tunneling, atomic force, and magnetic force microscopies, measurements of temperature-dependent magnetization and structure-dependent coercivity show the relationship between growth and magnetic properties. Maze-like domain structures, with separations between 150 nm and 200 nm, were only observed for the thicker films grown at the highest temperature, 800 C. Application of an in-plane magnetic field converted these domain structures to stripe-like domains whose spacing and out of plane component decreased as the field was increased.

  3. Growth and characterization of straight InAs/GaAs nanowire heterostructures on Si substrate

    International Nuclear Information System (INIS)

    Yan Xin; Zhang Xia; Li Jun-Shuai; Lü Xiao-Long; Ren Xiao-Min; Huang Yong-Qing

    2013-01-01

    Vertical InAs/GaAs nanowire (NW) heterostructures with a straight InAs segment have been successfully fabricated on Si (111) substrate by using AlGaAs/GaAs buffer layers coupled with a composition grading InGaAs segment. Both the GaAs and InAs segments are not limited by the misfit strain induced critical diameter. The low growth rate of InAs NWs is attributed to the AlGaAs/GaAs buffer layers which dramatically decrease the adatom diffusion contribution to the InAs NW growth. The crystal structure of InAs NW can be tuned from zincblende to wurtzite by controlling its diameter as well as the length of GaAs NWs. This work helps to open up a road for the integration of high-quality III-V NW heterostructures with Si

  4. Growth and characterization of InAs columnar quantum dots on GaAs substrate

    International Nuclear Information System (INIS)

    Li, L. H.; Patriarche, G.; Rossetti, M.; Fiore, A.

    2007-01-01

    The growth of InAs columnar quantum dots (CQDs) on GaAs substrates by molecular beam epitaxy was investigated. The CQDs were formed by depositing a 1.8 monolayer (ML) InAs seed dot layer and a short period GaAs/InAs superlattice (SL). It was found that the growth of the CQDs is very sensitive to growth interruption (GI) and growth temperature. Both longer GI and higher growth temperature impact the size dispersion of the CQDs, which causes the broadening of photoluminescence (PL) spectrum and the presence of the additional PL peak tails. By properly choosing the GI and the growth temperature, CQDs including GaAs (3 ML)/InAs (0.62 ML) SL with period number up to 35 without plastic relaxation were grown. The corresponding equivalent thickness of the SL is 41 nm which is two times higher than the theoretical critical thickness of the strained InGaAs layer with the same average In composition of 16%. The increase of the critical thickness is partially associated with the formation of the CQDs. Based on a five-stack CQD active region, laser diodes emitting around 1120 nm at room temperature were demonstrated, indicating a high material quality. CQDs with nearly isotropic cross section (20 nmx20 nm dimensions) were formed by depositing a 16-period GaAs (3 ML)/InAs (0.62 ML) SL on an InAs seed dot layer, indicating the feasibility of artificial shape engineering of QDs. Such a structure is expected to be very promising for polarization insensitive device applications, such as semiconductor optical amplifiers

  5. Direct transfer of graphene onto flexible substrates.

    Science.gov (United States)

    Martins, Luiz G P; Song, Yi; Zeng, Tingying; Dresselhaus, Mildred S; Kong, Jing; Araujo, Paulo T

    2013-10-29

    In this paper we explore the direct transfer via lamination of chemical vapor deposition graphene onto different flexible substrates. The transfer method investigated here is fast, simple, and does not require an intermediate transfer membrane, such as polymethylmethacrylate, which needs to be removed afterward. Various substrates of general interest in research and industry were studied in this work, including polytetrafluoroethylene filter membranes, PVC, cellulose nitrate/cellulose acetate filter membranes, polycarbonate, paraffin, polyethylene terephthalate, paper, and cloth. By comparing the properties of these substrates, two critical factors to ensure a successful transfer on bare substrates were identified: the substrate's hydrophobicity and good contact between the substrate and graphene. For substrates that do not satisfy those requirements, polymethylmethacrylate can be used as a surface modifier or glue to ensure successful transfer. Our results can be applied to facilitate current processes and open up directions for applications of chemical vapor deposition graphene on flexible substrates. A broad range of applications can be envisioned, including fabrication of graphene devices for opto/organic electronics, graphene membranes for gas/liquid separation, and ubiquitous electronics with graphene.

  6. Growth of GaAs-nanowires on GaAs (111)B substrates induced by focused ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Schott, Ruediger; Reuter, Dirk; Wieck, Andreas D. [Lehrstuhl fuer Angewandte Festkoerperphysik, Ruhr-Universitaet Bochum (Germany)

    2011-07-01

    Semiconductor nanowires are a promising system for applications in the areas of electronics and photonics and also for exploring phenomena at the nanoscale. There are several approaches to grow nanowires at arbitrary sites on the wafer. We report about growing GaAs-nanowires on GaAs(111)B substrates via the vapour-liquid-solid (VLS) mechanism in an ultra-high-vacuum (UHV)-cluster of a molecular beam epitaxy (MBE) and a focused ion beam (FIB) system. Our idea is to implant metal seeds (especially Au) for the nanowire growth by in situ patterning using FIB. Due to the UHV transfer between the FIB and the MBE chamber, no further cleaning step of the substrate surface is necessary. Formations of organized GaAs-nanowires and high aspect ratios are observed.

  7. Modeling of the Bacillus subtilis Bacterial Biofilm Growing on an Agar Substrate.

    Science.gov (United States)

    Wang, Xiaoling; Wang, Guoqing; Hao, Mudong

    2015-01-01

    Bacterial biofilms are organized communities composed of millions of microorganisms that accumulate on almost any kinds of surfaces. In this paper, a biofilm growth model on an agar substrate is developed based on mass conservation principles, Fick's first law, and Monod's kinetic reaction, by considering nutrient diffusion between biofilm and agar substrate. Our results show biofilm growth evolution characteristics such as biofilm thickness, active biomass, and nutrient concentration in the agar substrate. We quantitatively obtain biofilm growth dependence on different parameters. We provide an alternative mathematical method to describe other kinds of biofilm growth such as multiple bacterial species biofilm and also biofilm growth on various complex substrates.

  8. Broadband antireflection nanodome structures on SiC substrate

    DEFF Research Database (Denmark)

    Ou, Yiyu; Zhu, Xiaolong; Møller, Uffe Visbech

    2013-01-01

    Nanodome structures are demonstrated on the SiC substrate by using nanosphere lithography and dry etching. Significant surface antireflection has been observed over a broad spectral range from 400 nm to 1600 nm....

  9. GaN nanorods and LED structures grown on patterned Si and AlN/Si substrates by selective area growth

    Energy Technology Data Exchange (ETDEWEB)

    Li, Shunfeng; Fuendling, Soenke; Soekmen, Uensal; Neumann, Richard; Merzsch, Stephan; Peiner, Erwin; Wehmann, Hergo-Heinrich; Waag, Andreas [Institut fuer Halbleitertechnik, TU Braunschweig (Germany); Hinze, Peter; Weimann, Thomas [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Jahn, Uwe; Trampert, Achim; Riechert, Henning [Paul-Drude-Institut fuer Festkoerperelektronik, Berlin (Germany)

    2010-07-15

    GaN nanorods (NRs) show promising applications in high-efficiency light emitting diodes, monolithic white light emission and optical interconnection due to their superior properties. In this work, we performed GaN nanostructures growth by pre-patterning the Si and AlN/Si substrates. The pattern was transferred to Si and AlN/Si substrates by photolithography and inductively-coupled plasma etching. GaN NRs were grown on these templates by metal-organic vapour phase epitaxy (MOVPE). GaN grown on Si pillar templates show a truncated pyramidal structure. Transmission electron microscopy measurements demonstrated clearly that the threading dislocations bend to the side facets of the GaN nanostructures and terminate. GaN growth can also be observed on the sidewalls and bottom surface between the Si pillars. A simple phenomenological model is proposed to explain the GaN nanostructure growth on Si pillar templates. Based on this model, we developed another growth method, by which we grow GaN rod structures on pre-patterned AlN/Si templates. By in-situ nitridation and decreasing of the V/III ratio, we found that GaN rods only grew on the patterned AlN/Si dots with an aspect ratio of about 1.5 - 2. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Strawberry Production in Soilless Substrate Troughs – Plant Growth

    Science.gov (United States)

    Soilless substrates made of peat moss, coconut coir, perlite, rockwool or bark are pathogen free and they have been used in strawberry production in Europe in troughs or containers. Open field strawberry production in soilless substrate is new to California growers. The objective of this study was t...

  11. Epitaxial growth of YBa sub 2 Cu sub 3 O sub 7 minus. delta. thin films on LiNbO sub 3 substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.G.; Koren, G.; Gupta, A.; Segmuller, A.; Chi, C.C. (IBM Thomas J. Watson Research Center, P.O. Box 218, Yorktown Heights, New York 10598 (US))

    1989-09-18

    {ital In} {ital situ} epitaxial growth of YBa{sub 2}Cu{sub 3}O{sub 7{minus}{delta}} thin films on {ital Y}-cut LiNbO{sub 3} substrates using a standard laser ablation technique is reported. Resistance of the films shows a normal metallic behavior and a very sharp ({lt}1 K) superconducting transition with {ital T}{sub {ital c}}({ital R}=0) of 92 K. High critical current density of {ital J}{sub {ital c}}(77 K)=2{times}10{sup 5} A/cm{sup 2} is observed, which is in accordance with epitaxial growth. Film orientation observed from x-ray diffraction spectra indicates that the {ital c} axis is normal to the substrate plane and the {ital a} axis is at 45{degree} to the (11.0) direction of the hexagonal lattice of the substrate with two domains in mirror image to the (110) plane.

  12. Growth and characterization of AlxGa1-xN LEO substrates

    International Nuclear Information System (INIS)

    Paek, H.S.; Sakong, T.; Lee, S.N.; Son, J.K.; Ryu, H.Y.; Nam, O.H.; Park, Y.

    2006-01-01

    We have studied the effect of Al composition on the properties of Al x Ga 1-x N LEO substrates. Al x Ga 1-x N LEO substrates were prepared on stripe-patterned 2μm-thick undoped GaN/sapphire substrates by metalorganic chemical vapor deposition. To investigate the dislocation and crack density, and the surface morphology of Al x Ga 1-x N LEO substrates with different Al compositions, photoluminescence and optical microscope were used. At a 1% of Al composition, we obtained crack-free and mirror-like substrates having a low dislocation density of ∼1E6cm -2 . We expect considerable reduction in threshold current density to be achieved from blue-violet laser diodes grown on Al x Ga 1-x N LEO substrates because of the increased optical gain, as compared to the laser diodes grown on Al-free LEO substrates

  13. Growth and characterization of thick cBN coatings on silicon and tool substrates

    International Nuclear Information System (INIS)

    Bewilogua, K.; Keunecke, M.; Weigel, K.; Wiemann, E.

    2004-01-01

    Recently some research groups have achieved progress in the deposition of cubic boron nitride (cBN) coatings with a thickness of 2 μm and more, which is necessary for cutting tool applications. In our laboratory, thick cBN coatings were sputter deposited on silicon substrates using a boron carbide target. Following a boron carbide interlayer (few 100 nm thick), a gradient layer with continuously increasing nitrogen content was prepared. After the cBN nucleation, the process parameters were modified for the cBN film growth to a thickness of more than 2 μm. However, the transfer of this technology to technically relevant substrates, like cemented carbide cutting inserts, required some further process modifications. At first, a titanium interlayer had to be deposited followed by a more than 1-μm-thick boron carbide layer. The next steps were identical to those on silicon substrates. The total coating thickness was in the range of 3 μm with a 0.5- to nearly 1-μm-thick cBN top layer. In spite of the enormous intrinsic stress, both the coatings on silicon and on cemented carbide exhibited a good adhesion and a prolonged stability in humid air. Oxidation experiments revealed a stability of the coating system on cemented carbide up to 700 deg. C and higher. Coated cutting inserts were tested in turning operations with different metallic workpiece materials. The test results will be compared to those of well-established cutting materials, like polycrystalline cubic boron nitride (PCBN) and oxide ceramics, considering the wear of coated tools

  14. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    Science.gov (United States)

    Bayraktar, M.; Chopra, A.; Bijkerk, F.; Rijnders, G.

    2014-09-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In this article, we report on epitaxial growth of PZT films with (100)- and (110)-orientation achieved by utilizing Ca2Nb3O10 (CNO) and Ti0.87O2 (TO) nanosheets as crystalline buffer layers. Fatigue measurements demonstrated stable ferroelectric properties of these films up to 5 × 109 cycles. (100)-oriented PZT films on CNO nanosheets show a large remnant polarization of 21 μC/cm2 that is the highest remnant polarization value compared to (110)-oriented and polycrystalline films reported in this work. A piezoelectric response of 98 pm/V is observed for (100)-oriented PZT film which is higher than the values reported in the literature on Si substrates.

  15. Growth of Ca{sub 2}MnO{sub 4} Ruddlesden-Popper structured thin films using combinatorial substrate epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Lacotte, M.; David, A.; Pravarthana, D.; Prellier, W., E-mail: wilfrid.prellier@ensicaen.fr [Laboratoire CRISMAT, CNRS UMR 6508, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Grygiel, C. [Laboratoire CIMAP, CEA, CNRS UMR 6252, ENSICAEN, Université de Basse-Normandie, 6 Bd Maréchal Juin, F-14050 Caen Cedex 4 (France); Rohrer, G. S.; Salvador, P. A. [Department of Materials Science and Engineering, Carnegie Mellon University, 5000 Forbes Ave., Pittsburgh, Pennsylvania 15213 (United States); Velazquez, M. [CNRS, Université de Bordeaux, ICMCB, UPR 9048, F-33600 Pessac (France); Kloe, R. de [AMETEK B.V, EDAX Application Laboratory, Tilburg (Netherlands)

    2014-12-28

    The local epitaxial growth of pulsed laser deposited Ca{sub 2}MnO{sub 4} films on polycrystalline spark plasma sintered Sr{sub 2}TiO{sub 4} substrates was investigated to determine phase formation and preferred epitaxial orientation relationships (ORs) for isostructural Ruddlesden-Popper (RP) heteroepitaxy, further developing the high-throughput synthetic approach called Combinatorial Substrate Epitaxy (CSE). Both grazing incidence X-ray diffraction and electron backscatter diffraction patterns of the film and substrate were indexable as single-phase RP-structured compounds. The optimal growth temperature (between 650 °C and 800 °C) was found to be 750 °C using the maximum value of the average image quality of the backscattered diffraction patterns. Films grew in a grain-over-grain pattern such that each Ca{sub 2}MnO{sub 4} grain had a single OR with the Sr{sub 2}TiO{sub 4} grain on which it grew. Three primary ORs described 47 out of 49 grain pairs that covered nearly all of RP orientation space. The first OR, found for 20 of the 49, was the expected RP unit-cell over RP unit-cell OR, expressed as [100][001]{sub film}||[100][001]{sub sub}. The other two ORs were essentially rotated from the first by 90°, with one (observed for 17 of 49 pairs) being rotated about the [100] and the other (observed for 10 of 49 pairs) being rotated about the [110] (and not exactly by 90°). These results indicate that only a small number of ORs are needed to describe isostructural RP heteroepitaxy and further demonstrate the potential of CSE in the design and growth of a wide range of complex functional oxides.

  16. Magnetophotoluminescence study of the influence of substrate orientation and growth interruption on the electronic properties of InAs/GaAs quantum dots

    International Nuclear Information System (INIS)

    Godefroo, S.; Maes, J.; Hayne, M.; Moshchalkov, V.V.; Henini, M.; Pulizzi, F.; Patane, A.; Eaves, L.

    2004-01-01

    We have used photoluminescence in pulsed (≤50 T) and dc (≤12 T) magnetic fields to investigate the influence of substrate orientation and growth interruption (GI) on the electronic properties of InAs/GaAs quantum dots, grown by molecular beam epitaxy at 480 deg. C. Dot formation is very efficient on the (100) substrate: electronic confinement is already strong without GI and no significant change in confinement is observed with GI. On the contrary, for the (311)B substrate strong confinement of the charges only occurs after a GI is introduced. When longer GIs are applied the dots become higher

  17. Effects of bamboo substrate and supplemental feeding on growth and production of hybrid red tilapia fingerlings (Oreochromis mossambicusxOrechromis niloticus)

    NARCIS (Netherlands)

    Keshavanath, P.; Gangadhar, B.; Ramesh, T.J.; Dam, van A.A.; Beveridge, M.C.M.; Verdegem, M.C.J.

    2004-01-01

    Periphyton growing on artificial substrates can increase the production of herbivorous fish in aquaculture ponds. Periphyton may be an alternative or a complement for supplemental feed in fingerling production. Growth and production of hybrid red tilapia (Oreochromis mossambicus x Oreochromis

  18. CVD growth of large-area and high-quality HfS2 nanoforest on diverse substrates

    Science.gov (United States)

    Zheng, Binjie; Wang, Zegao; Qi, Fei; Wang, Xinqiang; Yu, Bo; Zhang, Wanli; Chen, Yuanfu

    2018-03-01

    Two-dimensional layered transition metal dichalcogenides (TMDs) have attracted burgeoning attention due to their various properties and wide potential applications. As a new TMD, hafnium disulfide (HfS2) is theoretically predicted to have better electrical performance than widely studied MoS2. The experimental researches also confirmed the extraordinary feature in electronics and optoelectronics. However, the maximal device performance may not be achieved due to its own limitation of planar structure and challenge of transfer without contamination. Here, through the chemical vapor deposition (CVD) technique, inch-size HfS2 nanoforest has been directly grown on diverse objective substrates covering insulating, semiconducting and conducting substrates. This direct CVD growth without conventional transfer process avoids contamination and degradation in quality, suggesting its promising and wide applications in high-quality and multifarious devices. It is noted that all the HfS2 nanoforests grown on diverse substrates are constructed with vertically aligned few-layered HfS2 nanosheets with high crystalline quality and edge orientation. Moreover, due to its unique structure, the HfS2 nanoforest owns abundant exposed edge sites and large active surface area, which is essential to apply in high-performance catalyst, sensor, and energy storage or field emitter.

  19. Oil palm waste and synthetic zeolite: an alternative soil-less growth substrate for lettuce production as a waste management practice

    Energy Technology Data Exchange (ETDEWEB)

    Jayasinghe, G.Y.; Tokashiki, Y.; Kitou, M.; Kinjo, K. [Kagoshima University, Kagoshima (Japan). United Graduate School of Agricultural Science

    2008-12-15

    A study was conducted to assess the characteristics and the prospective utilization of oil palm waste (OP) and synthetic zeolite (SZ) developed by coal fly ash, as an alternative substrate to peat and commercial perlite for lettuce (Lactuca sativa L.) production. The SZ, OP, sphagnum peat (PE), perlite (PL) and two different SZ-OP mixtures (v/v) at the ratio of 1 : 3 and 1 : 10 were utilized as the substrates under this study. The substrates formulated by mixing SZ with OP at the ratio of 1 : 3 and 1 : 10 showed improved substrate physical and chemical properties such as air space, bulk density, particle density, water-holding capacity, pH and electrical conductivity (EC), which were in the ideal substrate range when compared with PL. Furthermore, the water-holding capacity of the substrate having a 1 : 10 mixing ratio of SZ with OP was higher than that of the PL by 28.23%, whereas the bulk density was lower than that of PL by 35%. A greenhouse experiment was carried out to assess the influence of the substrates on the growth and development of lettuce. The results of the study suggest that the SZ-OP-based substrates and OP can be successfully utilized as alternatives to the commercial perlite and to substitute the conventional peat substrate for lettuce cultivation. In addition, this can be proposed as an alternative waste management practice.

  20. A simple technique for direct growth of Au into a nanoporous alumina layer on conductive glass as a reusable SERS substrate

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Jiajie [Chemicobiology and Functional Materials Institute, Nanjing University of Science and Technology, Nanjing 210094 (China); Shen, Muzhong [School of Engineering, AnHui Agricultural University, Hefei 230036 (China); Liu, Siyu; Li, Feng [Chemicobiology and Functional Materials Institute, Nanjing University of Science and Technology, Nanjing 210094 (China); Sun, Dongping, E-mail: sundpe301@163.com [School of Engineering, AnHui Agricultural University, Hefei 230036 (China); Wang, Tianhe, E-mail: thwang56@126.com [Chemicobiology and Functional Materials Institute, Nanjing University of Science and Technology, Nanjing 210094 (China)

    2017-06-01

    Graphical abstract: A simple technique for direct growth of gold nanoparticles (GNPs) into a nanostructured porous alumina layer on conductive glass slide (PAOCG). Gold was uniformly distributed in porous alumina layer. Au/PAOCG can serve as a portable, durable and reusable SERS substrate. - Highlights: • A simple method of producing nanoporous alumina layer on conductive glasses. • A facile technique for direct growth of gold nanoparticles (GNPs) into PAOCG. • It presents a general protocol for preparation of (MNPs) on conductive glasses. • Au/PAOCG exhibits high SERS sensitivity and excellent reusability. - Abstract: In this paper, we describe a simple technique for direct growth of gold nanoparticles (GNPs) into a nanostructured porous alumina layer on conductive glass slide (PAOCG). PAOCG was attached firmly with a small piece of steel and was then immersed in a HAuCl{sub 4} solution. Electro-induced electrons from steel were employed to reduce AuCl{sub 4}{sup −} on PAOCG. The galvanic replacement reaction (GRR) was adopted as the fundamental mechanism for reducing metal precursors. This mechanism was further studied by open circuit potential-time (OCP-t) experiment and the result demonstrated that steel induced the continuous proceeding of this reaction. This strategy presents a simple and general protocol for preparation of metal nanoparticles (MNPs) on conductive glass substrates. The SERS properties of Au/PAOCG were investigated using aqueous crystal violet (CV) and 4-mercaptopyridine (4-Mpy) as probe molecules. Au/PAOCG allowed as low as 10{sup −9} M CV and 10{sup −8} M 4-Mpy to be detected. The reusability of this substrate was achieved by measuring the SERS spectrum of the probe molecules followed with a 400 °C heat treatment for 10 min to remove the residuals. This substrate could be reused for at least ten cycles without any significantly reduced SERS performance. Therefore, this surface can serve as a portable, durable and reusable SERS

  1. Modeling of the Bacillus subtilis Bacterial Biofilm Growing on an Agar Substrate

    Directory of Open Access Journals (Sweden)

    Xiaoling Wang

    2015-01-01

    Full Text Available Bacterial biofilms are organized communities composed of millions of microorganisms that accumulate on almost any kinds of surfaces. In this paper, a biofilm growth model on an agar substrate is developed based on mass conservation principles, Fick’s first law, and Monod’s kinetic reaction, by considering nutrient diffusion between biofilm and agar substrate. Our results show biofilm growth evolution characteristics such as biofilm thickness, active biomass, and nutrient concentration in the agar substrate. We quantitatively obtain biofilm growth dependence on different parameters. We provide an alternative mathematical method to describe other kinds of biofilm growth such as multiple bacterial species biofilm and also biofilm growth on various complex substrates.

  2. Direct transfer of graphene onto flexible substrates

    Science.gov (United States)

    Martins, Luiz G. P.; Song, Yi; Zeng, Tingying; Dresselhaus, Mildred S.; Kong, Jing; Araujo, Paulo T.

    2013-01-01

    In this paper we explore the direct transfer via lamination of chemical vapor deposition graphene onto different flexible substrates. The transfer method investigated here is fast, simple, and does not require an intermediate transfer membrane, such as polymethylmethacrylate, which needs to be removed afterward. Various substrates of general interest in research and industry were studied in this work, including polytetrafluoroethylene filter membranes, PVC, cellulose nitrate/cellulose acetate filter membranes, polycarbonate, paraffin, polyethylene terephthalate, paper, and cloth. By comparing the properties of these substrates, two critical factors to ensure a successful transfer on bare substrates were identified: the substrate’s hydrophobicity and good contact between the substrate and graphene. For substrates that do not satisfy those requirements, polymethylmethacrylate can be used as a surface modifier or glue to ensure successful transfer. Our results can be applied to facilitate current processes and open up directions for applications of chemical vapor deposition graphene on flexible substrates. A broad range of applications can be envisioned, including fabrication of graphene devices for opto/organic electronics, graphene membranes for gas/liquid separation, and ubiquitous electronics with graphene. PMID:24127582

  3. Aerobic Degradation of Trichloroethylene by Co-Metabolism Using Phenol and Gasoline as Growth Substrates

    Directory of Open Access Journals (Sweden)

    Yan Li

    2014-05-01

    Full Text Available Trichloroethylene (TCE is a common groundwater contaminant of toxic and carcinogenic concern. Aerobic co-metabolic processes are the predominant pathways for TCE complete degradation. In this study, Pseudomonas fluorescens was studied as the active microorganism to degrade TCE under aerobic condition by co-metabolic degradation using phenol and gasoline as growth substrates. Operating conditions influencing TCE degradation efficiency were optimized. TCE co-metabolic degradation rate reached the maximum of 80% under the optimized conditions of degradation time of 3 days, initial OD600 of microorganism culture of 0.14 (1.26 × 107 cell/mL, initial phenol concentration of 100 mg/L, initial TCE concentration of 0.1 mg/L, pH of 6.0, and salinity of 0.1%. The modified transformation capacity and transformation yield were 20 μg (TCE/mg (biomass and 5.1 μg (TCE/mg (phenol, respectively. Addition of nutrient broth promoted TCE degradation with phenol as growth substrate. It was revealed that catechol 1,2-dioxygenase played an important role in TCE co-metabolism. The dechlorination of TCE was complete, and less chlorinated products were not detected at the end of the experiment. TCE could also be co-metabolized in the presence of gasoline; however, the degradation rate was not high (28%. When phenol was introduced into the system of TCE and gasoline, TCE and gasoline could be removed at substantial rates (up to 59% and 69%, respectively. This study provides a promising approach for the removal of combined pollution of TCE and gasoline.

  4. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate

    International Nuclear Information System (INIS)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-01

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10 10 cm −2 , and that the lateral and the vertical interdot spacing were ∼10 and ∼2.5 nm, respectively. (paper)

  5. Factors influencing epitaxial growth of three-dimensional Ge quantum dot crystals on pit-patterned Si substrate.

    Science.gov (United States)

    Ma, Y J; Zhong, Z; Yang, X J; Fan, Y L; Jiang, Z M

    2013-01-11

    We investigated the molecular beam epitaxy growth of three-dimensional (3D) Ge quantum dot crystals (QDCs) on periodically pit-patterned Si substrates. A series of factors influencing the growth of QDCs were investigated in detail and the optimized growth conditions were found. The growth of the Si buffer layer and the first quantum dot (QD) layer play a key role in the growth of QDCs. The pit facet inclination angle decreased with increasing buffer layer thickness, and its optimized value was found to be around 21°, ensuring that all the QDs in the first layer nucleate within the pits. A large Ge deposition amount in the first QD layer favors strain build-up by QDs, size uniformity of QDs and hence periodicity of the strain distribution; a thin Si spacer layer favors strain correlation along the growth direction; both effects contribute to the vertical ordering of the QDCs. Results obtained by atomic force microscopy and cross-sectional transmission electron microscopy showed that 3D ordering was achieved in the Ge QDCs with the highest ever areal dot density of 1.2 × 10(10) cm(-2), and that the lateral and the vertical interdot spacing were ~10 and ~2.5 nm, respectively.

  6. Molecular mechanism of strict substrate specificity of an extradiol dioxygenase, DesB, derived from Sphingobium sp. SYK-6.

    Directory of Open Access Journals (Sweden)

    Keisuke Sugimoto

    Full Text Available DesB, which is derived from Sphingobium sp. SYK-6, is a type II extradiol dioxygenase that catalyzes a ring opening reaction of gallate. While typical extradiol dioxygenases show broad substrate specificity, DesB has strict substrate specificity for gallate. The substrate specificity of DesB seems to be required for the efficient growth of S. sp. SYK-6 using lignin-derived aromatic compounds. Since direct coordination of hydroxyl groups of the substrate to the non-heme iron in the active site is a critical step for the catalytic reaction of the extradiol dioxygenases, the mechanism of the substrate recognition and coordination of DesB was analyzed by biochemical and crystallographic methods. Our study demonstrated that the direct coordination between the non-heme iron and hydroxyl groups of the substrate requires a large shift of the Fe (II ion in the active site. Mutational analysis revealed that His124 and His192 in the active site are essential to the catalytic reaction of DesB. His124, which interacts with OH (4 of the bound gallate, seems to contribute to proper positioning of the substrate in the active site. His192, which is located close to OH (3 of the gallate, is likely to serve as the catalytic base. Glu377' interacts with OH (5 of the gallate and seems to play a critical role in the substrate specificity. Our biochemical and structural study showed the substrate recognition and catalytic mechanisms of DesB.

  7. Epitaxial growth of cubic Gd{sub 2}O{sub 3} thin films on Ge substrates

    Energy Technology Data Exchange (ETDEWEB)

    Molle, A; Wiemer, C; Bhuiyan, M D N K; Tallarida, G; Fanciulli, M [CNR-INFM, Laboratorio Nazionale MDM, via C. Olivetti 2, I-20041 Agrate Brianza (Italy)], E-mail: alessandro.molle@mdm.infm.it

    2008-03-15

    Gd{sub 2}O{sub 3} thin films were grown on Ge (001) substrates by molecular beam epitaxy. The epitaxial character of the film is demonstrated by electron diffraction during the growth. The structural characterization of the films shows that the Gd{sub 2}O{sub 3} forms a bixbyite polymorph with a (110) out-of-plane orientation. The formation of bixbyite structured Gd{sub 2}O{sub 3} is discussed in terms of the atomic arrangement of the oxide planes on the Ge(001) surface.

  8. Correlation between substrate bias, growth process and structural properties of phosphorus incorporated tetrahedral amorphous carbon films

    International Nuclear Information System (INIS)

    Liu Aiping; Zhu Jiaqi; Han Jiecai; Wu Huaping; Jia Zechun

    2007-01-01

    We investigate the growth process and structural properties of phosphorus incorporated tetrahedral amorphous carbon (ta-C:P) films which are deposited at different substrate biases by filtered cathodic vacuum arc technique with PH 3 as the dopant source. The films are characterized by X-ray photoelectron spectroscopy (XPS), atomic force microscopy, Raman spectroscopy, residual stress measurement, UV/VIS/NIR absorption spectroscopy and temperature-dependent conductivity measurement. The atomic fraction of phosphorus in the films as a function of substrate bias is obtained by XPS analysis. The optimum bias for phosphorus incorporation is about -80 V. Raman spectra show that the amorphous structures of all samples with atomic-scaled smooth surface are not remarkably changed when PH 3 is implanted, but some small graphitic crystallites are formed. Moreover, phosphorus impurities and higher-energetic impinging ions are favorable for the clustering of sp 2 sites dispersed in sp 3 skeleton and increase the level of structural ordering for ta-C:P films, which further releases the compressive stress and enhances the conductivity of the films. Our analysis establishes an interrelationship between microstructure, stress state, electrical properties, and substrate bias, which helps to understand the deposition mechanism of ta-C:P films

  9. Effect of substrate material on the growth and field emission characteristics of large-area carbon nanotube forests

    Energy Technology Data Exchange (ETDEWEB)

    Ummethala, Raghunandan; Täschner, Christine; Leonhardt, Albrecht; Büchner, Bernd [IFW Dresden, P.O. Box 270116, D-01171 Dresden (Germany); Wenger, Daniela; Tedde, Sandro F. [Siemens Healthcare GmbH, Technology Centre, Guenther-Scharowsky-Strasse 1, 91058 Erlangen (Germany); Eckert, Jürgen [Erich Schmid Institute of Materials Science, Austrian Academy of Sciences, Jahnstrasse 12, A-8700 Leoben (Austria); Department Materials Physics, Montanuniversität Leoben, Jahnstraße 12, A-8700 Leoben (Austria)

    2016-01-28

    Carbon nanotubes (CNTs) are a promising replacement for tungsten filaments as electron emitters in conventional x-ray sources, owing to their higher aspect ratio, superior mechanical stability, chemical inertness, and high electrical and thermal conductivities. Conditions for realizing the best emission behavior from CNTs have been formulated over the last few years. In this paper, we report the relatively less-investigated factor, namely, the influence of the nature of substrate material on the growth as well as field emission characteristics of large-area multiwalled CNTs for their practical application in medical x-ray sources. We compare the morphology of CNTs on a variety of substrates such as stainless steel, copper, molybdenum, graphite, few-layer graphene, and carbon nanowalls grown by thermal chemical vapor deposition following a simple drop-coating of catalyst. We find that CNTs grown on stainless steel and graphite show the best combination of emission characteristics under pulsed operation mode. These studies are helpful in selecting the optimum substrate material for field emission applications. Ex situ studies on field emission degradation of CNTs are presented towards the end.

  10. Effect of substrate material on the growth and field emission characteristics of large-area carbon nanotube forests

    Science.gov (United States)

    Ummethala, Raghunandan; Wenger, Daniela; Tedde, Sandro F.; Täschner, Christine; Leonhardt, Albrecht; Büchner, Bernd; Eckert, Jürgen

    2016-01-01

    Carbon nanotubes (CNTs) are a promising replacement for tungsten filaments as electron emitters in conventional x-ray sources, owing to their higher aspect ratio, superior mechanical stability, chemical inertness, and high electrical and thermal conductivities. Conditions for realizing the best emission behavior from CNTs have been formulated over the last few years. In this paper, we report the relatively less-investigated factor, namely, the influence of the nature of substrate material on the growth as well as field emission characteristics of large-area multiwalled CNTs for their practical application in medical x-ray sources. We compare the morphology of CNTs on a variety of substrates such as stainless steel, copper, molybdenum, graphite, few-layer graphene, and carbon nanowalls grown by thermal chemical vapor deposition following a simple drop-coating of catalyst. We find that CNTs grown on stainless steel and graphite show the best combination of emission characteristics under pulsed operation mode. These studies are helpful in selecting the optimum substrate material for field emission applications. Ex situ studies on field emission degradation of CNTs are presented towards the end.

  11. Growth of fullerene on Ag and hydrogen-passivated Si substrates: Effect of electron beam exposure on growth modes

    International Nuclear Information System (INIS)

    Rundhe, M.V.; Dev, B.N.

    2008-01-01

    We have used Auger electron spectroscopy (AES) to investigate the effect of electron beam exposure on growth modes of fullerene (C 60 ) on substrates like Ag and hydrogen-passivated Si(1 1 1). The electron beam comprises of 3.4 keV electrons, which are used in the AES study. To investigate the effect, Auger signal (AS) vs. deposition time (t) measurements were conducted in a sequential mode, i.e., alternating deposition of C 60 and analysis using the electron beam. Duration of AES data collection after each deposition was the duration of exposure to electron beam in this experiment. For the growth study of C 60 on Ag, three AS-t plots were recorded for three different durations of exposure to electron beam. Changes in the AS-t plot, depending on the duration of exposure to the electron beam, reflect the electron beam-induced damage. Electron beam-induced damages of C 60 produce carbon materials of different densities and consequently transmission coefficient (α) of Auger electron through this material changes. In order to fit the AES (AS vs. t) data a model has been used which simultaneously provides the growth mode and the transmission coefficient. Observation of an increasing transmission coefficient with the increasing duration of exposure to the electron beam from α=0.34 to 0.60 indicates the change of the nature of the carbon material due to the partial damage of C 60

  12. Growth-dependent modulation of casein kinase II and its substrate nucleolin in primary human cell cultures and HeLa cells

    DEFF Research Database (Denmark)

    Schneider, H R; Issinger, O G

    1989-01-01

    We have previously provided evidence that casein kinase II (CKII) and its substrate nucleolin increase concomitantly during certain development stages during embryogenesis (Schneider et al., Eur. J. Biochem. 161, 733-738). We now show that during normal growth of primary cell cultures and He...

  13. Plantago lanceolata growth and Cr uptake after mycorrhizal inoculation in a Cr amended substrate

    Directory of Open Access Journals (Sweden)

    Amaia Nogales

    2012-03-01

    Full Text Available Arbuscular mycorrhizal fungi from two chromium contaminated sites, one with 275 mg kg-1 of Cr (zone A and the other with 550 mg kg-1 Cr (zone B, were multiplied and tentatively identified. The effect of both fungal consortia on Plantago lanceolata plant growth in a substrate amended with 200 mg kg-1 of Cr and with 400 mg kg-1 Cr was assessed and compared with the growth of plants inoculated with Glomus intraradices BEG72. Only the plants inoculated with G. intraradices BEG72 and with the fungal consortia obtained from the area with a high Cr contamination (zone B grew in the soil with 400 mg kg-1 of Cr. The consortia of fungi from zone B, decreased the plant’s uptake/translocation of the heavy metal compared with G. intraradices BEG72. These results underscore the differential effect of AM fungi in conferring bioprotection in Cr contaminated soils.

  14. Morphology Controlled Fabrication of InN Nanowires on Brass Substrates

    Directory of Open Access Journals (Sweden)

    Huijie Li

    2016-10-01

    Full Text Available Growth of semiconductor nanowires on cheap metal substrates could pave the way to the large-scale manufacture of low-cost nanowire-based devices. In this work, we demonstrated that high density InN nanowires can be directly grown on brass substrates by metal-organic chemical vapor deposition. It was found that Zn from the brass substrates is the key factor in the formation of nanowires by restricting the lateral growth of InN. The nanowire morphology is highly dependent on the growth temperature. While at a lower growth temperature, the nanowires and the In droplets have large diameters. At the elevated growth temperature, the lateral sizes of the nanowires and the In droplets are much smaller. Moreover, the nanowire diameter can be controlled in situ by varying the temperature in the growth process. This method is very instructive to the diameter-controlled growth of nanowires of other materials.

  15. Nanosheet controlled epitaxial growth of PbZr0.52Ti0.48O3 thin films on glass substrates

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Chopra, A.; Bijkerk, Frederik; Rijnders, Augustinus J.H.M.

    2014-01-01

    Integration of PbZr0.52Ti0.48O3 (PZT) films on glass substrates is of high importance for device applications. However, to make use of the superior ferro- and piezoelectric properties of PZT, well-oriented crystalline or epitaxial growth with control of the crystal orientation is a prerequisite. In

  16. Impact of first-step potential and time on the vertical growth of ZnO nanorods on ITO substrate by two-step electrochemical deposition

    International Nuclear Information System (INIS)

    Kim, Tae Gyoum; Jang, Jin-Tak; Ryu, Hyukhyun; Lee, Won-Jae

    2013-01-01

    Highlights: •We grew vertical ZnO nanorods on ITO substrate using a two-step continuous potential process. •The nucleation for the ZnO nanorods growth was changed by first-step potential and duration. •The vertical ZnO nanorods were well grown when first-step potential was −1.2 V and 10 s. -- Abstract: In this study, we analyzed the growth of ZnO nanorods on an ITO (indium doped tin oxide) substrate by electrochemical deposition using a two-step, continuous potential process. We examined the effect of changing the first-step potential as well as the first-step duration on the morphological, structural and optical properties of ZnO nanorods, measured via using field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD) and photoluminescence (PL), respectively. As a result, vertical ZnO nanorods were grown on ITO substrate without the need for a template when the first-step potential was set to −1.2 V for a duration of 10 s, and the second-step potential was set to −0.7 V for a duration of 1190 s. The ZnO nanorods on this sample showed the highest XRD (0 0 2)/(1 0 0) peak intensity ratio and the highest PL near band edge emission to deep level emission peak intensity ratio (NBE/DLE). In this study, the nucleation for vertical ZnO nanorod growth on an ITO substrate was found to be affected by changes in the first-step potential and first-step duration

  17. A template-free sol-gel technique for controlled growth of ZnO nanorod arrays

    International Nuclear Information System (INIS)

    Huang, N.; Zhu, M.W.; Gao, L.J.; Gong, J.; Sun, C.; Jiang, X.

    2011-01-01

    The growth of ZnO nanorod arrays via a template-free sol-gel process was investigated. The nanorod is single-crystalline wurtzite structure with [0 0 0 1] growth direction determined by the transmission electron microscope. The aligned ZnO arrays were obtained directly on the glass substrates by adjusting the temperatures and the withdrawal speeds, without seed-layer or template assistant. A thicker oriented ZnO nanorod arrays was obtained at proper experimental conditions by adding dip-coating layers. Room temperature photoluminescence spectrum exhibits an intensive UV emission with a weak broad green emission as well as a blue double-peak emission located at 451 and 468 nm, respectively. Further investigation results show that the difference in the alignment of nanorods ascribes to the different orientations of the nanoparticles-packed film formed prior to nanorods on the substrate. Well ordered ZnO nanorods are formed from this film with good c-axis orientation. Our study is expected to pave a way for direct growth of oriented nanorods by low-cost solution approaches.

  18. Theoretical Investigation on Structural and Electronic Properties of InN Growth on Ce-Stabilized Zirconia (111 Substrates

    Directory of Open Access Journals (Sweden)

    Yao Guo

    2016-01-01

    Full Text Available The structural and electronic properties of InN on Ce-stabilized zirconia (CeSZ (111 substrates are investigated using first-principles calculations based on density functional theory with GGA + U method. Surface energy calculations indicate that the structure of Ce-segregated surface is more energetically stable than that of Ce-segregation-free surface. Adsorption energies of indium and nitrogen atoms on both Ce-segregated and Ce-segregation-free CeSZ (111 surfaces at the initial growth stage have been studied. The results suggest that the first layer of InN films consists of a nitrogen layer, which leads to epitaxial relationships between InN (0001 // CeSZ (111 and InN [112¯0] // CeSZ [11¯0]. In addition, density of states (DOS analysis revealed that the hybridization effect plays a crucial role in determining the interface structure for the growth of InN on CeSZ (111 surfaces. Furthermore, adsorption energies of indium atoms on the nitrogen layer have also been evaluated in order to investigate the lattice polarity determination for InN films. It was found that an indium atom preferentially adsorbs at the center of three nitrogen atoms stacked on the CeSZ substrate, which results in the formation of In-polarity InN.

  19. Substrate effect on the growth of monolayer dendritic MoS2 on LaAlO3 (100) and its electrocatalytic applications

    Science.gov (United States)

    Li, Cong; Zhang, Yu; Ji, Qingqing; Shi, Jianping; Chen, Zhaolong; Zhou, Xiebo; Fang, Qiyi; Zhang, Yanfeng

    2016-09-01

    In accommodating the rapid development of two-dimensional (2D) nanomaterials, chemical vapor deposition (CVD) has become a powerful tool for their batch production with desirable characteristics, such as high crystal quality, large domain size, and tunable domain shape. The crystallinity and morphology of the growth substrates usually play a crucial role in the CVD synthesis of high-quality monolayer MoS2, a kind of 2D layered material which has ignited huge interest in nanoelectronics, optoelectronics and energy harvesting, etc. Herein, by utilizing a low-pressure chemical vapor deposition (LPCVD) system, we demonstrate a regioselective synthesis of monolayer MoS2 on the corrugated single-crystal LaAlO3 (100) with twin crystal domains induced by the second-order phase transition. Unique dendritic morphologies with tunable nucleation densities were obtained in different regions of the undulated substrate, presenting a strong substrate modulation effect. Interestingly, the exposure of abundant active edge sites along with the rather high nucleation density makes the monolayer dendritic MoS2 a good electrocatalyst for hydrogen evolution reaction (HER), particularly featured by a rather high exchange current density (70.4 μA cm-2). Furthermore, uniform monolayer MoS2 films can also be obtained and transferred to arbitrary substrates. We believe that this work provides a new growth system for the controllable synthesis of 2D layered materials with unique dendritic morphologies, as well as its great application potential in energy conversion and harvesting.

  20. Characterisation of the Broadly-Specific O-Methyl-transferase JerF from the Late Stages of Jerangolid Biosynthesis

    Directory of Open Access Journals (Sweden)

    Steffen Friedrich

    2016-10-01

    Full Text Available We describe the characterisation of the O-methyltransferase JerF from the late stages of jerangolid biosynthesis. JerF is the first known example of an enzyme that catalyses the formation of a non-aromatic, cyclic methylenolether. The enzyme was overexpressed in E. coli and the cell-free extracts were used in bioconversion experiments. Chemical synthesis gave access to a series of substrate surrogates that covered a broad structural space. Enzymatic assays revealed a broad substrate tolerance and high regioselectivity of JerF, which makes it an attractive candidate for an application in chemoenzymatic synthesis with particular usefulness for late stage application on 4-methoxy-5,6-dihydro-2H-pyran-2-one-containing natural products.

  1. Diffusion-controlled growth of molecular heterostructures: fabrication of two-, one-, and zero-dimensional C(60) nanostructures on pentacene substrates.

    Science.gov (United States)

    Breuer, Tobias; Witte, Gregor

    2013-10-09

    A variety of low dimensional C60 structures has been grown on supporting pentacene multilayers. By choice of substrate temperature during growth the effective diffusion length of evaporated fullerenes and their nucleation at terraces or step edges can be precisely controlled. AFM and SEM measurements show that this enables the fabrication of either 2D adlayers or solely 1D chains decorating substrate steps, while at elevated growth temperature continuous wetting of step edges is prohibited and instead the formation of separated C60 clusters pinned at the pentacene step edges occurs. Remarkably, all structures remain thermally stable at room temperature once they are formed. In addition the various fullerene structures have been overgrown by an additional pentacene capping layer. Utilizing the different probe depth of XRD and NEXAFS, we found that no contiguous pentacene film is formed on the 2D C60 structure, whereas an encapsulation of the 1D and 0D structures with uniformly upright oriented pentacene is achieved, hence allowing the fabrication of low dimensional buried organic heterostructures.

  2. Theoretical studies on lattice-oriented growth of single-walled carbon nanotubes on sapphire

    Science.gov (United States)

    Li, Zhengwei; Meng, Xianhong; Xiao, Jianliang

    2017-09-01

    Due to their excellent mechanical and electrical properties, single-walled carbon nanotubes (SWNTs) can find broad applications in many areas, such as field-effect transistors, logic circuits, sensors and flexible electronics. High-density, horizontally aligned arrays of SWNTs are essential for high performance electronics. Many experimental studies have demonstrated that chemical vapor deposition growth of nanotubes on crystalline substrates such as sapphire offers a promising route to achieve such dense, perfectly aligned arrays. In this work, a theoretical study is performed to quantitatively understand the van der Waals interactions between SWNTs and sapphire substrates. The energetically preferred alignment directions of SWNTs on A-, R- and M-planes and the random alignment on the C-plane predicted by this study are all in good agreement with experiments. It is also shown that smaller SWNTs have better alignment than larger SWNTs due to their stronger interaction with sapphire substrate. The strong vdW interactions along preferred alignment directions can be intuitively explained by the nanoscale ‘grooves’ formed by atomic lattice structures on the surface of sapphire. This study provides important insights to the controlled growth of nanotubes and potentially other nanomaterials.

  3. Epitaxial growth of 100-μm thick M-type hexaferrite crystals on wide bandgap semiconductor GaN/Al{sub 2}O{sub 3} substrates

    Energy Technology Data Exchange (ETDEWEB)

    Hu, Bolin; Su, Zhijuan; Bennett, Steve; Chen, Yajie, E-mail: y.chen@neu.edu; Harris, Vincent G. [Center for Microwave Magnetic Materials and Integrated Circuits and Department of Electrical and Computer Engineering, Northeastern University, Boston, Massachusetts 02115 (United States)

    2014-05-07

    Thick barium hexaferrite BaFe{sub 12}O{sub 19} (BaM) films having thicknesses of ∼100 μm were epitaxially grown on GaN/Al{sub 2}O{sub 3} substrates from a molten-salt solution by vaporizing the solvent. X-ray diffraction measurement verified the growth of BaM (001) textured growth of thick films. Saturation magnetization, 4πM{sub s}, was measured for as-grown films to be 4.6 ± 0.2 kG and ferromagnetic resonance measurements revealed a microwave linewidth of ∼100 Oe at X-band. Scanning electron microscopy indicated clear hexagonal crystals distributed on the semiconductor substrate. These results demonstrate feasibility of growing M-type hexaferrite crystal films on wide bandgap semiconductor substrates by using a simple powder melting method. It also presents a potential pathway for the integration of ferrite microwave passive devices with active semiconductor circuit elements creating system-on-a-wafer architectures.

  4. Role of unsaturated derivatives of spermidine as substrates for spermine synthase and in supporting growth of SV-3T3 cells.

    OpenAIRE

    Pegg, A E; Nagarajan, S; Naficy, S; Ganem, B

    1991-01-01

    Synthetic unsaturated analogues of the natural polyamine were examined as possible substrates for spermine synthase and as replacements for spermidine in supporting the growth of SV-3T3 cells. It was found that N-(3-aminopropyl)-1,4-diamino-cis-but-2-ene [the cis isomer of the alkene analogue of spermidine] was a good substrate for spermine synthase, but that the trans isomer [N-(3-aminopropyl)-1,4-diamino-trans-but-2-ene] and the alkene analogue [N-(3-aminopropyl)-1,4-diaminobut-2-yne] were ...

  5. The influence of substrate source on the growth of Ralstonia eutropha, aiming at the production of polyhydroxyalkanoate

    Directory of Open Access Journals (Sweden)

    Marangoni C.

    2001-01-01

    Full Text Available With the aim of producing polyhydroxyalkanoates, a study of the influence of culture conditions (nitrogen and carbon sources and temperature on the growth of Ralstonia eutropha in stirred flasks was carried out and the use of some low-cost sources (hydrolyzed lactose, inverted sugar and corn steep liquor as evaluated. The best specific growth rate was obtained when inverted sugar was utilized as the substrate (mumax = 0.26 h-1. Two different phases in the assimilation of the carbon source were observed when hydrolyzed lactose was present, suggesting the assimilation first of glucose and then of galactose. To confirm the growth of Ralstonia eutropha using galactose as the only carbon source, experiments were carried out and the results showed that this bacterium is able to grow in the presence of this sugar at a growth rate of 0.13 h-1. The use of galactose by Ralstonia eutropha for its growth has not been reported in the literature until now. Corn steep liquor was found to be a viable alternative nitrogen source to ammonium sulfate. The results of experiments carried out at 30°C and 34°C were similar.

  6. Investigations on Substrate Temperature-Induced Growth Modes of Organic Semiconductors at Dielectric/semiconductor Interface and Their Correlation with Threshold Voltage Stability in Organic Field-Effect Transistors.

    Science.gov (United States)

    Padma, Narayanan; Maheshwari, Priya; Bhattacharya, Debarati; Tokas, Raj B; Sen, Shashwati; Honda, Yoshihide; Basu, Saibal; Pujari, Pradeep Kumar; Rao, T V Chandrasekhar

    2016-02-10

    Influence of substrate temperature on growth modes of copper phthalocyanine (CuPc) thin films at the dielectric/semiconductor interface in organic field effect transistors (OFETs) is investigated. Atomic force microscopy (AFM) imaging at the interface reveals a change from 'layer+island' to "island" growth mode with increasing substrate temperatures, further confirmed by probing the buried interfaces using X-ray reflectivity (XRR) and positron annihilation spectroscopic (PAS) techniques. PAS depth profiling provides insight into the details of molecular ordering while positron lifetime measurements reveal the difference in packing modes of CuPc molecules at the interface. XRR measurements show systematic increase in interface width and electron density correlating well with the change from layer + island to coalesced huge 3D islands at higher substrate temperatures. Study demonstrates the usefulness of XRR and PAS techniques to study growth modes at buried interfaces and reveals the influence of growth modes of semiconductor at the interface on hole and electron trap concentrations individually, thereby affecting hysteresis and threshold voltage stability. Minimum hole trapping is correlated to near layer by layer formation close to the interface at 100 °C and maximum to the island formation with large voids between the grains at 225 °C.

  7. Chemically Functionalized Carbon Nanotubes as Substrates for Neuronal Growth

    Science.gov (United States)

    Hu, Hui; Ni, Yingchun; Montana, Vedrana; Haddon, Robert C.; Parpura, Vladimir

    2009-01-01

    We report the use of chemically modified carbon nanotubes as a substrate for cultured neurons. The morphological features of neurons that directly reflect their potential capability in synaptic transmission are characterized. The chemical properties of carbon nanotubes are systematically varied by attaching different functional groups that confer known characteristics to the substrate. By manipulating the charge carried by functionalized carbon nanotubes we are able to control the outgrowth and branching pattern of neuronal processes. PMID:21394241

  8. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    Energy Technology Data Exchange (ETDEWEB)

    Shimomoto, Kazuma; Ueno, Kohei [Institute of Industrial Science, University of Tokyo (Japan); Kobayashi, Atsushi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Department of Applied Chemistry, University of Tokyo (Japan); Ohta, Jitsuo [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Oshima, Masaharu [Department of Applied Chemistry, University of Tokyo (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Fujioka, Hiroshi [Institute of Industrial Science, University of Tokyo (Japan); Kanagawa Academy of Science and Technology (KAST), Takatsu-ku, Kawasaki (Japan); Core Research for Evolutional Science and Technology, Japan Science and Technology Corporation (JST-CREST), Tokyo (Japan); Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi [Mitsubishi Chemical Group, Science and Technology Research Center, Higashi-Mamiana, Ushiku-shi, Ibaraki (Japan)

    2009-05-15

    The authors have grown high-quality m -plane In{sub 0.36}Ga{sub 0.64}N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In{sub 0.36}Ga{sub 0.64}N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. Room-temperature epitaxial growth of high-quality m-plane InGaN films on ZnO substrates

    International Nuclear Information System (INIS)

    Shimomoto, Kazuma; Ueno, Kohei; Kobayashi, Atsushi; Ohta, Jitsuo; Oshima, Masaharu; Fujioka, Hiroshi; Amanai, Hidetaka; Nagao, Satoru; Horie, Hideyoshi

    2009-01-01

    The authors have grown high-quality m -plane In 0.36 Ga 0.64 N (1 anti 100) films on ZnO (1 anti 100) substrates at room temperature (RT) by pulsed laser deposition (PLD) and have investigated their structural properties. m-plane InGaN films grown on ZnO substrates at RT possess atomically flat surfaces with stepped and terraced structures, indicating that the film growth proceeds in a two-dimensional mode. X-ray diffraction measurements have revealed that the m-plane InGaN films grow without phase separation reactions at RT. The full-width at half-maximum values of the 1 anti 100 X-ray rocking curves of films with X-ray incident azimuths perpendicular to the c- and a-axis are 88 arcsec and 78 arcsec, respectively. Reciprocal space-mapping has revealed that a 50 nm thick m-plane In 0.36 Ga 0.64 N film grows coherently on the ZnO substrate, which can probably explain the low defect density that is observed in the film. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  10. Wafer bowing control of free-standing heteroepitaxial diamond (100) films grown on Ir(100) substrates via patterned nucleation growth

    International Nuclear Information System (INIS)

    Yoshikawa, Taro; Kodama, Hideyuki; Kono, Shozo; Suzuki, Kazuhiro; Sawabe, Atsuhito

    2015-01-01

    The potential of patterned nucleation growth (PNG) technique to control the wafer bowing of free-standing heteroepitaxial diamond films was investigated. The heteroepitaxial diamond (100) films were grown on an Ir(100) substrate via PNG technique with different patterns of nucleation regions (NRs), which were dot-arrays with 8 or 13 μm pitch aligned to < 100 > or < 110 > direction of the Ir(100) substrate. The wafer bows and the local stress distributions of the free-standing films were measured using a confocal micro-Raman spectrometer. For each NR pattern, the stress evolutions within the early stage of diamond growth were also studied together with a scanning electron microscopic observation of the coalescing diamond particles. These investigations revealed that the NR pattern, in terms of pitch and direction of dot-array, strongly affects the compressive stress on the nucleation side of the diamond film and dominantly contributes to the elastic deformation of the free-standing film. This indicates that the PNG technique with an appropriate NR pattern is a promising solution to fabricate free-standing heteroepitaxial diamond films with extremely small bows. - Highlights: • Wafer bowing control of free-standing heteroepitaxial diamond (100) films • Effect of patterned nucleation and growth (PNG) technique on wafer bowing reduction • Influence of nucleation region patterns of PNG on wafer bowing • Internal stress analysis of PNG films via confocal micro-Raman spectroscopy

  11. The influence of substrate type and chlormequat on the growth and flowering of marigold (Tagetes L.

    Directory of Open Access Journals (Sweden)

    Maślanka Małgorzata

    2017-12-01

    Full Text Available This study was conducted to investigate the effect of various horticultural substrates (compost, peat-coconut, peat TS1, flower soil, lowmoor peat and a foliar spray of chlormequat (at a concentration of 1380 mg dm-3 on the growth and flowering of the marigold cultivars belonging to two species: Tagetes erecta - ʻMarvel Mixtureʼ and ʻTaishan Orangeʼ, and Tagetes patula - ʻDurango Redʼ and ʻBonanza Flameʼ. The obtained results show that the plants grown in peat TS1 and peat-coconut were taller, had longer internodes and leaves, and thicker stems than the plants grown in the other substrates. Chlormequat significantly reduced the height of ʻMarvel Mixtureʼ (in peat TS1, ʻTaishan Orangeʼ (in lowmoor peat and ‘Bonanza Flameʼ (in peat-coconut. The use of chlormequat also accelerated the development of flower heads in ʻTaishan Orangeʼ (in lowmoor peat.

  12. Tea waste: an effective and economic substrate for oyster mushroom cultivation.

    Science.gov (United States)

    Yang, Doudou; Liang, Jin; Wang, Yunsheng; Sun, Feng; Tao, Hong; Xu, Qiang; Zhang, Liang; Zhang, Zhengzhu; Ho, Chi-Tang; Wan, Xiaochun

    2016-01-30

    Tea waste is the residue that remains after tea leaves have been extracted by hot water to obtain water-soluble components. The waste contains a re-usable energy substrate and nutrients which may pollute the environment if they are not dealt with appropriately. Other agricultural wastes have been widely studied as substrates for cultivating mushrooms. In the present study, we cultivated oyster mushroom using tea waste as substrate. To study the feasibility of re-using it, tea waste was added to the substrate at different ratios in different experimental groups. Three mushroom strains (39, 71 and YOU) were compared and evaluated. Mycelia growth rate, yield, biological efficiency and growth duration were measured. Substrates with different tea waste ratios showed different growth and yield performance. The substrate containing 40-60% of tea waste resulted in the highest yield. Tea waste could be used as an effective and economic substrate for oyster mushroom cultivation. This study also provided a useful way of dealing with massive amounts of tea waste. © 2015 Society of Chemical Industry.

  13. Growth and Device Performance of AlGaN/GaN Heterostructure with AlSiC Precoverage on Silicon Substrate

    Directory of Open Access Journals (Sweden)

    Jae-Hoon Lee

    2014-01-01

    Full Text Available A crack-free AlGaN/GaN heterostructure was grown on 4-inch Si (111 substrate with initial dot-like AlSiC precoverage layer. It is believed that introducing the AlSiC layer between AlN wetting layer and Si substrate is more effective in obtaining a compressively stressed film growth than conventional Al precoverage on Si surface. The metal semiconductor field effect transistor (MESFET, fabricated on the AlGaN/GaN heterostructure grown with the AlSiC layer, exhibited normally on characteristics, such as threshold voltage of −2.3 V, maximum drain current of 370 mA/mm, and transconductance of 124 mS/mm.

  14. Molten Salt-Based Growth of Bulk GaN and InN for Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Waldrip, Karen Elizabeth [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Power Sources Technology Dept.; Tsao, Jeffrey Yeenien [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Energy Sciences Dept.; Kerley, Thomas M. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Materials Sciences Dept.

    2006-09-01

    An atmospheric pressure approach to growth of bulk group III-nitrides is outlined. Native III-nitride substrates for optoelectronic and high power, high frequency electronics are desirable to enhance performance and reliability of these devices; currently, these materials are available in research quantities only for GaN, and are unavailable in the case of InN. The thermodynamics and kinetics of the reactions associated with traditional crystal growth techniques place these activities on the extreme edges of experimental physics. The technique described herein relies on the production of the nitride precursor (N3-) by chemical and/or electrochemical methods in a molten halide salt. This nitride ion is then reacted with group III metals in such a manner as to form the bulk nitride material. The work performed during the period of funding (July 2004-September 2005) focused on the initial measurement of the solubility of GaN in molten LiCl as a function of temperature, the construction of electrochemical cells, the modification of a commercial glove box (required for handling very hygroscopic LiCl), and on securing intellectual property for the technique.

  15. Polarity driven simultaneous growth of free-standing and lateral GaAsP epitaxial nanowires on GaAs (001) substrate

    International Nuclear Information System (INIS)

    Sun, Wen; Xu, Hongyi; Guo, Yanan; Gao, Qiang; Hoe Tan, Hark; Jagadish, Chennupati; Zou, Jin

    2013-01-01

    Simultaneous growth of 〈111〉 B free-standing and ±[110] lateral GaAsP epitaxial nanowires on GaAs (001) substrates were observed and investigated by electron microscopy and crystallographic analysis. It was found that the growth of both free-standing and lateral ternary nanowires via Au catalysts was driven by the fact that Au catalysts prefer to maintain low-energy (111) B interfaces with surrounding GaAs(P) materials: in the case of free-standing nanowires, Au catalysts maintain (111) B interfaces with their underlying GaAsP nanowires; while in the case of lateral nanowires, each Au catalyst remain their side (111) B interfaces with the surrounding GaAs(P) material during the lateral nanowire growth

  16. Cultured representatives of two major phylogroups of human colonic Faecalibacterium prausnitzii can utilize pectin, uronic acids, and host-derived substrates for growth.

    Science.gov (United States)

    Lopez-Siles, Mireia; Khan, Tanweer M; Duncan, Sylvia H; Harmsen, Hermie J M; Garcia-Gil, L Jesús; Flint, Harry J

    2012-01-01

    Faecalibacterium prausnitzii is one of the most abundant commensal bacteria in the healthy human large intestine, but information on genetic diversity and substrate utilization is limited. Here, we examine the phylogeny, phenotypic characteristics, and influence of gut environmental factors on growth of F. prausnitzii strains isolated from healthy subjects. Phylogenetic analysis based on the 16S rRNA sequences indicated that the cultured strains were representative of F. prausnitzii sequences detected by direct analysis of fecal DNA and separated the available isolates into two phylogroups. Most F. prausnitzii strains tested grew well under anaerobic conditions on apple pectin. Furthermore, F. prausnitzii strains competed successfully in coculture with two other abundant pectin-utilizing species, Bacteroides thetaiotaomicron and Eubacterium eligens, with apple pectin as substrate, suggesting that this species makes a contribution to pectin fermentation in the colon. Many F. prausnitzii isolates were able to utilize uronic acids for growth, an ability previously thought to be confined to Bacteroides spp. among human colonic anaerobes. Most strains grew on N-acetylglucosamine, demonstrating an ability to utilize host-derived substrates. All strains tested were bile sensitive, showing at least 80% growth inhibition in the presence of 0.5 μg/ml bile salts, while inhibition at mildly acidic pH was strain dependent. These attributes help to explain the abundance of F. prausnitzii in the colonic community but also suggest factors in the gut environment that may limit its distribution.

  17. In situ observation of carbon nanotube layer growth on microbolometers with substrates at ambient temperature

    Science.gov (United States)

    Svatoš, Vojtěch; Gablech, Imrich; Ilic, B. Robert; Pekárek, Jan; Neužil, Pavel

    2018-03-01

    Carbon nanotubes (CNTs) have near unity infrared (IR) absorption efficiency, making them extremely attractive for IR imaging devices. Since CNT growth occurs at elevated temperatures, the integration of CNTs with IR imaging devices is challenging and has not yet been achieved. Here, we show a strategy for implementing CNTs as IR absorbers using differential heating of thermally isolated microbolometer membranes in a C2H2 environment. During the process, CNTs were catalytically grown on the surface of a locally heated membrane, while the substrate was maintained at an ambient temperature. CNT growth was monitored in situ in real time using optical microscopy. During growth, we measured the intensity of light emission and the reflected light from the heated microbolometer. Our measurements of bolometer performance show that the CNT layer on the surface of the microbolometer membrane increases the IR response by a factor of (2.3 ± 0.1) (mean ± one standard deviation of the least-squares fit parameters). This work opens the door to integrating near unity IR absorption, CNT-based, IR absorbers with hybrid complementary metal-oxide-semiconductor focal plane array architectures.

  18. Growth and nutrient balance of Enterolobium contortsiliquum seedlings with addition of organic substrates and wastewater

    Directory of Open Access Journals (Sweden)

    Emanuel França Araújo

    2016-06-01

    Full Text Available Given the strong generation of solid organic waste and wastewater, the use of these materials as a primary source of nutrients is an important practice in environmental management, especially in the production of seedlings with emphasis on degraded areas. The objective of this study was to evaluate growth and nutrient balance of “tamboril” (Enterolobium contortsiliquum (Vell. Morong seedlings grown on substrates with different formulations proportions of organic matter irrigated with wastewater. It was tested five ratios of organic composts and soil: 0:100; 20:80; 40:60; 60:40 and 80:20 v/v. Two procedences of irrigation water was tested: water supply and wastewater from swine farming, arranged in a completely randomized design in a factorial scheme 5 x 2, with four replications. At 90 days, we evaluate seedlings morphological variables, the integrate diagnosis recommendation index and the nutrient balance index. The organic residue contributes to seedlings growth and nutritional balance. The proportion 80:20 proved to be the most suitable for “tamboril” seedlings production. Seedlings presented lower growth and nutritional balance when irrigate with swine farm wastewater.

  19. Cellulase biosynthesis by trichoderma viride on soluble substrates

    Energy Technology Data Exchange (ETDEWEB)

    Shin, S B; Kitagawa, Y; Suga, K; Ichikawa, K

    1978-01-01

    Batch and continuous cultures of Trichoderma viride QM 6a were carried out using either glucose or cellobiose as the sole carbon source. From the data obtained in the continuous culture with glucose as substrate, growth parameters of this fungus ..mu../sub m/, K/sub s/, m and Y were identified. In the case of glucose as substrate, there were extremely low levels of cellobiase and no detectable cellulase activity in both batch and continuous cultures. The inducible cellobiase was an intracellular enzyme, produced in association with cell growth in batch culture on cellobiose as substrate. A kinetic model for cellobiose degradation and cell growth is proposed. A significant increase in the extracellular cellulase productivity was obtained in the range of low dilution rates from 0.025 h/sup -1/ to 0.2 h/sup -1/ in the continuous culture on cellobiose. From the results of these experiments, it was concluded that in continuous culture on cellobiose as substrate the cellulase activity was determined by the balance between induction and catabolite repression.

  20. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. The limitations of seedling growth and drought tolerance to novel soil substrates in arid systems: Implications for restoration success

    Science.gov (United States)

    Bateman, Amber; Lewandrowski, Wolfgang; Stevens, Jason; Muñoz-Rojas, Miriam

    2016-04-01

    Introduction With the limited knowledge available regarding the impact of drought on seedling growth, an understanding of seedling tolerance to arid conditions is crucial for restoration success (James et al., 2013; Muñoz-Rojas et al., 2014). However, restoration in semi-arid areas faces the challenge of re-establishing plant communities on altered soil substrates (Muñoz-Rojas et al., 2015). These substrates are a result of anthropogenic disturbances such as mining which have altered the plant-soil-water dynamics of the ecosystem (Machado et al., 2013). The aim of this study was to assess the impact of mining on the plant-soil-water dynamics of an arid ecosystem of Western Australia (Pilbara region, North Western Australia) and the implications these altered relationships have on seedling growth and their responses to drought. Methods Drought responses of native plant species were assessed through a series of glasshouse experiments. Firstly, 21 species dominant to the Pilbara region were subjected to drought in a topsoil growth media to assess variation in responses (leaf water potential at the time of stomatal closure) across species and identify traits associated with drought tolerance. Secondly, four species ranging in their drought tolerance identified previously, were grown to two leaf stages (second and fourth leaf stage) in three mining substrates (topsoil, a topsoil and waste mix and waste) to assess seedling drought responses to various potential restoration substrates and how that varied with plant development stage. Results and discussion Four morphological traits were found to be significantly associated with drought indicators (leaf mass ratio, stem area, stem length, stem weight), however, these were weak correlations. Waste substrate and its addition to topsoil reduced plant total biomass but did not alter species responses to drought. However, the soil physical properties of the waste reduced water retention and water availability for plant uptake

  2. Growth and domain structure of YBa2Cu3Ox films on neodymium gallate substrates with deviation of surface normal from [110] NdGaO3

    International Nuclear Information System (INIS)

    Bdikin, I.K.; Mozhaev, P.B.; Ovsyannikov, G.A.; Komissinskij, F.V.; Kotelyanskij, I.M.; Raksha, E.I.

    2001-01-01

    One investigated into growth, crystalline structure and electrophysical properties of YBa 2 Cu 3 O x (YBCO) epitaxial films grown on NdGaO 3 (NGO) substrates with substrate surface normal deviation from [110] by 5-26.6 deg angle around [001] with CeO 2 epitaxial sublayer or without it. Orientation of YBCO epitaxial films grown at these substrates is shown to be governed by occurrence of symmetrically equipment directions in substrates and in CeO 2 layer, as well as, by film precipitation rate. At precipitation high rate YBCO films on CeO 2 sublayer grow in [001] orientation independently of orientation of substrate and sublayer. One determined that at increase of substrate plane deviation angle from (110) NGO twinning of one or of both twin complexes in YBCO might be suppressed [ru

  3. Low Temperature (180°C Growth of Smooth Surface Germanium Epilayers on Silicon Substrates Using Electron Cyclotron Resonance Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Teng-Hsiang Chang

    2014-01-01

    Full Text Available This paper describes a new method to grow thin germanium (Ge epilayers (40 nm on c-Si substrates at a low growth temperature of 180°C using electron cyclotron resonance chemical vapor deposition (ECR-CVD process. The full width at half maximum (FWHM of the Ge (004 in X-ray diffraction pattern and the compressive stain in a Ge epilayer of 683 arcsec and 0.12% can be achieved. Moreover, the Ge/Si interface is observed by transmission electron microscopy to demonstrate the epitaxial growth of Ge on Si and the surface roughness is 0.342 nm. The thin-thickness and smooth surface of Ge epilayer grown on Si in this study is suitable to be a virtual substrate for developing the low cost and high efficiency III-V/Si tandem solar cells in our opinion. Furthermore, the low temperature process can not only decrease costs but can also reduce the restriction of high temperature processes on device manufacturing.

  4. Enhanced growth of highly lattice-mismatched CdSe on GaAs substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, Jyh-Shyang; Tsai, Yu-Hsuan; Wang, Hsiao-Hua; Ke, Han-Xiang; Tong, Shih-Chang; Yang, Chu-Shou; Wu, Chih-Hung; Shen, Ji-Lin

    2013-01-01

    This work demonstrates the improvement of the molecular beam epitaxial growth of zinc-blende CdSe on (0 0 1) GaAs substrate with a large lattice mismatch by introducing a small amount of Te atoms. Exposing the growing surface to Te atoms changes the reflection high-energy electron diffraction pattern from spotty to streaky together with (2 × 1) surface reconstruction, and greatly reduces the full width at half maximum of the X-ray rocking curve and increases the integral intensity of room-temperature photoluminescence by a factor of about nine.

  5. YqhD. A broad-substrate range aldehyde reductase with various applications in production of biorenewable fuels and chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Jarboe, Laura R. [Iowa State Univ., Ames, IA (United States). Dept. of Chemical and Biological Engineering

    2011-01-15

    The Escherichia coli NADPH-dependent aldehyde reductase YqhD has contributed to a variety of metabolic engineering projects for production of biorenewable fuels and chemicals. As a scavenger of toxic aldehydes produced by lipid peroxidation, YqhD has reductase activity for a broad range of short-chain aldehydes, including butyraldehyde, glyceraldehyde, malondialdehyde, isobutyraldehyde, methylglyoxal, propanealdehyde, acrolein, furfural, glyoxal, 3-hydroxypropionaldehyde, glycolaldehyde, acetaldehyde, and acetol. This reductase activity has proven useful for the production of biorenewable fuels and chemicals, such as isobutanol and 1,3- and 1,2-propanediol; additional capability exists for production of 1-butanol, 1-propanol, and allyl alcohol. A drawback of this reductase activity is the diversion of valuable NADPH away from biosynthesis. This YqhD-mediated NADPH depletion provides sufficient burden to contribute to growth inhibition by furfural and 5-hydroxymethyl furfural, inhibitory contaminants of biomass hydrolysate. The structure of YqhD has been characterized, with identification of a Zn atom in the active site. Directed engineering efforts have improved utilization of 3-hydroxypropionaldehyde and NADPH. Most recently, two independent projects have demonstrated regulation of yqhD by YqhC, where YqhC appears to function as an aldehyde sensor. (orig.)

  6. Single crystalline metal films as substrates for graphene growth

    Energy Technology Data Exchange (ETDEWEB)

    Zeller, Patrick; Henss, Ann-Kathrin; Wintterlin, Joost [Department Chemie, Ludwig-Maximilians-Universitaet Muenchen (Germany); Weinl, Michael; Schreck, Matthias [Institut fuer Physik, Universitaet Augsburg (Germany); Speck, Florian; Ostler, Markus [Lehrstuhl fuer Technische Physik, Universitaet Erlangen-Nuernberg, Erlangen (Germany); Institut fuer Physik, Technische Universitaet Chemnitz (Germany); Seyller, Thomas [Institut fuer Physik, Technische Universitaet Chemnitz (Germany)

    2017-11-15

    Single crystalline metal films deposited on YSZ-buffered Si(111) wafers were investigated with respect to their suitability as substrates for epitaxial graphene. Graphene was grown by CVD of ethylene on Ru(0001), Ir(111), and Ni(111) films in UHV. For analysis a variety of surface science methods were used. By an initial annealing step the surface quality of the films was strongly improved. The temperature treatments of the metal films caused a pattern of slip lines, formed by thermal stress in the films, which, however, did not affect the graphene quality and even prevented wrinkle formation. Graphene was successfully grown on all three types of metal films in a quality comparable to graphene grown on bulk single crystals of the same metals. In the case of the Ni(111) films the originally obtained domain structure of rotational graphene phases could be transformed into a single domain by annealing. This healing process is based on the control of the equilibrium between graphene and dissolved carbon in the film. For the system graphene/Ni(111) the metal, after graphene growth, could be removed from underneath the epitaxial graphene layer by a pure gas phase reaction, using the reaction of CO with Ni to give gaseous Ni(CO){sub 4}. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Growth of broad-nosed caiman, Caiman latirostris (Daudin, 1802 hatchlings, fed with diets of animal origin

    Directory of Open Access Journals (Sweden)

    M. S. PINHEIRO

    Full Text Available The study was carried out to evaluate the growth rate of broad-nosed caiman, Caiman latirostris hatchlings, fed on four animal protein diets: (a dead poultry from a poultry farm; (b dead piglet from nursery and farrowing house in a swine farm; (c whole tilapia (Tilapia rendalli e Oreochromis niloticus; and (d a balanced mixture of a, b, and c sources. Sixteen seven-month old caimans, average weight of 208 g and, 38 cm of total lenght (TL were distributed in four treatments. Four groups of four caimans each were placed in cement enclosures inside a greenhouse. Diets were supplied at the average rate of 97.8% ± 34.8% of the body weight per week (average and standard deviation; wet weight basis. Body mass and total length of caimans were measured every 30 days for six months (Nov. 1995-April. 1996. An analysis of variance with repeated measures was performed. Diets provided suitable growth for weight and TL (mean ± standard deviation, respectively: (a 2,157 ± 743 g and 79.5 ± 6.9 cm; (b 1,811 ± 222 g and 75.7 ± 1.9 cm; (c 2,431 ± 780 g and 80.7 ± 5.8 cm; (d 1,683.5 ± 736 g and 74.5 ± 7.2 cm. There was no significant effect of diet on weight, but diet effect on TL of hatchlings approached significance (p < 0.10. It is concluded that all diets have good potential, in growth sense, to be used in commercial farms or ranches and for captivity propagation programs of caimans.

  8. The diversity and specificity of the extracellular proteome in the cellulolytic bacterium Caldicellulosiruptor bescii is driven by the nature of the cellulosic growth substrate.

    Science.gov (United States)

    Poudel, Suresh; Giannone, Richard J; Basen, Mirko; Nookaew, Intawat; Poole, Farris L; Kelly, Robert M; Adams, Michael W W; Hettich, Robert L

    2018-01-01

    Caldicellulosiruptor bescii is a thermophilic cellulolytic bacterium that efficiently deconstructs lignocellulosic biomass into sugars, which subsequently can be fermented into alcohols, such as ethanol, and other products. Deconstruction of complex substrates by C. bescii involves a myriad of highly abundant, substrate-specific extracellular solute binding proteins (ESBPs) and carbohydrate-active enzymes (CAZymes) containing carbohydrate-binding modules (CBMs). Mass spectrometry-based proteomics was employed to investigate how these substrate recognition proteins and enzymes vary as a function of lignocellulosic substrates. Proteomic analysis revealed several key extracellular proteins that respond specifically to either C5 or C6 mono- and polysaccharides. These include proteins of unknown functions (PUFs), ESBPs, and CAZymes. ESBPs that were previously shown to interact more efficiently with hemicellulose and pectin were detected in high abundance during growth on complex C5 substrates, such as switchgrass and xylan. Some proteins, such as Athe_0614 and Athe_2368, whose functions are not well defined were predicted to be involved in xylan utilization and ABC transport and were significantly more abundant in complex and C5 substrates, respectively. The proteins encoded by the entire glucan degradation locus (GDL; Athe_1857, 1859, 1860, 1865, 1867, and 1866) were highly abundant under all growth conditions, particularly when C. bescii was grown on cellobiose, switchgrass, or xylan. In contrast, the glycoside hydrolases Athe_0609 (Pullulanase) and 0610, which both possess CBM20 and a starch binding domain, appear preferential to C5/complex substrate deconstruction. Some PUFs, such as Athe_2463 and 2464, were detected as highly abundant when grown on C5 substrates (xylan and xylose), also suggesting C5-substrate specificity. This study reveals the protein membership of the C. bescii secretome and demonstrates its plasticity based on the complexity (mono

  9. Growth of nano hexagon-like flake arrays cerium carbonate created with PAH as the substrate

    Energy Technology Data Exchange (ETDEWEB)

    Li, M., E-mail: limei@imust.cn [School of Materials Science and Engineering, Beijing University of Chemical Engineering, Department of Materials, Beijing 100029 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Department of Inorganic and Metalloid Materials, Key Laboratory of New Technologies of Modern Metallurgy and Application of Rare Materials, Baotou 014010 (China); Hu, Y.H., E-mail: bthyh@163.com [School of Materials Science and Engineering, Beijing University of Chemical Engineering, Department of Materials, Beijing 100029 (China); School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Department of Inorganic and Metalloid Materials, Key Laboratory of New Technologies of Modern Metallurgy and Application of Rare Materials, Baotou 014010 (China); Liu, Z.G.; Wang, X.F.; Wang, M.T. [School of Materials and Metallurgy, Inner Mongolia University of Science and Technology, Department of Inorganic and Metalloid Materials, Key Laboratory of New Technologies of Modern Metallurgy and Application of Rare Materials, Baotou 014010 (China)

    2015-01-15

    Petals-like Ce{sub 2}(CO{sub 3}){sub 3} on Ce{sub 2}(CO{sub 3}){sub 3} nano hexagon-like flake arrays have been precipitatingly fabricated using PAH substrates. By changing the way of feeding, PAH concentration and aging time, petals-like Ce{sub 2}(CO{sub 3}){sub 3} was created best when adding PAH into the Ce(NO{sub 3}){sub 3} solution, joined (NH{sub 4}){sub 2}CO{sub 3} solution along with mixing, PAH concentration is 0.9 g/L, aging time is 4 h. A growth mechanism was proposed to account for the growth of the petals-like Ce{sub 2}(CO{sub 3}){sub 3} with PAH as the substrate. Poly allylamine hydrochloride (PAH) is as template agent which forms π-allyl complex with Ce{sup 3+} and controls the morphology of Ce{sub 2}(CO{sub 3}){sub 3} particle. PAH and Ce{sup 3+} form π-allyl complex, and then induce the formation of Ce{sub 2}(CO{sub 3}){sub 3} crystal nucleus. And infrared spectrum analysis verified. XRD show that after adding PAH which is adsorbed on the crystal plane, the growth of Ce{sub 2}(CO{sub 3}){sub 3} crystal is inhibited on (2 4 2), the growth is promoted on (2 0 2) which is differentiated into the new (1 5 1), (2 2 2) is unchanged, Ce{sub 2}(CO{sub 3}){sub 3} crystal is accumulated petals shape by hexagon-like flake. UV absorption spectra show that CeO{sub 2} as prepared precursor Ce{sub 2}(CO{sub 3}){sub 3} after calcinations in air at high temperatures, the petal-like CeO{sub 2} has strong UV absorption and reflection effects, and absorption interval changed significantly by the move to UVA from UVB. - Graphical abstract: Each Ce-atom connects three Cl-atoms and three allyls in three dimensional spaces. To take the plane as a reference plane which is arrayed with three Ce-atom as equilateral triangle. The triangular each vertex is Ce-atom, the triangular center place is Cl-atom, the equilateral triangle which is mutually perpendicular with Ce-triangle surface and the inclined angle is 60° is made up with three Cl-atoms. - Highlights: • Petals

  10. Identifying efficiency trends for Queensland broad-acre beef enterprises

    OpenAIRE

    Gregg, Daniel; Rolfe, John

    2010-01-01

    Productivity and efficiency improvements in agriculture have recently been targeted as Federal Government priorities in Australia. This research examined a dataset of 116 broad-acre beef enterprises from Queensland who participated in a program, Profit Probe, developed to improve management and profitability of enterprises. The aim of this research was to identify the sources, if any, of productivity growth for this sample of enterprises. Two potential sources of productivity growth were iden...

  11. Anaerobic digestion characteristics of pig manures depending on various growth stages and initial substrate concentrations in a scaled pig farm in Southern China.

    Science.gov (United States)

    Zhang, Wanqin; Lang, Qianqian; Wu, Shubiao; Li, Wei; Bah, Hamidou; Dong, Renjie

    2014-03-01

    The characteristics of anaerobic digestion of pig manure from different growth stages were investigated. According to growth stage, batch experiments were performed using gestating sow manure (GSM), swine nursery with post-weaned piglet manure (SNM), growing fattening manure (GFM) and mixed manure (MM) as substrates at four substrate concentrations (40, 50, 65 and 80gVS/L) under mesophilic conditions. The maximum methane yields of MM, SNM, GSM and GFM were 354.7, 328.7, 282.4 and 263.5mLCH4/gVSadded, respectively. Volatile fatty acids/total inorganic carbon (VFA/TIC) ratio increased from 0.10 to 0.89 when loading increased from 40 to 80gVS/L for GFM. The modified Gompertz model shows a better fit to the experimental results than the first order model with a lower difference between measured and predicted methane yields. The kinetic parameters indicated that the methane production curve on the basis of differences in biodegradability of the pig manure at different growth stages. Copyright © 2014 Elsevier Ltd. All rights reserved.

  12. Effects of bamboo substrate and supplementary feed on growth and ...

    African Journals Online (AJOL)

    application as control (C), control and substrate installation (C + S) and, control and substrate with supplementary feeding (C + S + F) were randomly allotted to six earthen ponds each with an area of 100m2. Catfish fingerlings of mean weight 27.5g + 1.25 were stocked at the rate of 80 fish per 100m2. Water temperature, pH ...

  13. Multifunctional epitaxial systems on silicon substrates

    Energy Technology Data Exchange (ETDEWEB)

    Singamaneni, Srinivasa Rao, E-mail: ssingam@ncsu.edu [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Department of Physics, The University of Texas at El Paso, El Paso, Texas 79968 (United States); Prater, John Thomas [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Materials Science Division, Army Research Office, Research Triangle Park, North Carolina 27709 (United States); Narayan, Jagdish [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2016-09-15

    Multifunctional heterostructures can exhibit a wide range of functional properties, including colossal magneto-resistance, magnetocaloric, and multiferroic behavior, and can display interesting physical phenomena including spin and charge ordering and strong spin-orbit coupling. However, putting this functionality to work remains a challenge. To date, most of the work reported in the literature has dealt with heterostructures deposited onto closely lattice matched insulating substrates such as DyScO{sub 3}, SrTiO{sub 3} (STO), or STO buffered Si(100) using concepts of lattice matching epitaxy (LME). However, strain in heterostructures grown by LME is typically not fully relaxed and the layers contain detrimental defects such as threading dislocations that can significantly degrade the physical properties of the films and adversely affect the device characteristics. In addition, most of the substrates are incompatible with existing CMOS-based technology, where Si (100) substrates dominate. This review discusses recent advances in the integration of multifunctional oxide and non-oxide materials onto silicon substrates. An alternative thin film growth approach, called “domain matching epitaxy,” is presented which identifies approaches for minimizing lattice strain and unwanted defects in large misfit systems (7%–25% and higher). This approach broadly allows for the integration of multifunctional materials onto silicon substrates, such that sensing, computation, and response functions can be combined to produce next generation “smart” devices. In general, pulsed laser deposition has been used to epitaxially grow these materials, although the concepts developed here can be extended to other deposition techniques, as well. It will be shown that TiN and yttria-stabilized zirconia template layers provide promising platforms for the integration of new functionality into silicon-based computer chips. This review paper reports on a number of thin

  14. Substrate morphology induced self-organization into carbon nanotube arrays, ropes, and agglomerates.

    Science.gov (United States)

    Huang, Jia-Qi; Zhang, Qiang; Xu, Guang-Hui; Qian, Wei-Zhong; Wei, Fei

    2008-10-29

    In this paper, hydrophobic carbon nanotube (CNT) arrays, ropes, and agglomerates were synthesized through self-organization on quartz substrates with different micro-structures under the same growth condition. On a flat substrate, a uniform woven structure was formed which resulted in a synchronous growth into an array. When the substrate with 10 µm round concaves distributed on the surface was adopted, the woven structure was sporadic and a CNT cluster was grown in the concave. With further growth, CNT ropes were self-organized. Subsequently, when the substrate consisting of irregular ∼100 nm gaps was used, the initial woven structure was high density, thus resulting in the formation of CNT agglomerates. Study results showed that CNT arrays grown on the flat substrate were of the highest purity and had a contact angle of 153.8 ± 0.9°. Thus, the self-organization behavior among CNTs was in situ modulated by different substrate morphology without further treatments. This provides us with an additional understanding of the self-organization of CNTs during growth, as well as strategies for the controllable synthesis of CNTs with fixed properties.

  15. Substrate morphology induced self-organization into carbon nanotube arrays, ropes, and agglomerates

    International Nuclear Information System (INIS)

    Huang Jiaqi; Zhang Qiang; Xu Guanghui; Qian Weizhong; Wei Fei

    2008-01-01

    In this paper, hydrophobic carbon nanotube (CNT) arrays, ropes, and agglomerates were synthesized through self-organization on quartz substrates with different micro-structures under the same growth condition. On a flat substrate, a uniform woven structure was formed which resulted in a synchronous growth into an array. When the substrate with 10 μm round concaves distributed on the surface was adopted, the woven structure was sporadic and a CNT cluster was grown in the concave. With further growth, CNT ropes were self-organized. Subsequently, when the substrate consisting of irregular ∼100 nm gaps was used, the initial woven structure was high density, thus resulting in the formation of CNT agglomerates. Study results showed that CNT arrays grown on the flat substrate were of the highest purity and had a contact angle of 153.8 ± 0.9 0 . Thus, the self-organization behavior among CNTs was in situ modulated by different substrate morphology without further treatments. This provides us with an additional understanding of the self-organization of CNTs during growth, as well as strategies for the controllable synthesis of CNTs with fixed properties.

  16. Direct Growth of III-Nitride Nanowire-Based Yellow Light-Emitting Diode on Amorphous Quartz Using Thin Ti Interlayer

    KAUST Repository

    Prabaswara, Aditya

    2018-02-06

    Consumer electronics have increasingly relied on ultra-thin glass screen due to its transparency, scalability, and cost. In particular, display technology relies on integrating light-emitting diodes with display panel as a source for backlighting. In this study, we undertook the challenge of integrating light emitters onto amorphous quartz by demonstrating the direct growth and fabrication of a III-nitride nanowire-based light-emitting diode. The proof-of-concept device exhibits a low turn-on voltage of 2.6 V, on an amorphous quartz substrate. We achieved ~ 40% transparency across the visible wavelength while maintaining electrical conductivity by employing a TiN/Ti interlayer on quartz as a translucent conducting layer. The nanowire-on-quartz LED emits a broad linewidth spectrum of light centered at true yellow color (~ 590 nm), an important wavelength bridging the green-gap in solid-state lighting technology, with significantly less strain and dislocations compared to conventional planar quantum well nitride structures. Our endeavor highlighted the feasibility of fabricating III-nitride optoelectronic device on a scalable amorphous substrate through facile growth and fabrication steps. For practical demonstration, we demonstrated tunable correlated color temperature white light, leveraging on the broadly tunable nanowire spectral characteristics across red-amber-yellow color regime.

  17. Substrates coated with silver nanoparticles as a neuronal regenerative material

    Directory of Open Access Journals (Sweden)

    Alon N

    2014-05-01

    Full Text Available Noa Alon,1,3,* Yana Miroshnikov,2,3,* Nina Perkas,2,3 Ifat Nissan,2,3 Aharon Gedanken,2,3 Orit Shefi1,31Faculty of Engineering, 2Department of Chemistry, 3Bar-Ilan Institute of Nanotechnology and Advanced Materials, Bar-Ilan University, Ramat Gan, Israel*These authors contributed equally to this workAbstract: Much effort has been devoted to the design of effective biomaterials for nerve regeneration. Here, we report the novel use of silver nanoparticles (AgNPs as regenerative agents to promote neuronal growth. We grew neuroblastoma cells on surfaces coated with AgNPs and studied the effect on the development of the neurites during the initiation and the elongation growth phases. We find that the AgNPs function as favorable anchoring sites, and the growth on the AgNP-coated substrates leads to a significantly enhanced neurite outgrowth. Cells grown on substrates coated with AgNPs have initiated three times more neurites than cells grown on uncoated substrates, and two times more than cells grown on substrates sputtered with a plain homogenous layer of silver. The growth of neurites on AgNPs in the elongation phase was enhanced as well. A comparison with substrates coated with gold nanoparticles (AuNPs and zinc oxide nanoparticles (ZnONPs demonstrated a clear silver material-driven promoting effect, in addition to the nanotopography. The growth on substrates coated with AgNPs has led to a significantly higher number of initiating neurites when compared to substrates coated with AuNPs or ZnONPs. All nanoparticle-coated substrates affected and promoted the elongation of neurites, with a significant positive maximal effect for the AgNPs. Our results, combined with the well-known antibacterial effect of AgNPs, suggest the use of AgNPs as an attractive nanomaterial – with dual activity – for neuronal repair studies.Keywords: nerve regeneration, nanotopography, antibacterial material, neuroblastoma, gold nanoparticles, zinc oxide nanoparticles

  18. Secretion of human epidermal growth factor (EGF) in autotrophic culture by a recombinant hydrogen-utilizing bacterium, Pseudomonas pseudoflava, carrying broad-host-range EGF secretion vector pKSEGF2.

    OpenAIRE

    Hayase, N; Ishiyama, A; Niwano, M

    1994-01-01

    We constructed the broad-host-range human epidermal growth factor (EGF) secretion plasmid pKSEGF2 by inserting the Escherichia coli tac promoter, the signal sequence of Pseudomonas stutzeri amylase, and the synthesized EGF gene into the broad-host-range vector pKT230. E. coli JM109 carrying pKSEGF2 secreted EGF into the periplasm and the culture medium under the control of the tac promoter. Pseudomonas aeruginosa PAO1161 carrying pKSEGF2 and Pseudomonas putida AC10 carrying pKSEGF2 secreted E...

  19. Direct in Situ Conversion of Metals into Metal-Organic Frameworks: A Strategy for the Rapid Growth of MOF Films on Metal Substrates.

    Science.gov (United States)

    Ji, Hoon; Hwang, Sunhyun; Kim, Keonmok; Kim, CheolGi; Jeong, Nak Cheon

    2016-11-30

    The fabrication of metal-organic framework (MOF) films on conducting substrates has demonstrated great potential in applications such as electronic conduction and sensing. For these applications, direct contact of the film to the conducting substrate without a self-assembled monolayer (SAM) is a desired step that must be achieved prior to the use of MOF films. In this report, we propose an in situ strategy for the rapid one-step conversion of Cu metal into HKUST-1 films on conducting Cu substrates. The Cu substrate acts both as a conducting substrate and a source of Cu 2+ ions during the synthesis of HKUST-1. This synthesis is possible because of the simultaneous reaction of an oxidizing agent and a deprotonating agent, in which the former agent dissolves the metal substrate to form Cu 2+ ions while the latter agent deprotonates the ligand. Using this strategy, the HKUST-1 film could not only be rapidly synthesized within 5 min but also be directly attached to the Cu substrate. Based on microscopic studies, we propose a plausible mechanism for the growth reaction. Furthermore, we show the versatility of this in situ conversion methodology, applying it to ZIF-8, which comprises Zn 2+ ions and imidazole-based ligands. Using an I 2 -filled HKUST-1 film, we further demonstrate that the direct contact of the MOF film to the conducting substrate makes the material more suitable for use as a sensor or electronic conductor.

  20. Attempts to lower the detection limits of heavy metals in standardized grass cultures by using alternative growth substrates

    International Nuclear Information System (INIS)

    Winter, A.; Mueller, P.; Wagner, G.

    1992-01-01

    In addition to the use of standardized grass cultures (cf. VDI 3792) within the framework of an effect cadastre, grass cultures were tested on two non-contaminated substrates with nutrient solution in the greenhouse and in the open land during different exposure cycles. The results: As compared to the standard cultures on standardized soil, the cultures have the same or a better growth performance and better dry resistance on the artificial substrates; the blind values and the refore the detection limits in particular for cadmium are by far lower; four-week exposure periods with a two-week overlap have an improved information yield for the same amount of work throughout the investigation period as compared to a two-week exposure. Recommendations are derived from the results for a simplified application of the grass culture method in practice. (orig.) [de

  1. Catalytic growth of carbon nanofibers on Cr nanoparticles on a carbon substrate: adsorbents for organic dyes in water

    Energy Technology Data Exchange (ETDEWEB)

    Alves de Oliveira, Luiz Carlos, E-mail: luizoliveira@qui.ufmg.br; Candido da Silva, Adilson; Rodrigues Teixeira Machado, Alan [ICEx, Universidade Federal de Minas Gerais, Departamento de Quimica (Brazil); Diniz, Renata [Universidade Federal de Juiz de Fora, Departamento de Quimica (Brazil); Cesar Pereira, Marcio [Universidade Federal dos Vales do Jequitinhonha e Mucuri, Instituto de Ciencia, Engenharia e Tecnologia (Brazil)

    2013-05-15

    We have produced carbon nanofibers (CNFs) using leather waste that had been tanned with a chromium bath, and when dried contained Cr{sub 2}O{sub 3}. Suitable reduction processing produced a carbon substrate with supported nanoparticles of chromium metal. Powder X-ray diffraction showed that the Cr{sub 2}O{sub 3} is reduced on the carbon surface to produce CrC and metal Cr, which is the effective catalyst for the CNFs growth. The CNF arrays were confirmed by TEM images. Raman data revealed that the synthesized CNFs have a poor-quality graphite structure which favors their use in adsorption processes. These CNFs presented higher affinity to adsorb anionic dyes, whereas the cationic dyes are better adsorbed on the carbon substrate. The low-cost and availability of the carbon precursor makes their potential use to produce CNFs of interest.

  2. Catalytic growth of carbon nanofibers on Cr nanoparticles on a carbon substrate: adsorbents for organic dyes in water

    International Nuclear Information System (INIS)

    Alves de Oliveira, Luiz Carlos; Cândido da Silva, Adilson; Rodrigues Teixeira Machado, Alan; Diniz, Renata; César Pereira, Márcio

    2013-01-01

    We have produced carbon nanofibers (CNFs) using leather waste that had been tanned with a chromium bath, and when dried contained Cr 2 O 3 . Suitable reduction processing produced a carbon substrate with supported nanoparticles of chromium metal. Powder X-ray diffraction showed that the Cr 2 O 3 is reduced on the carbon surface to produce CrC and metal Cr, which is the effective catalyst for the CNFs growth. The CNF arrays were confirmed by TEM images. Raman data revealed that the synthesized CNFs have a poor-quality graphite structure which favors their use in adsorption processes. These CNFs presented higher affinity to adsorb anionic dyes, whereas the cationic dyes are better adsorbed on the carbon substrate. The low-cost and availability of the carbon precursor makes their potential use to produce CNFs of interest.

  3. Catalytic growth of carbon nanofibers on Cr nanoparticles on a carbon substrate: adsorbents for organic dyes in water

    Science.gov (United States)

    de Oliveira, Luiz Carlos Alves; da Silva, Adilson Cândido; Machado, Alan Rodrigues Teixeira; Diniz, Renata; Pereira, Márcio César

    2013-05-01

    We have produced carbon nanofibers (CNFs) using leather waste that had been tanned with a chromium bath, and when dried contained Cr2O3. Suitable reduction processing produced a carbon substrate with supported nanoparticles of chromium metal. Powder X-ray diffraction showed that the Cr2O3 is reduced on the carbon surface to produce CrC and metal Cr, which is the effective catalyst for the CNFs growth. The CNF arrays were confirmed by TEM images. Raman data revealed that the synthesized CNFs have a poor-quality graphite structure which favors their use in adsorption processes. These CNFs presented higher affinity to adsorb anionic dyes, whereas the cationic dyes are better adsorbed on the carbon substrate. The low-cost and availability of the carbon precursor makes their potential use to produce CNFs of interest.

  4. Low temperature metal free growth of graphene on insulating substrates by plasma assisted chemical vapor deposition

    Science.gov (United States)

    Muñoz, R.; Munuera, C.; Martínez, J. I.; Azpeitia, J.; Gómez-Aleixandre, C.; García-Hernández, M.

    2017-03-01

    Direct growth of graphene films on dielectric substrates (quartz and silica) is reported, by means of remote electron cyclotron resonance plasma assisted chemical vapor deposition r-(ECR-CVD) at low temperature (650 °C). Using a two step deposition process- nucleation and growth- by changing the partial pressure of the gas precursors at constant temperature, mostly monolayer continuous films, with grain sizes up to 500 nm are grown, exhibiting transmittance larger than 92% and sheet resistance as low as 900 Ω sq-1. The grain size and nucleation density of the resulting graphene sheets can be controlled varying the deposition time and pressure. In additon, first-principles DFT-based calculations have been carried out in order to rationalize the oxygen reduction in the quartz surface experimentally observed. This method is easily scalable and avoids damaging and expensive transfer steps of graphene films, improving compatibility with current fabrication technologies.

  5. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  6. High Performance Bioanode Development for Fermentable Substrates via Controlled Electroactive Biofilm Growth

    Energy Technology Data Exchange (ETDEWEB)

    Ichihashi, Osamu [ORNL; Vishnivetskaya, Tatiana A [ORNL; Borole, Abhijeet P [ORNL

    2014-11-11

    A bioanode was optimized to generate current densities reaching 38.4 4.9 A m-2, which brings bioelectrochemical systems closer to commercial consideration. Glucose and lactate were fed together in a continuous or fed-batch mode. The current density increased from 2.3 A m-2 to 38.4 A m-2 over a 33 day period and remained stable thereafter. The coulombic efficiency ranged from 50% to 80%. A change in substrate concentration from 200 mg L-1 to 5 mg L-1 decreased maximum current density from 38.4 A m-2 to 12.3 A m-2. The anode consortia included Firmicutes (55.0%), Proteobacteria (41.8%) and Bacteroidetes (2.1%) constituting two potential electrogenic genera: Geobacter (6.8%) and Aeromonas (31.9%). The current production was found to be limited by kinetics during the growth period (33 days), and mass transfer, thereafter. The results indicate the necessity of removing spent biomass for efficient long term operation and treatment of wastewater streams.

  7. Computational Approach for Epitaxial Polymorph Stabilization through Substrate Selection

    Energy Technology Data Exchange (ETDEWEB)

    Ding, Hong; Dwaraknath, Shyam S.; Garten, Lauren; Ndione, Paul; Ginley, David; Persson, Kristin A.

    2016-05-25

    With the ultimate goal of finding new polymorphs through targeted synthesis conditions and techniques, we outline a computational framework to select optimal substrates for epitaxial growth using first principle calculations of formation energies, elastic strain energy, and topological information. To demonstrate the approach, we study the stabilization of metastable VO2 compounds which provides a rich chemical and structural polymorph space. We find that common polymorph statistics, lattice matching, and energy above hull considerations recommends homostructural growth on TiO2 substrates, where the VO2 brookite phase would be preferentially grown on the a-c TiO2 brookite plane while the columbite and anatase structures favor the a-b plane on the respective TiO2 phases. Overall, we find that a model which incorporates a geometric unit cell area matching between the substrate and the target film as well as the resulting strain energy density of the film provide qualitative agreement with experimental observations for the heterostructural growth of known VO2 polymorphs: rutile, A and B phases. The minimal interfacial geometry matching and estimated strain energy criteria provide several suggestions for substrates and substrate-film orientations for the heterostructural growth of the hitherto hypothetical anatase, brookite, and columbite polymorphs. These criteria serve as a preliminary guidance for the experimental efforts stabilizing new materials and/or polymorphs through epitaxy. The current screening algorithm is being integrated within the Materials Project online framework and data and hence publicly available.

  8. Growth and characterization of textured well-faceted ZnO on planar Si(100, planar Si(111, and textured Si(100 substrates for solar cell applications

    Directory of Open Access Journals (Sweden)

    Chin-Yi Tsai

    2017-09-01

    Full Text Available In this work, textured, well-faceted ZnO materials grown on planar Si(100, planar Si(111, and textured Si(100 substrates by low-pressure chemical vapor deposition (LPCVD were analyzed by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM, and cathode luminescence (CL measurements. The results show that ZnO grown on planar Si(100, planar Si(111, and textured Si(100 substrates favor the growth of ZnO(110 ridge-like, ZnO(002 pyramid-like, and ZnO(101 pyramidal-tip structures, respectively. This could be attributed to the constraints of the lattice mismatch between the ZnO and Si unit cells. The average grain size of ZnO on the planar Si(100 substrate is slightly larger than that on the planar Si(111 substrate, while both of them are much larger than that on the textured Si(100 substrate. The average grain sizes (about 10–50 nm of the ZnO grown on the different silicon substrates decreases with the increase of their strains. These results are shown to strongly correlate with the results from the SEM, AFM, and CL as well. The reflectance spectra of these three samples show that the antireflection function provided by theses samples mostly results from the nanometer-scaled texture of the ZnO films, while the micrometer-scaled texture of the Si substrate has a limited contribution. The results of this work provide important information for optimized growth of textured and well-faceted ZnO grown on wafer-based silicon solar cells and can be utilized for efficiency enhancement and optimization of device materials and structures, such as heterojunction with intrinsic thin layer (HIT solar cells.

  9. Growth hormone, interferon-gamma, and leukemia inhibitory factor utilize insulin receptor substrate-2 in intracellular signaling

    DEFF Research Database (Denmark)

    Argetsinger, L S; Norstedt, G; Billestrup, Nils

    1996-01-01

    In this report, we demonstrate that insulin receptor substrate-2 (IRS-2) is tyrosyl-phosphorylated following stimulation of 3T3-F442A fibroblasts with growth hormone (GH), leukemia inhibitory factor and interferon-gamma. In response to GH and leukemia inhibitory factor, IRS-2 is immediately...... for GH is further demonstrated by the finding that GH stimulates association of IRS-2 with the 85-kDa regulatory subunit of phosphatidylinositol 3'-kinase and with the protein-tyrosine phosphatase SHP2. These results are consistent with the possibility that IRS-2 is a downstream signaling partner...

  10. Effects of substrate temperature, substrate orientation, and energetic atomic collisions on the structure of GaN films grown by reactive sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Schiaber, Ziani S.; Lisboa-Filho, Paulo N.; Silva, José H. D. da [Universidade Estadual Paulista, UNESP, Bauru, São Paulo 17033-360 (Brazil); Leite, Douglas M. G. [Universidade Federal de Itajubá, UNIFEI, Itajubá, Minas Gerais 37500-903 (Brazil); Bortoleto, José R. R. [Universidade Estadual Paulista, UNESP, Sorocaba, São Paulo 18087-180 (Brazil)

    2013-11-14

    The combined effects of substrate temperature, substrate orientation, and energetic particle impingement on the structure of GaN films grown by reactive radio-frequency magnetron sputtering are investigated. Monte-Carlo based simulations are employed to analyze the energies of the species generated in the plasma and colliding with the growing surface. Polycrystalline films grown at temperatures ranging from 500 to 1000 °C clearly showed a dependence of orientation texture and surface morphology on substrate orientation (c- and a-plane sapphire) in which the (0001) GaN planes were parallel to the substrate surface. A large increase in interplanar spacing associated with the increase in both a- and c-parameters of the hexagonal lattice and a redshift of the optical bandgap were observed at substrate temperatures higher than 600 °C. The results showed that the tensile stresses produced during the film's growth in high-temperature deposition ranges were much larger than the expected compressive stresses caused by the difference in the thermal expansion coefficients of the film and substrate in the cool-down process after the film growth. The best films were deposited at 500 °C, 30 W and 600 °C, 45 W, which corresponds to conditions where the out diffusion from the film is low. Under these conditions the benefits of the temperature increase because of the decrease in defect density are greater than the problems caused by the strongly strained lattice that occurr at higher temperatures. The results are useful to the analysis of the growth conditions of GaN films by reactive sputtering.

  11. Use of aquatic macrophytes in substrate composition to produce moringa seedlings

    Directory of Open Access Journals (Sweden)

    Walda Monteiro Farias

    2016-03-01

    Full Text Available The use of aquatic macrophytes in substrate composition to produce seedlings of moringa is a sustainable alternative. Therefore, the objective of this research was to evaluate the development of moringa seedlings using substrates composed with aquatic macrophytes, and to determine concentrations of N, P and K in the seedlings. We used different combinations of weeds (M, manure (E and topsoil (TV to compose the substrates. The experiment was conducted in a 3 × 4 factorial in randomized arrangement with four replications. We evaluated plant height, crown diameter and stem, relative growth rate in height, canopy diameter and in stem, dry matter of aerial part and of roots, root length and root/shoot ratio, besides the content of N, P and K in seedlings. Moringa seedlings showed reduced growth when produced in substrates composed only with cattail. Water lettuce and substrates composed of 60% M + 30%E + 10 % TV and 70% M + 30% E, promoted greater nutrition and growth of moringa seedlings. The substrate 60M +30E +10TV composed by water hyacinth and cattail resulted in greater amount of P in moringa seedlings.

  12. Influence of AlGaN Buffer Growth Temperature on GaN Epilayer based on Si(lll) Substrate

    International Nuclear Information System (INIS)

    Wei Meng; Wang Xiaoliang; Pan Xu; Xiao Hongling; Wang Cuimei; Zhang Minglan; Wang Zhanguo

    2011-01-01

    This paper investigated the influence of AlGaN buffer growth temperature on strain status and crystal quality of the GaN film on Si(111) sbustrates by metal organic chemical vapor deposition. It was demonstrated by the optical microscopy that AlGaN buffer gorwth temperature had a remarkable effect on compensating tensil stress in top GaN layer and preventing the formation of cracks. X-ray diffraction and atomic force microscopy analysis showed crystal quality and surface morphology of the GaN epilayer could be improved through increasing AlGaN buffer growth temperature. 1μm crack-free GaN epilayer on Si (111) substrates was obtained with graded AlGaN buffer layer at optimized temperature of 1050 deg. C. Transmission electron microscopy analysis revealed that a significant reduction in threading dislocations was achieved in GaN epilayer.

  13. YBa2Cu3O7-x 45 degree [001] tilt grain boundaries induced by controlled low-energy sputtering of MgO substrates: Transport properties and atomic-scale structure

    International Nuclear Information System (INIS)

    Vuchic, B.V.; Merkle, K.L.; Funkhouser, J.W.; Bucholz, D.B.; Dean, K.A.; Chang, R.P.H.; Marks, L.D.

    1994-10-01

    Grain boundaries can act as weak links in the high T c materials. If properly controlled, these grain boundaries can be used in various device applications. We have been able to reproducibly form 45 degree [001] tilt grain boundary junctions in YBa 2 Cu 3 0 7-x thin films. The films were grown on MgO substrates using a pre-growth substrate treatment. A low energy broad beam Argon ion source was used to irradiate a select region of (100) MgO substrates. The film on the milled portion of the substrate grows predominantly with a grain orientation rotated 45 degree about the c-axis with respect to the grain on the unmilled portion. Backscattered electron Kikuchi patterns have been used to confirm that the rotation occurs across the entire milled portion of the substrate. Transport properties of these films are discussed and related to high resolution electron microstructural and microchemical analyses of the grain boundaries. This technique has potential use in device applications as a method for controlled grain boundary engineering

  14. Epitaxial growth of bcc-FexCo100-x thin films on MgO(1 1 0) single-crystal substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Nishiyama, Tsutomu; Shikada, Kouhei; Kirino, Fumiyoshi; Futamoto, Masaaki

    2010-01-01

    Fe x Co 100-x (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe x Co 100-x film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe x Co 100-x crystals with very small errors less than ±0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe 50 Co 50 /MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  15. Energetic efficiency of complex substrate utilization by Trichoderma viride

    Energy Technology Data Exchange (ETDEWEB)

    Leite, M; Apine, A; Zeltina, M; Shvinka, J [AN Latvijskoj SSR, Riga (USSR). August Kirchstein Inst. of Microbiology

    1989-01-01

    The efficiency of carbon substrate utilization is evaluated as the thermodynamic efficiency (eta{sub x}) of microbial growth. Three methods based on mass-energy balance are used for the efficiency studies of complex substrates (straw, plant juices, lye) utilization by microfungi Trichoderma viride. 1. According to substrate and biomass balance eta{sub x}=0.55, 0.37 and 0.36 for Trichoderma viride growth on alkali pretreated wheat straw during 23, 34 and 50 hours. Cellulose biodegradation increases with cultivation time. However, the efficiency of cellulose utilization for cell mass growth decreases at the same time. 2. In accordance with oxygen-balance calculations eta{sub x}=0.75 and 0.71 for the same processes. The discrepancy in results from the above two methods probably can be explained by the following: A. Substrate and biomass balance gives underestimated results. B. Oxygen balance method includes the part of energy for extracellular product formation and therefore eta{sub x} can be overestimated. C. The efficiency of complex soluble substrate utilization (lye, green juice, deproteinized brown plant juice) tested by means of pulse method gives the values of eta{sub x}=0.72-0.88. Similar high estimates of eta{sub x} in C-limited batch culture are observed for soluble carbohydrates (glucose, galactose, lactose, xylose) but not for acetate. The pulse method is advantageous for testing the 'true' efficiency of carbon substrate utilization in a definite physiological environment. (orig.).

  16. Extremely improved InP template and GaInAsP system growth on directly-bonded InP/SiO2-Si and InP/glass substrate

    International Nuclear Information System (INIS)

    Matsumoto, Keiichi; Makino, Tatsunori; Kimura, Katsuya; Shimomura, Kazuhiko

    2013-01-01

    We have developed an ultrathin InP template with low defect density on SiO 2 -Si and glass substrate by employing wet etching and wafer direct bonding technique. We have demonstrated epitaxial growth on these substrates and GaInAs/InP multiple quantum well layers were grown by low pressure metal-organic vapor-phase epitaxy. Photoluminescence measurements of the layers show that they are optically active and we have obtained almost the same intensity from these substrates compared to the InP substrate. These results may be attributed to improvement of InP template quality and should provide further improvements in device performance realized on SiO 2 -Si and glass substrate. And, these are promising results in terms of integration of InP-based several functional optical devices on SiO 2 -Si and glass substrate. (copyright 2013 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  17. TiO2 coatings via atomic layer deposition on polyurethane and polydimethylsiloxane substrates: Properties and effects on C. albicans growth and inactivation process

    Science.gov (United States)

    Pessoa, R. S.; dos Santos, V. P.; Cardoso, S. B.; Doria, A. C. O. C.; Figueira, F. R.; Rodrigues, B. V. M.; Testoni, G. E.; Fraga, M. A.; Marciano, F. R.; Lobo, A. O.; Maciel, H. S.

    2017-11-01

    Atomic layer deposition (ALD) surges as an attractive technology to deposit thin films on different substrates for many advanced biomedical applications. Herein titanium dioxide (TiO2) thin films were successful obtained on polyurethane (PU) and polydimethylsiloxane (PDMS) substrates using ALD. The effect of TiO2 films on Candida albicans growth and inactivation process were also systematic discussed. TiCl4 and H2O were used as precursors at 80 °C, while the reaction cycle number ranged from 500 to 2000. Several chemical, physical and physicochemical techniques were used to evaluate the growth kinetics, elemental composition, material structure, chemical bonds, contact angle, work of adhesion and surface morphology of the ALD TiO2 thin films grown on both substrates. For microbiological analyses, yeasts of standard strains of C. albicans were grown on non- and TiO2-coated substrates. Next, the antifungal and photocatalytic activities of the TiO2 were also investigated by counting the colony-forming units (CFU) before and after UV-light treatment. Chlorine-doped amorphous TiO2 films with varied thicknesses and Cl concentration ranging from 2 to 12% were obtained. In sum, the ALD TiO2 films suppressed the yeast-hyphal transition of C. albicans onto PU, however, a high adhesion of yeasts was observed. Conversely, for PDMS substrate, the yeast adhesion did not change, as observed in control. Comparatively to control, the TiO2-covered PDMS had a reduction in CFU up to 59.5% after UV treatment, while no modification was observed to TiO2-covered PU. These results pointed out that ALD chlorine-doped amorphous TiO2 films grown on biomedical polymeric surfaces may act as fungistatic materials. Furthermore, in case of contamination, these materials may also behave as antifungal materials under UV light exposure.

  18. High Quality, Low Cost Bulk Gallium Nitride Substrates Grown by the Electrochemical Solution Growth Method

    Energy Technology Data Exchange (ETDEWEB)

    Seacrist, Michael [SunEdison Inc., St. Peters, MO (United States)

    2017-08-15

    The objective of this project was to develop the Electrochemical Solution Growth (ESG) method conceived / patented at Sandia National Laboratory into a commercially viable bulk gallium nitride (GaN) growth process that can be scaled to low cost, high quality, and large area GaN wafer substrate manufacturing. The goal was to advance the ESG growth technology by demonstrating rotating seed growth at the lab scale and then transitioning process to prototype commercial system, while validating the GaN material and electronic / optical device quality. The desired outcome of the project is a prototype commercial process for US-based manufacturing of high quality, large area, and lower cost GaN substrates that can drive widespread deployment of energy efficient GaN-based power electronic and optical devices. In year 1 of the project (Sept 2012 – Dec 2013) the overall objective was to demonstrate crystalline GaN growth > 100um on a GaN seed crystal. The development plan included tasks to demonstrate and implement a method for purifying reagent grade salts, develop the reactor 1 process for rotating seed Electrochemical Solution Growth (ESG) of GaN, grow and characterize ESG GaN films, develop a fluid flow and reaction chemistry model for GaN film growth, and design / build an improved growth reactor capable of scaling to 50mm seed diameter. The first year’s project objectives were met in some task areas including salt purification, film characterization, modeling, and reactor 2 design / fabrication. However, the key project objective of the growth of a crystalline GaN film on the seed template was not achieved. Amorphous film growth on the order of a few tenths of a micron has been detected with a film composition including Ga and N, plus several other impurities originating from the process solution and hardware. The presence of these impurities, particularly the oxygen, has inhibited the demonstration of crystalline GaN film growth on the seed template. However, the

  19. A study of the effects of aligned vertically growth time on ZnO nanorods deposited for the first time on Teflon substrate

    Science.gov (United States)

    Farhat, O. F.; Halim, M. M.; Ahmed, Naser M.; Oglat, Ammar A.; Abuelsamen, A. A.; Bououdina, M.; Qaeed, M. A.

    2017-12-01

    In this study, ZnO nanorods (NRs) were well deposited on Teflon substrates (PTFE) via a chemical bath deposition (CBD) method at low temperature. The consequences of growth time (1 h-4 h) on the structural and optical properties of the aligned ZnO (NRs) were investigated through X-ray diffraction, field-emission scanning electron microscopy (FESEM), and photoluminescence (PL) analyses. The results show that the ZnO (NRs) were preferred to grew aligned along the c-axis as hexagonal wurtzite structure as proved by the sharp and strong ZnO (002) peaks of the ZnO (NRs). Irrespective of the growth continuation, FESEM photos confirmed that the ZnO nanorods arrays were fit to be aligned along the c-axis and perpendicular to (PTFE) substrates. The ZnO nanorods that exhibited the sharper stand most intense PL peaks among the sample were grown for 3hs as demonstrated by PL spectra. The device further showed a sensitivity of 4068 to low-power (1.25 mW/cm2) 375 nm light pulses without an external bias. The measurements of photoresponse demonstrated the highly reproducible characteristics of the fabricated UV detector with rapid response and baseline recovery times of 48.05 ms. Thus, this work introduced a simple, low-cost method of fabricating rapid-response, and highly photosensitive UV detectors with zero power consumption on Teflon substrates.

  20. Facile synthesis, growth mechanism and reversible superhydrophobic and superhydrophilic properties of non-flaking CuO nanowires grown from porous copper substrates

    International Nuclear Information System (INIS)

    Zhang Qiaobao; Xu Daguo; Zhang Kaili; Hung, Tak Fu

    2013-01-01

    Reversible superhydrophobic and superhydrophilic surfaces based on porous substrates covered with CuO nanowires are developed in this study. A facile thermal oxidation method is used to synthesize non-flaking bicrystalline CuO nanowires on porous copper substrates in static air. The effects of thermal oxidation temperature and duration are systemically studied. The growth mechanism of the obtained non-flaking CuO nanowires is presented and the compression stress is believed to be the key driving force. The wettability of the CuO nanowires after chemical modification with trichloro(1H,1H,2H,2H-perfluorooctyl)silane is systemically investigated. The porous substrates covered with CuO nanowires exhibit excellent superhydrophobic performance with almost no water adhesion and no apparent drag resistance, and a maximum static water contact angle of 162 ± 2° is observed. Moreover, a rapid reversibly switchable wettability between superhydrophobic and superhydrophilic states is realized by the alternation of air–plasma treatment and surface fluorination. The porous substrates covered with CuO nanowires will find promising applications in surface and corrosion protection, liquid transportation, oil–water separation, and self-cleaning surfaces. (paper)

  1. Heteroepitaxial Growth of Vacuum-Evaporated Si-Ge Films on Nano structured Silicon Substrates

    International Nuclear Information System (INIS)

    Ayu Wazira Azhari; Ayu Wazira Azhari; Kamaruzzaman Sopian; Saleem Hussain Zaidi

    2015-01-01

    In this study, a low-cost vacuum-evaporated technique is used in the heteroepitaxial growth of Si-Ge films. Three different surface variations are employed: for example polished Si, Si micro pyramids and Si nano pillars profiles. A simple metal-assisted chemical etching method is used to fabricate the Si nano pillars, with Ag acting as a catalyst. Following deposition, substrates are subjected to post-deposition thermal annealing at 1000 degree Celsius to improve the crystallinity of the Ge layer. Optical and morphological studies of surface area are conducted using field emission scanning electron microscopy (FE-SEM), Energy Dispersive X-ray (EDX), Raman spectroscopy and infrared spectroscopy. From the infrared spectroscopy analysis, the energy bandgap for Si-Ge films is estimated to be around 0.94 eV. This high-quality Si-Ge film is most favourable for optics, optoelectronics and high-efficiency solar cell applications. (author)

  2. The controlled growth of GaN microrods on Si(111) substrates by MOCVD

    Science.gov (United States)

    Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael

    2015-03-01

    In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.

  3. The evaluation of the growth and nutrition conditions of the garden nursery material Prunus and Thuja according to the use of various cultivating substrates and systems of fertilization

    Directory of Open Access Journals (Sweden)

    Tomáš Meisl

    2006-01-01

    Full Text Available The influence of different peat-based cultivating substrates and the system of fertilization on the nutrition conditions and growth characteristics of garden nursery material Prunus kurilensis ‘Brillant’ and Thuja occidentalis ‘Smaragd’ were observed during a three-year experiment. Three kinds of substrates were tested: peat + pumice (pemza proportioned 8:2, fermented bark + peat + clay proportioned 4:4:2, fermented bark + peat + clay proportioned 4:4:2. Two fertilizers were used: granular controlled-release fertilizer – Osmocote, and watersoluble with irrigation – Kristalon.A higher content of macroelements was observed in the leaves of Prunus. The only exception was potassium, the quantity of which was demonstrably higher in the assimilative organs of Thuja. On the contrary, Thuja had a higher content of trace elements except for copper and iron. The highest contents of nitrogen, potassium, and iron were statistically proved in leaves of woods grown in the substrate of peat and pumice due to its higher sorption capability. A better nutrition conditions in almost all nutrients were observed at plants where the gradually effective Osmocote was applied. The exceptions were calcium, molybdenum and iron, the content of which was, on the contrary, higher where Kristalon with irrigation were used. Physical characteristics of the growing substrates that contained bark were significantly worse at the end of the experiment. This was even intensified by clay. The substrate containing peat and pumice were less stable. The best growth was observed in woods grown in the substrate of peat and pumice, ie where peat was not substituted by bark, and, at the same time, expanded clay was used instead of classic clay. Higher values of growth characteristics were demonstratively observed after the Osmocote fertilizer was applied.The results of the experiment reveal that pumice should be recommended, pemza with a high sorption capability and the

  4. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  5. Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates

    Science.gov (United States)

    Fenwick, William Edward

    GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen

  6. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  7. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  8. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  9. Influence of FeEDDS, FeEDTA, FeDTPA, FeEDDHA, and FeSO4 on Marigold Growth and Nutrition, and Substrate and Runoff Chemistry

    Science.gov (United States)

    Objectives of the study were to determine effects of Fe source on plant growth, plant nutrition, substrate chemistry and runoff chemistry. Iron source (FS) treatments consisted of Fe-aminopolycarboxylic acid (APCA) complexones iron ethylenediaminetetraacetic acid (FeEDTA), iron [S, S']-ethylenediam...

  10. GSK3 controls axon growth via CLASP-mediated regulation of growth cone microtubules

    Science.gov (United States)

    Hur, Eun-Mi; Saijilafu; Lee, Byoung Dae; Kim, Seong-Jin; Xu, Wen-Lin; Zhou, Feng-Quan

    2011-01-01

    Suppression of glycogen synthase kinase 3 (GSK3) activity in neurons yields pleiotropic outcomes, causing both axon growth promotion and inhibition. Previous studies have suggested that specific GSK3 substrates, such as adenomatous polyposis coli (APC) and collapsin response mediator protein 2 (CRMP2), support axon growth by regulating the stability of axonal microtubules (MTs), but the substrate(s) and mechanisms conveying axon growth inhibition remain elusive. Here we show that CLIP (cytoplasmic linker protein)-associated protein (CLASP), originally identified as a MT plus end-binding protein, displays both plus end-binding and lattice-binding activities in nerve growth cones, and reveal that the two MT-binding activities regulate axon growth in an opposing manner: The lattice-binding activity mediates axon growth inhibition induced by suppression of GSK3 activity via preventing MT protrusion into the growth cone periphery, whereas the plus end-binding property supports axon extension via stabilizing the growing ends of axonal MTs. We propose a model in which CLASP transduces GSK3 activity levels to differentially control axon growth by coordinating the stability and configuration of growth cone MTs. PMID:21937714

  11. The dependence of the wavelength on MBE growth parameters of GaAs quantum dot in AlGaAs NWs on Si (111) substrate

    Science.gov (United States)

    Reznik, R. R.; Shtrom, I. V.; Samsonenko, Yu B.; Khrebtov, A. I.; Soshnikov, I. P.; Cirlin, G. E.

    2017-11-01

    The data on the growth peculiarities and physical properties of GaAs insertions embedded in AlGaAs nanowires grown on Si (111) substrates by Au-assisted molecular beam epitaxy are presented. It is shown that by varying of the growth parameters it is possible to form structures like quantum dots emitting in a wide wavelengths range for both active and barrier parts. The technology proposed opens new possibilities for the integration of direct-band AIIIBV materials on silicon platform.

  12. Properties of nickel films growth by radio frequency magnetron sputtering at elevated substrate temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Muslim, Noormariah, E-mail: 14h8702@ubd.edu.bn [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Soon, Ying Woan [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Physical and Geological Sciences, Faculty of Science, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam); Lim, Chee Ming; Voo, Nyuk Yoong [Centre for Advanced Material and Energy Sciences, Universiti Brunei Darussalam, Jalan Tungku Link, Gadong BE1410 (Brunei Darussalam)

    2016-08-01

    Pure nickel (Ni) thin films of thicknesses of 100 nm were deposited on glass substrates by radio frequency magnetron sputtering at a power of 100 W and at various substrate temperatures i.e., room temperature, 100, 200, and 300 °C. The crystalline structure, surface topography, surface morphology, electrical resistivity, and optical properties of the deposited films were studied. The properties of the Ni films could be controlled by altering the substrate temperature. Specifically, the films featured a face-centered cubic crystalline structure with predominant (111) crystallite orientation at all the substrate temperatures employed, as observed from the X-ray diffraction analysis. Films deposited at substrate temperatures greater than 200 °C additionally displayed crystalline (200) and (220) diffraction peaks. The surface morphology analysis revealed that the grain size of the Ni thin films increased with increasing substrate temperatures employed. This increase was accompanied with a decrease in the resistivity of the Ni films. The surface roughness of the films increased with increasing substrate temperatures employed, as observed from the atomic force microscopy analysis. - Highlights: • RF magnetron sputtering is a good alternative method to deposit Ni films. • Properties of Ni films could be controlled simply by tuning substrate temperatures. • Crystallite size and surface roughness increased with substrate temperatures. • Electrical resistivity reduced with increasing substrate temperatures. • Optical properties also changed with substrate temperatures.

  13. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  14. Microcrystalline silicon growth by low laser energy crystallization on a plastic substrate

    International Nuclear Information System (INIS)

    Kim, D. Y.; Seo, C. K.; Shim, M. S.; Kim, C. H.; Yi, J.

    2004-01-01

    We are reporting the crystallization of amorphous silicon (a-Si) using a XeCl excimer laser treatment. Although polycarbonate (PC) plastic substrates are very weak at high temperatures of more than 150 .deg. C, they are very useful for applications to microelectronics because of light weight, high transmittance, and flexibility. In order to crystallize a-Si films on plastic substrates, we suggest that a CeO 2 seed layer will be very helpful at a low laser energy density. The seed layer is deposited at room temperature by rf using magnetron sputtering. A seed layer deposition method will be also presented in detail in this article. We compare a-Si crytallization without a seed layer with one with a seed layer deposited between the a-Si and the plastic substrate. The a-Si was deposited on the plastic substrate by using inductively coupled plasma Chemical-Vapor Deposition (ICPCVD) at the room temperature. In this paper, we will present the crystallization properties of a-Si with and without a CeO 2 seed layer on the plastic substrate.

  15. Modeling of metal nanocluster growth on patterned substrates and surface pattern formation under ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Numazawa, Satoshi

    2012-11-01

    This work addresses the metal nanocluster growth process on prepatterned substrates, the development of atomistic simulation method with respect to an acceleration of the atomistic transition states, and the continuum model of the ion-beam inducing semiconductor surface pattern formation mechanism. Experimentally, highly ordered Ag nanocluster structures have been grown on pre-patterned amorphous SiO{sub 2} surfaces by oblique angle physical vapor deposition at room temperature. Despite the small undulation of the rippled surface, the stripe-like Ag nanoclusters are very pronounced, reproducible and well-separated. The first topic is the investigation of this growth process with a continuum theoretical approach to the surface gas condensation as well as an atomistic cluster growth model. The atomistic simulation model is a lattice-based kinetic Monte-Carlo (KMC) method using a combination of a simplified inter-atomic potential and experimental transition barriers taken from the literature. An effective transition event classification method is introduced which allows a boost factor of several thousand compared to a traditional KMC approach, thus allowing experimental time scales to be modeled. The simulation predicts a low sticking probability for the arriving atoms, millisecond order lifetimes for single Ag monomers and {approx}1 nm square surface migration ranges of Ag monomers. The simulations give excellent reproduction of the experimentally observed nanocluster growth patterns. The second topic specifies the acceleration scheme utilized in the metallic cluster growth model. Concerning the atomistic movements, a classical harmonic transition state theory is considered and applied in discrete lattice cells with hierarchical transition levels. The model results in an effective reduction of KMC simulation steps by utilizing a classification scheme of transition levels for thermally activated atomistic diffusion processes. Thermally activated atomistic movements

  16. Modeling of metal nanocluster growth on patterned substrates and surface pattern formation under ion bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Numazawa, Satoshi

    2012-11-01

    This work addresses the metal nanocluster growth process on prepatterned substrates, the development of atomistic simulation method with respect to an acceleration of the atomistic transition states, and the continuum model of the ion-beam inducing semiconductor surface pattern formation mechanism. Experimentally, highly ordered Ag nanocluster structures have been grown on pre-patterned amorphous SiO{sub 2} surfaces by oblique angle physical vapor deposition at room temperature. Despite the small undulation of the rippled surface, the stripe-like Ag nanoclusters are very pronounced, reproducible and well-separated. The first topic is the investigation of this growth process with a continuum theoretical approach to the surface gas condensation as well as an atomistic cluster growth model. The atomistic simulation model is a lattice-based kinetic Monte-Carlo (KMC) method using a combination of a simplified inter-atomic potential and experimental transition barriers taken from the literature. An effective transition event classification method is introduced which allows a boost factor of several thousand compared to a traditional KMC approach, thus allowing experimental time scales to be modeled. The simulation predicts a low sticking probability for the arriving atoms, millisecond order lifetimes for single Ag monomers and {approx}1 nm square surface migration ranges of Ag monomers. The simulations give excellent reproduction of the experimentally observed nanocluster growth patterns. The second topic specifies the acceleration scheme utilized in the metallic cluster growth model. Concerning the atomistic movements, a classical harmonic transition state theory is considered and applied in discrete lattice cells with hierarchical transition levels. The model results in an effective reduction of KMC simulation steps by utilizing a classification scheme of transition levels for thermally activated atomistic diffusion processes. Thermally activated atomistic movements

  17. RHEED and EELS study of Pd/Al bimetallic thin film growth on different α-Al 2O 3 substrates

    Science.gov (United States)

    Moroz, V.; Rajs, K.; Mašek, K.

    2002-06-01

    Pd/Al bimetallic thin films were grown by molecular beam epitaxy on single-crystalline α-Al 2O 3(0 0 0 1) and (1 1 2¯ 0) surfaces. Substrate and deposit crystallographic structures and evolution of deposit lattice parameter during the growth were studied by reflection high-energy electron diffraction. The electron energy loss spectroscopy was used as an auxiliary method for chemical analysis. The bimetallic films were prepared by successive deposition of both Pd and Al metals. The structure of Pd and Al deposits in early stages of the growth and its dependence on the preparation conditions were studied. Two phases of Pd clusters covered by Al overlayer have been found. The formation of Al overlayer strongly influenced the lattice parameter of Pd clusters.

  18. Effect of different substrates for organic agriculture in seedling development of traditional species of Solanaceae

    Energy Technology Data Exchange (ETDEWEB)

    Olaria, M.; Nebot, J.F.; Molina, H.; Troncho, P.; Lapeña, P.; Llorens, E.

    2016-11-01

    Sowing of seedlings is one of the most critical processes on the establishment of a crop, since the future development of the plant depends largely on its health when is planted on the field. Moreover, organic agriculture has to deal with the low application of fertilizers and pesticides, which hinder the growth of seedlings. In this work, we studied the big influence of different mixtures of substrates suitable for organic agriculture based on peat, coconut husk and vermicompost in traditional varieties of tomato, pepper and eggplant. Our results indicate that the use of coconut husk based substrates in organic agriculture can reduce the growth of seedlings between 20 and 30% compared with peat-based substrates. Moreover, the plants growth in this substrate showed lower levels of chlorophyll and lower weight, but the results are strongly dependent on the species tested. Comparison between traditional plants demonstrates that traditional varieties are strongly influenced by the substrate, whereas the growth of a commercial variety of tomato barely differs when different substrates are used. The election of the substrate in organic agriculture is critical to the correct development of the plant, especially when traditional plant varieties are used. (Author)

  19. Effect of different substrates for organic agriculture in seedling development of traditional species of Solanaceae

    Directory of Open Access Journals (Sweden)

    Hector Molina

    2016-03-01

    Full Text Available Sowing of seedlings is one of the most critical processes on the establishment of a crop, since the future development of the plant depends largely on its health when is planted on the field. Moreover, organic agriculture has to deal with the low application of fertilizers and pesticides, which hinder the growth of seedlings. In this work, we studied the big influence of different mixtures of substrates suitable for organic agriculture based on peat, coconut husk and vermicompost in traditional varieties of tomato, pepper and eggplant. Our results indicate that the use of coconut husk based substrates in organic agriculture can reduce the growth of seedlings between 20 and 30% compared with peat-based substrates. Moreover, the plants growth in this substrate showed lower levels of chlorophyll and lower weight, but the results are strongly dependent on the species tested. Comparison between traditional plants demonstrates that traditional varieties are strongly influenced by the substrate, whereas the growth of a commercial variety of tomato barely differs when different substrates are used. The election of the substrate in organic agriculture is critical to the correct development of the plant, especially when traditional plant varieties are used.

  20. Placental Adaptations in Growth Restriction

    Science.gov (United States)

    Zhang, Song; Regnault, Timothy R.H.; Barker, Paige L.; Botting, Kimberley J.; McMillen, Isabella C.; McMillan, Christine M.; Roberts, Claire T.; Morrison, Janna L.

    2015-01-01

    The placenta is the primary interface between the fetus and mother and plays an important role in maintaining fetal development and growth by facilitating the transfer of substrates and participating in modulating the maternal immune response to prevent immunological rejection of the conceptus. The major substrates required for fetal growth include oxygen, glucose, amino acids and fatty acids, and their transport processes depend on morphological characteristics of the placenta, such as placental size, morphology, blood flow and vascularity. Other factors including insulin-like growth factors, apoptosis, autophagy and glucocorticoid exposure also affect placental growth and substrate transport capacity. Intrauterine growth restriction (IUGR) is often a consequence of insufficiency, and is associated with a high incidence of perinatal morbidity and mortality, as well as increased risk of cardiovascular and metabolic diseases in later life. Several different experimental methods have been used to induce placental insufficiency and IUGR in animal models and a range of factors that regulate placental growth and substrate transport capacity have been demonstrated. While no model system completely recapitulates human IUGR, these animal models allow us to carefully dissect cellular and molecular mechanisms to improve our understanding and facilitate development of therapeutic interventions. PMID:25580812

  1. Entropy-driven crystal formation on highly strained substrates

    KAUST Repository

    Savage, John R.

    2013-05-20

    In heteroepitaxy, lattice mismatch between the deposited material and the underlying surface strongly affects nucleation and growth processes. The effect of mismatch is well studied in atoms with growth kinetics typically dominated by bond formation with interaction lengths on the order of one lattice spacing. In contrast, less is understood about how mismatch affects crystallization of larger particles, such as globular proteins and nanoparticles, where interparticle interaction energies are often comparable to thermal fluctuations and are short ranged, extending only a fraction of the particle size. Here, using colloidal experiments and simulations, we find particles with short-range attractive interactions form crystals on isotropically strained lattices with spacings significantly larger than the interaction length scale. By measuring the free-energy cost of dimer formation on monolayers of increasing uniaxial strain, we show the underlying mismatched substrate mediates an entropy-driven attractive interaction extending well beyond the interaction length scale. Remarkably, because this interaction arises from thermal fluctuations, lowering temperature causes such substrate-mediated attractive crystals to dissolve. Such counterintuitive results underscore the crucial role of entropy in heteroepitaxy in this technologically important regime. Ultimately, this entropic component of lattice mismatched crystal growth could be used to develop unique methods for heterogeneous nucleation and growth of single crystals for applications ranging from protein crystallization to controlling the assembly of nanoparticles into ordered, functional superstructures. In particular, the construction of substrates with spatially modulated strain profiles would exploit this effect to direct self-assembly, whereby nucleation sites and resulting crystal morphology can be controlled directly through modifications of the substrate.

  2. Fermentation adaptability of three probiotic Lactobacillus strains to oat, germinated oat and malted oat substrates.

    Science.gov (United States)

    Herrera-Ponce, A; Nevárez-Morillón, G; Ortega-Rívas, E; Pérez-Vega, S; Salmerón, I

    2014-10-01

    Functional foods targeting the improvement of gastrointestinal health are widely recognized; of these, dairy-based probiotics are the most popular. Thus, the design of nondairy probiotics applying fruits, vegetables and cereals has raised great interest in the healthy food sector. The objective of this work was to assess the potential of germinated and malted oat substrates to support the growth of the probiotic cultures Lactobacillus acidophilus, Lactobacillus casei and Lactobacillus rhamnosus. Fermentations were carried out with distinctive oat substrates at inoculum levels of 3, 5 and 7% v/v, substrate concentrations of 3, 5 and 7% w/v and with sucrose addition 1·5% w/v. Lag phase profiles, maximum growth rates and maximal growths were evaluated; protein and sugar contents were also quantified. There was no significant effect (P > 0·05) of the inoculum size on the fermentation kinetics; however, oat media significantly affected the growth kinetics. In simple oat media, Lact. acidophilus exhibited biphasic growth patterns. Lactobacillus growth patterns were significantly affected (P viability of the probiotic lactobacilli; Lactobacillus casei exhibited better growth adaptability. The results also highlight that different weight in volume oat substrate concentrations has particular effects on Lact. casei growth kinetics. Our results contribute to a better understanding of oat-based media formulations as substrates for probiotic cultures. © 2014 The Society for Applied Microbiology.

  3. Distinction of [220] and [204] textures of Cu(In,Ga)Se{sub 2} film and their growth behaviors depending on substrate nature and Na incorporation

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Dae-Hyung, E-mail: dhcho@etri.re.kr [IT Components and Materials Industry Technology Research Department, Electronics and Telecommunications Research Institute (ETRI), 218 Gajeongno, Yuseong-gu, Daejeon 305-700 (Korea, Republic of); Kim, Jeha [Department of Solar & Energy Engineering, Cheongju University, 298 Daeseongro, Sangdang-gu, Cheongju, Chungbuk 360-764 (Korea, Republic of); Chung, Yong-Duck [IT Components and Materials Industry Technology Research Department, Electronics and Telecommunications Research Institute (ETRI), 218 Gajeongno, Yuseong-gu, Daejeon 305-700 (Korea, Republic of); Korea University of Science and Technology (UST), 217 Gajeongno, Yuseong-gu, Daejeon 305-350 (Korea, Republic of)

    2015-08-31

    For better understanding of the structural property of polycrystalline tetragonal Cu(In,Ga)Se{sub 2} (CIGS) thin films grown on soda-lime glass, it is necessary to characterize the [220]- and [204]-oriented textures clearly that are related to the different physical properties. However, the distinction between the [220]- and [204]-oriented textures is very difficult because of their nearly identical plane spacings and atomic arrangements. Using X-ray diffraction techniques of high resolution θ–2θ scanning and reciprocal space mapping, we distinguished the [220]- and [204]-oriented textures of CIGS films and observed that the behaviors of [220] and [204] textures independently depended on both substrate nature and Na presence. We report the Na- and substrate-related dependence of the physical properties of the CIGS film was attributed to the independent growth behaviors of the [220] and [204] textures in the CIGS. - Highlights: • We investigated [220]- and [204]-oriented textures of Cu(In,Ga)Se{sub 2} (CIGS) films. • X-ray diffraction methods distinguished two textures. • The growth behaviors were influenced by underlying substrate and Na. • The [220] and [204] textures in CIGS should be differentially observed.

  4. Substrate dependent morphologies of self-assembled nanocrystalline manganite films: An atomic force microscopy study

    International Nuclear Information System (INIS)

    Kale, S.N.; Mona, J.; Ganesan, V.; Choudhary, R.J.; Phase, D.M.

    2009-06-01

    Thin films of La 0 .7Sr 0 .3MnO 3 (LSMO) have been deposited on different substrates: Si (001), Al 2 O 3 (AlO) (0001) and LaAlO 3 (LAO) (001), using a pulsed laser deposition system. 100 nm films have been deposited at substrate temperature of 700 deg C and oxygen partial pressure of 400 mTorr. X-Ray diffraction analysis shows a polycrystalline growth of both layers on Si and Al 2 O 3 substrates, while a c-axis oriented growth on LAO substrate. Atomic force microscopy images exhibit interesting island-like morphology of grain size ∼ 250 nm on Si substrate. Similar morphology with much smaller (∼ 150 nm), closely packed islands are seen to grow on AlO substrate. Films on LAO show comparatively a smooth morphology with the grains size less than 100 nm, decorated by characteristic depressions at the grain boundaries. The formation of self-assembled nanostructures can be understood on the basis of film-substrate lattice misfit, strains in the systems and eventual growth of the films to attain energy minimization (author)

  5. Effect of growth temperature on the morphology and phonon properties of InAs nanowires on Si substrates

    Directory of Open Access Journals (Sweden)

    Lei Wen

    2011-01-01

    Full Text Available Abstract Catalyst-free, vertical array of InAs nanowires (NWs are grown on Si (111 substrate using MOCVD technique. The as-grown InAs NWs show a zinc-blende crystal structure along a < 111 > direction. It is found that both the density and length of InAs NWs decrease with increasing growth temperatures, while the diameter increases with increasing growth temperature, suggesting that the catalyst-free growth of InAs NWs is governed by the nucleation kinetics. The longitudinal optical and transverse optical (TO mode of InAs NWs present a phonon frequency slightly lower than those of InAs bulk materials, which are speculated to be caused by the defects in the NWs. A surface optical mode is also observed for the InAs NWs, which shifts to lower wave-numbers when the diameter of NWs is decreased, in agreement with the theory prediction. The carrier concentration is extracted to be 2.25 × 1017 cm-3 from the Raman line shape analysis. A splitting of TO modes is also observed. PACS: 62.23.Hj; 81.07.Gf; 63.22.Gh; 61.46.Km

  6. Na+/substrate Coupling in the Multidrug Antiporter NorM Probed with a Spin-labeled Substrate

    Science.gov (United States)

    Steed, P. Ryan; Stein, Richard A.; Mishra, Smriti; Goodman, Michael C.; Mchaourab, Hassane S.

    2013-01-01

    NorM of the multidrug and toxic compound extrusion (MATE) family of transporters couples the efflux of a broad range of hydrophobic molecules to an inward Na+ gradient across the cell membrane. Several crystal structures of MATE transporters revealed distinct substrate binding sites leading to differing models of the mechanism of ion-coupled substrate extrusion. In the experiments reported here, we observed that a spin-labeled derivative of daunorubicin, Ruboxyl, is transported by NorM from Vibrio cholerae. It is therefore ideal to characterize mechanistically relevant binding interactions with NorM and to directly address the coupling of ion and drug binding. Fluorescence and EPR experiments revealed that Ruboxyl binds to NorM with micromolar affinity and becomes immobilized upon binding, even in the presence of Na+. Using double electron-electron resonance (DEER) spectroscopy, we determined that Ruboxyl binds to a single site on the periplasmic side of the protein. The presence of Na+ did not translocate the substrate to a second site as previously proposed. These experiments surprisingly show that Na+ does not affect the affinity or location of the substrate binding site on detergent-solubilized NorM, thus suggesting that additional factors beyond simple mutual exclusivity of binding, such as the presence of a Na+ gradient across the native membrane, govern Na+/drug coupling during antiport. PMID:23902581

  7. Tunable inkjet-printed slotted waveguide antenna on a ferrite substrate

    KAUST Repository

    Nafe, Ahmed

    2015-04-13

    In this work an inkjet-printed frequency-tunable slotted waveguide antenna on a ferrite substrate is reported. Unlike the typical substrate integrated waveguide approach with via holes, a true 3D rectangular waveguide is realized by inkjet-printing of nano-particle based conductive ink on the broad faces as well as on sides of the substrate. The operating frequency of the antenna can be tuned by applying a variable static bias magnetic field that controls the permeability of the host ferrite substrate. The antenna operates about a center frequency of approximately 14 GHz with an instantaneous impedance bandwidth of 75 MHz. A fabricated prototype has demonstrated a tuning range of 10% (1.5 GHz) using an applied bias magnetic field of 3 kOe yielding it especially attractive for tunable and reconfigurable yet low cost microwave systems.

  8. Amino acid residues important for substrate specificity of the amino acid permeases Can I p and Gnp I p in Saccharomyces cerevisiae

    DEFF Research Database (Denmark)

    Regenberg, Birgitte; Kielland-Brandt, M.C.

    2001-01-01

    Deletion of the general amino acid permease gene GAP1 abolishes uptake of L-citrulline in Saccharomyces cerevisiae, resulting in the inability to grow on L-citrulline as sole nitrogen source. Selection for suppressor mutants that restored growth on L-citrulline led to isolation of 21 mutations...... in the arginine permease gene CAN1. One similar mutation was found in the glutamine-asparagine permease gene GNP1. L-[C-14]citrulline uptake measurements confirmed that suppressor mutations in CAN1 conferred uptake of this amino acid, while none of the mutant permeases had lost the ability to transport L-[C-14......]arginine. Substrate specificity seemed to remain narrow in most cases, and broad substrate specificity was only observed in the cases where mutations affect two proline residues (P148 and P313) that are both conserved in the amino acid-polyamine-choline (APC) transporter superfamily. We found mutations...

  9. Synthesis and analysis of gold nanoclusters on silicon substrates by ion beams

    International Nuclear Information System (INIS)

    Sood, D.K.; Venkatachalam, D.K.; Bhargava, S.K.; Evans, P.J.

    2005-01-01

    To facilitate the growth of silica nanowires on silicon substrates, two different seeding techniques: 1) ion implantation and 2) chemical deposition of as-synthesised gold colloids have been compared for the formation of catalysing gold nanoclusters. The prepared substrates of both types were analysed using Rutherford backscattering spectrometry at ANSTO to determine the amount of gold and its depth distribution. The topography of the substrates deposited with chemically synthesised gold nanoparticles were studied under SEM. The preliminary ion beam (RBS) analysis has shown ion implantation as a novel technique for seeding Au nanoclusters on silicon substrates facilitating growth of nanowires. This method holds a great potential for using any metal across the periodic table that can act as catalysing seed nanoclusters for nanowire growth. The use of chemical deposition as a seeding technique to deposit as-synthesised gold nanoparticles requires further investigations. RBS results show significant difference in the depth distribution of the gold nanoparticles on silicon substrates seeded by two different techniques. (author). 6 refs., 4 figs

  10. The growth of high density network of MOF nano-crystals across macroporous metal substrates - Solvothermal synthesis versus rapid thermal deposition

    Science.gov (United States)

    Maina, James W.; Gonzalo, Cristina Pozo; Merenda, Andrea; Kong, Lingxue; Schütz, Jürg A.; Dumée, Ludovic F.

    2018-01-01

    Fabrication of metal organic framework (MOF) films and membranes across macro-porous metal substrates is extremely challenging, due to the large pore sizes across the substrates, poor wettability, and the lack of sufficient reactive functional groups on the surface, which prevent high density nucleation of MOF crystals. Herein, macroporous stainless steel substrates (pore size 44 × 40 μm) are functionalized with amine functional groups, and the growth of ZIF-8 crystals investigated through both solvothermal synthesis and rapid thermal deposition (RTD), to assess the role of synthesis routes in the resultant membranes microstructure, and subsequently their performance. Although a high density of well interconnected MOF crystals was observed across the modified substrates following both techniques, RTD was found to be a much more efficient route, yielding high quality membranes under 1 h, as opposed to the 24 h required for solvothermal synthesis. The RTD membranes also exhibited high gas permeance, with He permeance of up to 2.954 ± 0.119 × 10-6 mol m-2 s-1 Pa-1, and Knudsen selectivities for He/N2, Ar/N2 and CO2/N2, suggesting the membranes were almost defect free. This work opens up route for efficient fabrication of MOF films and membranes across macro-porous metal supports, with potential application in electrically mediated separation applications.

  11. A pilot survey of junior doctors' confidence in tasks related to broad aspects of competence

    DEFF Research Database (Denmark)

    Davis, Deborah J; Skaarup, Anne Marie; Ringsted, Charlotte

    2005-01-01

    Medical authorities and society are recommending that postgraduate medical education prepare physicians for broad aspects of competence. The most effective ways to prepare physicians for these are not known. The aim of this pilot study was to survey junior doctors' growth in confidence in different...... useful in facilitating learning of the tasks. These results suggest that growth of confidence in the physician roles proceeds at different rates during postgraduate training. Additional research is needed to identify effective ways for junior doctors to learn broad aspects of competence. Longitudinal...

  12. Seed-mediated direct growth of CdSe nanoclusters on substrates

    International Nuclear Information System (INIS)

    Pan Shangke; Ebrahim, Shaker; Soliman, Moataz; Qiao Qiquan

    2013-01-01

    Different shapes of CdSe nanostructures were obtained by hydrothermal method with varied Se sources and buffer layers. Hexagonal nanoparticles of CdSe with Wurtzite structure were synthesized from Se powder resource, while CdSe nanoclusters with Wurtzite structure were grown from Na 2 SeO 3 aqueous solution resources at 165 °C using cetyltrimethylammonium bromide as surfactant. Using ZnO nanoparticles as a seed layer, CdSe nanostructures only partially covered the indium tin oxide (ITO) substrates. With ZnO/CdSe quantum dots composite seed layer, CdSe nanostructures fully covered the ITO substrates.

  13. Distinctions of the growth and structural-spectroscopic investigations of thin AlN films grown on the GaAs substrates

    Science.gov (United States)

    Seredin, P. V.; Kashkarov, V. M.; Arsentyev, I. N.; Bondarev, A. D.; Tarasov, I. S.

    2016-08-01

    Using X-ray diffraction analysis, atomic force microscopy, IR and UV spectroscopy, the properties of thin aluminium nitride films (4.0 for the wavelength band around 250 nm and an optical band-gap of 5 eV. It was shown that the morphology, surface composition and optical functional characteristics of AlN/GaAs heterophase systems can be controlled owing to the use of misoriented GaAs substrates as well choice of the technological parameters used for the film growth.

  14. Coal ash as a substrate in hydroponics: chemical and agronomical aspects

    Energy Technology Data Exchange (ETDEWEB)

    Giusquiani, P L; Gigliotti, G; Businelli, D; Varallo, G [University of Perugia, Perugia (Italy)

    1995-05-01

    Tomato plants were grown in hydroponics using pelleted fly and heavy ash as substrates. The water stability of the substrates and their ability in a nutrient solution to sorb or desorb ions have been studied. Both substrates showed a satisfactory stability to water treatments. Interactions between the nutrient solution and the substrates did not influence the ion uptake by tomato plants nor plant growth and productivity.

  15. The broad-spectrum antiviral compound ST-669 restricts chlamydial inclusion development and bacterial growth and localizes to host cell lipid droplets within treated cells.

    Science.gov (United States)

    Sandoz, Kelsi M; Valiant, William G; Eriksen, Steven G; Hruby, Dennis E; Allen, Robert D; Rockey, Daniel D

    2014-07-01

    Novel broad-spectrum antimicrobials are a critical component of a strategy for combating antibiotic-resistant pathogens. In this study, we explored the activity of the broad-spectrum antiviral compound ST-669 for activity against different intracellular bacteria and began a characterization of its mechanism of antimicrobial action. ST-669 inhibits the growth of three different species of chlamydia and the intracellular bacterium Coxiella burnetii in Vero and HeLa cells but not in McCoy (murine) cells. The antichlamydial and anti-C. burnetii activity spectrum was consistent with those observed for tested viruses, suggesting a common mechanism of action. Cycloheximide treatment in the presence of ST-669 abrogated the inhibitory effect, demonstrating that eukaryotic protein synthesis is required for tested activity. Immunofluorescence microscopy demonstrated that different chlamydiae grow atypically in the presence of ST-669, in a manner that suggests the compound affects inclusion formation and organization. Microscopic analysis of cells treated with a fluorescent derivative of ST-669 demonstrated that the compound localized to host cell lipid droplets but not to other organelles or the host cytosol. These results demonstrate that ST-669 affects intracellular growth in a host-cell-dependent manner and interrupts proper development of chlamydial inclusions, possibly through a lipid droplet-dependent process. Copyright © 2014, American Society for Microbiology. All Rights Reserved.

  16. Nucleation of wetting films on cylindrical and spherical substrates: A numerical study by the string method

    KAUST Repository

    Qiu, Chunyin

    2009-09-25

    Using the mean-field diffuse-interface model for liquid-vapor system and employing the numerical string method, we study the critical nuclei involved in the prewetting transitions on curved substrates. We first introduce three distinct kinds of critical nuclei, namely, the disklike, bandlike, and layerlike ones, which respectively correspond to three possible growth modes of wettingfilms. We show the disklike growth mode to be the only mode for infinite planar substrates. We then turn to cylindrical and spherical substrates, the two simplest but most important geometries in the real world. We focus on the critical nuclei of finite size, through which the wettingfilms may be formed with finite thermodynamic probabilities. It is shown that the disklike growth mode is always the most probable for wettingfilmnucleation and growth as long as a disklike critical nucleus exists. It is also shown that on a cylindrical substrate, the disklike critical nucleus can no longer exist if the substrate radius is smaller than some critical value, comparable to the radius of the disklike critical nucleus on planar substrate. We find that on a cylindrical substrate whose radius is below the critical value, the nucleation and growth of a wettingfilm can only occur through the bandlike critical nucleus. It is worth emphasizing that the results concerning the bandlike and layerlike growth modes can only be obtained from the diffuse-interface model, beyond the macroscopic description based on the line and surface tensions.

  17. Nucleation of wetting films on cylindrical and spherical substrates: A numerical study by the string method

    KAUST Repository

    Qiu, Chunyin; Qian, Tiezheng

    2009-01-01

    Using the mean-field diffuse-interface model for liquid-vapor system and employing the numerical string method, we study the critical nuclei involved in the prewetting transitions on curved substrates. We first introduce three distinct kinds of critical nuclei, namely, the disklike, bandlike, and layerlike ones, which respectively correspond to three possible growth modes of wettingfilms. We show the disklike growth mode to be the only mode for infinite planar substrates. We then turn to cylindrical and spherical substrates, the two simplest but most important geometries in the real world. We focus on the critical nuclei of finite size, through which the wettingfilms may be formed with finite thermodynamic probabilities. It is shown that the disklike growth mode is always the most probable for wettingfilmnucleation and growth as long as a disklike critical nucleus exists. It is also shown that on a cylindrical substrate, the disklike critical nucleus can no longer exist if the substrate radius is smaller than some critical value, comparable to the radius of the disklike critical nucleus on planar substrate. We find that on a cylindrical substrate whose radius is below the critical value, the nucleation and growth of a wettingfilm can only occur through the bandlike critical nucleus. It is worth emphasizing that the results concerning the bandlike and layerlike growth modes can only be obtained from the diffuse-interface model, beyond the macroscopic description based on the line and surface tensions.

  18. The Response Strategy of Maize, Pea and Broad Bean Plants to Different Osmotic Potential Stress

    Directory of Open Access Journals (Sweden)

    Hamdia M. Abd El-Samad

    2013-08-01

    Full Text Available This investigation was conducted to study the tolerance strategy of maize, broad bean and pea plants to salinity stress with exogenous applications of proline or phenylalanine on seed germination and seedlings growth. From the results obtained, it can be observed that osmotic stress affected adversely the rate of germination in maize, broad bean and pea plants. The excessive inhibition was more prominent at higher concentration of NaCl. The seeds and grains tested were exhibited some differential responses to salinity, in a manner that the inhibitory effect of salinity on seed germination ran in the order, maize higher than broad bean and the later was higher than pea plant. Treatment with proline or phenylalanine (100 ppm significantly increased these seed germination and seedlings growth characteristics even at lowest salinity level tested.

  19. The continuous and persistent periodical growth induced by substrate accommodation in In{sub 2}O{sub 3} nanostructure chains and their photoluminescence properties

    Energy Technology Data Exchange (ETDEWEB)

    Shariati, Mohsen [Islamic Azad University, Department of Physics, Faculty of Science, Roudehen (Iran, Islamic Republic of)

    2014-12-10

    The growth of pyramidal and triangular beaded In{sub 2}O{sub 3} nanocrystal chains by using oxygen-assisted thermal evaporation, substrate accommodation and condensation method has been articulated. Self-assembled In{sub 2}O{sub 3} nanocrystal chains have been synthesized by the vapor-solid (VS) and vapor-liquid-solid (VLS) growth mechanism and also through controlling the kinetics factors (saturation ratio). A periodical one-dimensional (1-D) and persistent (0-D) growth was proposed to explain the formation of lateral nanostructures, and this formation aspect was ascribed to the alternate 1-D and 0-D growth. Preparing the needed growth factor, the In{sub 2}O{sub 3} nanocrystal chains extended to several micrometers. The growth mechanism analysis was useful to realize the relation between the kinetics factors and the complex nanostructure. The morphology and size of nanocrystals intensively were changed by oxygen concentration and led to interesting photoluminescence property. (orig.)

  20. GaN-based light-emitting diodes on various substrates: a critical review.

    Science.gov (United States)

    Li, Guoqiang; Wang, Wenliang; Yang, Weijia; Lin, Yunhao; Wang, Haiyan; Lin, Zhiting; Zhou, Shizhong

    2016-05-01

    GaN and related III-nitrides have attracted considerable attention as promising materials for application in optoelectronic devices, in particular, light-emitting diodes (LEDs). At present, sapphire is still the most popular commercial substrate for epitaxial growth of GaN-based LEDs. However, due to its relatively large lattice mismatch with GaN and low thermal conductivity, sapphire is not the most ideal substrate for GaN-based LEDs. Therefore, in order to obtain high-performance and high-power LEDs with relatively low cost, unconventional substrates, which are of low lattice mismatch with GaN, high thermal conductivity and low cost, have been tried as substitutes for sapphire. As a matter of fact, it is not easy to obtain high-quality III-nitride films on those substrates for various reasons. However, by developing a variety of techniques, distincts progress has been made during the past decade, with high-performance LEDs being successfully achieved on these unconventional substrates. This review focuses on state-of-the-art high-performance GaN-based LED materials and devices on unconventional substrates. The issues involved in the growth of GaN-based LED structures on each type of unconventional substrate are outlined, and the fundamental physics behind these issues is detailed. The corresponding solutions for III-nitride growth, defect control, and chip processing for each type of unconventional substrate are discussed in depth, together with a brief introduction to some newly developed techniques in order to realize LED structures on unconventional substrates. This is very useful for understanding the progress in this field of physics. In this review, we also speculate on the prospects for LEDs on unconventional substrates.

  1. RETRACTED: Nucleation and growth behavior of well-aligned ZnO nanorods on organic substrates in aqueous solutions

    Science.gov (United States)

    Lin, Chin-Ching; Chen, San-Yuan; Cheng, Syh-Yuh

    2005-09-01

    Available online : 21 July 2005 This article has been retracted: please see Elsevier Policy on Article Withdrawal (http://www.elsevier.com/locate/withdrawalpolicy). This article has been retracted at the request of the Editor-in-Chief. Two papers published in the Journal of Crystal Growth are being retracted due to a case of misrepresentation and reuse of data. A reader of the Journal has brought to our attention the reuse of data within two published papers: Growth behavior and microstructure evolution of ZnO nanorods grown on Si in aqueous solution, Sz-Chian Liou, Chi-Sheng Hsiao, San-Yuan Chen, Journal of Crystal Growth 274 (2005) 438-446. DOI: 10.1016/j.jcrysgro.2004.10.025 Nucleation and growth behavior of well-aligned ZnO nanorods on organic substrates in aqueous solutions, Chin-Ching Lin, San-Yuan Chen, and Syh-Yuh Cheng, Journal of Crystal Growth 283 (2005) 141-146. DOI: 10.1016/j.jcrysgro.2005.05.065 In these papers the same transmission electron micrograph was used to describe two different experimental situations and results bringing into question the content of these papers. The reuse of data without proper attribution is not acceptable within the scientific publishing community. In the present case, this is compounded by the attribution of the micrograph to a different experimental situation and drawing , as a result, new conclusions from data obtained from different samples. Such behavior undermines the integrity of the scientific publishing endeavor and is not acceptable. The authors are responsible for the content of their papers.

  2. Hydrocarbon fermentation: kinetics of microbial cell growth

    Energy Technology Data Exchange (ETDEWEB)

    Goma, G [Institut National des Sciences Appliquees, Toulouse; Ribot, D

    1978-11-01

    Modeling of microbial growth using nonmiscible substrate is studied when kinetics of substrate dissolution is rate limiting. When the substrate concentration is low, the growth rate is described by an analytical relation that can be identified as a Contois relationship. If the substrate concentration is greater than a critical value S/sub crit/, the potentially useful hydrocarbon S* concentration is described by S* = S/sub crit//(1 + S/sub crit//S). A relationship was found between S/sub crit/ and the biomass concentration X. When X increased, S/sub crit/ decreased. The cell growth rate is related to a relation ..mu.. = ..mu../sub m/(A(X/S/sub crit/)(1 + S/sub crit//S) + 1)/sup -1/. This model describes the evolution of the growth rate when exponential or linear growth occurs, which is related to physico-chemical properties and hydrodynamic fermentation conditions. Experimental data to support the model are presented.

  3. The properties of TiN ultra-thin films grown on SiO{sub 2} substrate by reactive high power impulse magnetron sputtering under various growth angles

    Energy Technology Data Exchange (ETDEWEB)

    Shayestehaminzadeh, S., E-mail: ses30@hi.is [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Tryggvason, T.K. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Karlsson, L. [School of Engineering and Science, Jacobs University Bremen, Campus Ring 1, 28759 Bremen (Germany); Olafsson, S. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); Gudmundsson, J.T. [Science Institute, University of Iceland, Dunhaga 3, IS-107 Reykjavik (Iceland); University of Michigan-Shanghai Jiao Tong University, University Joint Institute, Shanghai Jiao Tong University, 800 Dong Chuan Road, Shanghai 200240 (China)

    2013-12-02

    Thin TiN films were grown on SiO{sub 2} by reactive high power impulse magnetron sputtering (HiPIMS) and conventional dc magnetron sputtering (dcMS) while varying the angle between the target and the substrate surface from 0° (on-axis growth) to 90° (off-axis growth). Surface morphology and structural characterization were carried out using X-ray diffraction and reflection methods and the film properties were compared. The dcMS process shows higher growth rate than the HiPIMS process for on-axis grown films but the dcMS growth rate drops drastically for off-axis growth while the HiPIMS growth rate decreases slowly with increased angle between target and substrate for off-axis growth and becomes comparable to the dcMS growth rate. The dcMS grown films exhibit angle dependence in the density and surface roughness while the HiPIMS process creates denser and smoother films that are less angle dependent in all aspects. It was observed that the HiPIMS grown films remain poly-crystalline for all angles of rotation while the dcMS grown films are somewhat amorphous after 60°. The [111] and [200] grain sizes are comparable to the total film thickness in the HiPIMS grown films for all angles of rotation. In the case of dcMS, the [111], [200] and [220] grain sizes are roughly of the same size and much smaller than the total thickness for all growth angles except at 60° and higher. - Highlights: • TiN films were grown on SiO{sub 2} by HiPIMS and dcMS under various growth angles. • Influence of growth angle α = 0–90° on deposition rate and film quality was studied. • The HiPIMS process produces denser and smoother films for all growth angles. • At α = 0°, the growth rate of HiPIMS is 25% of dcMS while it is 50% at 90°. • The HiPIMS grown films remain poly-crystalline for all growth angles.

  4. Theory of magnetoelectric effect in multilayer nanocomposites on a substrate: Static bending-mode response

    Directory of Open Access Journals (Sweden)

    Matthias C. Krantz

    2013-02-01

    Full Text Available Magnetoelectric (ME coefficients for bending excitation in static magnetic fields and the bending response of multilayer composites with alternating magnetostrictive (MS and piezoelectric (PE layers on a substrate are investigated systematically. Theory and closed-form analytic solutions for the static magnetoelectric and the bending response coefficients are presented. Results of systematic variation of layer numbers, layer sequences, PE volume fractions, substrate thicknesses, and four different material systems (employing FeCoBSi, Terfenol-D, AlN, PZT, and Si are given for a fixed total composite thickness of 5μm. Among more than 105 structures investigated the greatest static ME coefficient of 62.3 V/cmOe is predicted for all odd layer number FeCoBSi-AlN multilayer composites on a Si substrate at vanishing substrate thickness and a PE material fraction of 38%. Varying the substrate thickness from 0μm to 20μm and the PE fraction from 0% to 100%, broad parameter regions of high ME coefficients are found for odd and large layer number nanocomposites. These regions are further enhanced to narrow maxima at vanishing substrate thickness, which correspond to structures of vanishing static bending response. For bilayers and even layer number cases broad maxima of the ME coefficient are observed at nonzero substrates and bending response. The optimal layer sequence and PE fraction depend on the material system. Bending response maxima occur at zero Si substrate thickness and nonzero PE fractions for bilayers. For multilayers nonzero Si substrates and zero PE fractions are found to be optimal. Structures of even ME layer numbers of PE-MS...Sub layer sequence display regions of vanishing bending response with large ME coefficients, i.e., produced by longitudinal excitation.

  5. Modification of Bi:YIG film properties by substrate surface ion pre-treatment

    International Nuclear Information System (INIS)

    Shaposhnikov, A.N.; Prokopov, A.R.; Karavainikov, A.V.; Berzhansky, V.N.; Mikhailova, T.V.; Kotov, V.A.; Balabanov, D.E.; Sharay, I.V.; Salyuk, O.Y.; Vasiliev, M.; Golub, V.O.

    2014-01-01

    Highlights: • Effects of substrates ion beam treatment on magnetoptical properties Bi:YIG films. • Substrate surface damage results in sign inversion of the magneto-optical effects. • Atomically smooth films growth takes place on low energy ions treated substrates. • High energy ions treatment results in selective nucleation mechanism of the growth. - Abstract: The effect of a controlled ion beam pre-treatment of (1 1 1)-oriented Gd 3 Ga 5 O 12 substrates on the magneto-optical properties and surface morphology of the ultrathin bismuth-substituted yttrium–iron garnet films with a composition Bi 2.8 Y 0.2 Fe 5 O 12 was studied. It has been shown that the observed sign inversion of magneto-optical effects (Faraday rotation and magnetic circular dichroism) observed in films that were deposited on the GGG substrate pre-treated by 1 keV and 4 keV Ar + ion beams is a result of the substrate surface amorphization caused by the ion bombardment

  6. Effect of Bifidobacterium upon Clostridium difficile growth and toxicity when co-cultured in different prebiotic substrates

    Directory of Open Access Journals (Sweden)

    Lorena Valdés Varela

    2016-05-01

    Full Text Available The intestinal overgrowth of Clostridium difficile, often after disturbance of the gut microbiota by antibiotic treatment, leads to C. difficile infection (CDI which manifestation ranges from mild diarrhoea to life-threatening conditions. The increasing CDI incidence, not only in compromised subjects but also in traditionally considered low-risk populations, together with the frequent relapses of the disease, has attracted the interest for prevention/therapeutic options. Among these, probiotics, prebiotics or synbiotics constitute a promising approach. In this study we determined the potential of selected Bifidobacterium strains for the inhibition of C. difficile growth and toxicity in different carbon sources. We conducted co-cultures of the toxigenic strain C. difficile LMG21717 with four Bifidobacterium strains (Bifidobacterium longum IPLA20022, Bifidobacterium breve IPLA20006, Bifidobacterium bifidum IPLA20015, and Bifidobacterium animalis subsp. lactis Bb12 in the presence of various prebiotic substrates (Inulin, Synergy and Actilight or glucose, and compared the results with those obtained for the corresponding mono-cultures. C. difficile and bifidobacteria levels were quantified by qPCR; the pH and the production of short chain fatty acids was also determined. Moreover, supernatants of the cultures were collected to evaluate their toxicity using a recently developed model. Results showed that co-culture with B. longum IPLA20022 and B. breve IPLA20006 in the presence of short-chain fructooligosaccharides, but not of Inulin, as carbon source significantly reduced the growth of the pathogen. With the sole exception of B. animalis Bb12, whose growth was enhanced, the presence of C. difficile did not show major effects upon the growth of the bifidobacteria. In accordance with the growth data, B. longum and B. breve were the strains showing higher reduction in the toxicity of the co-culture supernatants.

  7. Effects of Various Substrates and Foliar Application of Humic Acid ‎on Growth and some Qualitative and Quantitative Characteristics of Tomato (Lycopersicon esculentum Seedling

    Directory of Open Access Journals (Sweden)

    Nasibeh Pourghasemian

    2018-03-01

    Full Text Available Introduction: Successful greenhouse and nursery production of plants is largely dependent on the chemical and physical properties of the growing substrate. An ideal potting substrate should be free of weeds and diseases, heavy enough to avoid frequent tipping over and yet light enough to facilitate handling and shipping. The substrate should also be well drained and yet retain sufficient water to reduce the frequency of watering. Other parameters to consider include cost, availability, consistency between batches and stability in the media over time. Greenhouse crops in general, have higher nutrient demands than field grown crops. Therefore, in order to optimize production it is essential to focus on the growing substrate and fertilization. The physical properties of the growing medium are important parameters for successful plant growth, as these are related to the ability to adequately store and supply air and water to plants. Humic acid is a principal component of humic substances, which are the major organic constituents of soil (humus, peat and coal. It is also a major organic constituent of many upland streams, dystrophic lakes, and ocean water. It is produced by biodegradation of dead organic matter. It is not a single acid, but it is a complex mixture of many different acids containing carboxyl and phenolate groups so that the mixture behaves functionally as a dibasic acid or occasionally as a tribasic acid. Humic acids can form complexes with ions that are commonly found in the environment creating humic colloids. Humic and fulvic acids (fulvic acids are humic acids with lower molecular weight and higher oxygen content than other humic acids are commonly used as a soil supplement in agriculture. Humic Plus contains humic acid, fulvic acid, macro micro nutrients and proprietary constituents essential for plant growth. Organic matter soil amendments have been known by farmers to be beneficial to plant growth for longer than recorded

  8. Sample sufficiency of chinese pink grown in different substrates

    Directory of Open Access Journals (Sweden)

    Sidinei José lopes

    2016-04-01

    Full Text Available The cravina is an excellent plant to build up gardens due to its early flowering, abundant flowering and great performance in spring and autumn. The objective was to estimate the sample size for plant chinese pink, grown on different substrates, and check the variability of the sample size between growth parameters and production and substrates. They used seven treatments (substrates: S1 = 50% soil + 50% rice husk ash; S2 = 80% soil + 20% earthworm castings; S3 = 80% rice husk ash + 20% earthworm castings; S4 = 40% soil + 40% rice husk ash + 20% earthworm castings; S5 = 100% peat; S6 = 100% commercial substrate Mecplant®; S7 = 50% peat + 50% rice husk ash, with 56 repetitions each, totaling 392 plants of garden pink, which was evaluated in 17 of growth and production parameters. The methodology used to bootstrap resampling, with replacement, for each character within each substrate with predetermined error: 5, 10, 20 and 40% of the average (D%. To a 95% confidence interval, with D = 20%, the substrate 50% soil and 50% of rice husk ash had the largest sample size 11 characters; when comparing the characters , the number of flower buds had the highest sample size on average 113 plants. Using samples of 44 plant chinese pink for commercial substrate Mecplant® meet the lower precisions or equal to 20% for all variables. There is variation in sample size in relation to the substrate used and the variable evaluated in chinese pink plants.

  9. The fibroblast growth factor receptor (FGFR) agonist FGF1 and the neural cell adhesion molecule-derived peptide FGL activate FGFR substrate 2alpha differently

    DEFF Research Database (Denmark)

    Chen, Yongshuo; Li, Shizhong; Berezin, Vladimir

    2010-01-01

    Activation of fibroblast growth factor (FGF) receptors (FGFRs) both by FGFs and by the neural cell adhesion molecule (NCAM) is crucial in the development and function of the nervous system. We found that FGFR substrate 2alpha (FRS2alpha), Src homologous and collagen A (ShcA), and phospholipase-Cg...

  10. Epitaxial growth of GaSb on V-grooved Si (001) substrates with an ultrathin GaAs stress relaxing layer

    Science.gov (United States)

    Li, Qiang; Lai, Billy; Lau, Kei May

    2017-10-01

    We report epitaxial growth of GaSb nano-ridge structures and planar thin films on V-groove patterned Si (001) substrates by leveraging the aspect ratio trapping technique. GaSb was deposited on {111} Si facets of the V-shaped trenches using metal-organic chemical vapor deposition with a 7 nm GaAs growth initiation layer. Transmission electron microscopy analysis reveals the critical role of the GaAs layer in providing a U-shaped surface for subsequent GaSb epitaxy. A network of misfit dislocations was uncovered at the GaSb/GaAs hetero-interface. We studied the evolution of the lattice relaxation as the growth progresses from closely pitched GaSb ridges to coalesced thin films using x-ray diffraction. The omega rocking curve full-width-at-half-maximum of the resultant GaSb thin film is among the lowest values reported by molecular beam epitaxy, substantiating the effectiveness of the defect necking mechanism. These results thus present promising opportunities for the heterogeneous integration of devices based on 6.1 Å family compound semiconductors.

  11. Growth behavior of Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films on graphene substrate grown by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Chang Wan [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of); Kim, Gun Hwan; Kang, Min A.; An, Ki-Seok; Lee, Young Kuk [Thin Film Materials Research Group, Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Kang, Seong Gu [School of Electrical Engineering and Computer Science, Gwangju Institute of Science and Technology, Gwangju (Korea, Republic of); Kim, Hyungjun [School of Electrical and Electronic Engineering, Yonsei University, Seoul (Korea, Republic of)

    2017-03-15

    A comparative study of the substrate effect on the growth mechanism of chalcogenide Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was carried out. Obvious microstructural discrepancy in both the as-deposited Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films was observed when grown on graphene or SiO{sub 2}/Si substrate. Bi{sub 2}Te{sub 3} and Sb{sub 2}Te{sub 3} thin films deposited on the graphene substrate were observed to be grown epitaxially along c-axis and show very smooth surface compared to that on SiO{sub 2}/Si substrate. Based on the experimental results of this study, the initial adsorption sites on graphene substrate during deposition process, which had been discussed theoretically, could be demonstrated empirically. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Epitaxial growth and properties of AlGaN-based UV-LEDs on Si(111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Saengkaew, Phannee

    2010-07-08

    An increasing demand for bright and efficient ultraviolet light emitting diodes (UVLEDs) is generated by numerous applications such as biochemical sensors, purification and sterilization, and solid-state white lighting. Al{sub x}Ga{sub 1-x}N is a promising material to develop UVLEDs due to the direct wide-bandgap material for emission wavelengths in the UV range and the capability of n- and p-type doping. To develop UV-LEDs on Si substrates is very interesting for low-cost UV-light sources since the Si substrate is available at low cost, in large-diameter size enabling the integration with well-known Si electronics. This work presents the first crack-free AlGaN-based UV-LEDs on Si(111) substrates by MOVPE growth. This AlGaN-based UV-LED on Si(111) substrate consists of Al{sub 0.1}Ga{sub 0.9}N:Si layers on LT-AlN/HT-AlN SL buffer layers and an active layer of GaN/Al{sub 0.1}Ga{sub 0.9}N MQWs followed by Mg-doped (GaN/Al{sub 0.1}Ga{sub 0.9}N) superlattices and GaN:Mg cap layers. It yields a {proportional_to}350 nm UV electroluminescence at room temperature and a turn-on voltage in a range of 2.6-3.1 V by current-voltage (I-V) measurements. The novel LT-AlN/HT-AlN superlattice buffer layers efficiently improve the crystalline quality of Al{sub x}Ga{sub 1-x}N layers and compensate a thermal tensile strain in Al{sub x}Ga{sub 1-x}N layers after cooling as observed by in-situ curvature measurements. The dislocation density could be reduced from 8.4 x 10{sup 10} cm{sup -2} in the AlN-based SLs to 1.8 x 10{sup 10} cm{sup -2} in the Al{sub 0.1}Ga{sub 0.9}N layers as determined by cross-sectional transmission electron microscopy (TEM) measurements. Crack-free Al{sub x}Ga{sub 1-x}N layers grown on these LT-AlN/HT-AlN superlattices with 0.05{<=}x{<=} 0.65 are achieved on Si substrates with good crystalline, optical, and electrical properties. The best crystalline quality of Al{sub 0.1}Ga{sub 0.9}N is obtained with {omega}-FWHMs of the (0002) and (10-10) reflections of

  13. Rhenium Alloys as Ductile Substrates for Diamond Thin-Film Electrodes.

    Science.gov (United States)

    Halpern, Jeffrey M; Martin, Heidi B

    2014-02-01

    Molybdenum-rhenium (Mo/Re) and tungsten-rhenium (W/Re) alloys were investigated as substrates for thin-film, polycrystalline boron-doped diamond electrodes. Traditional, carbide-forming metal substrates adhere strongly to diamond but lose their ductility during exposure to the high-temperature (1000°C) diamond, chemical vapor deposition environment. Boron-doped semi-metallic diamond was selectively deposited for up to 20 hours on one end of Mo/Re (47.5/52.5 wt.%) and W/Re (75/25 wt.%) alloy wires. Conformal diamond films on the alloys displayed grain sizes and Raman signatures similar to films grown on tungsten; in all cases, the morphology and Raman spectra were consistent with well-faceted, microcrystalline diamond with minimal sp 2 carbon content. Cyclic voltammograms of dopamine in phosphate-buffered saline (PBS) showed the wide window and low baseline current of high-quality diamond electrodes. In addition, the films showed consistently well-defined, dopamine electrochemical redox activity. The Mo/Re substrate regions that were uncoated but still exposed to the diamond-growth environment remained substantially more flexible than tungsten in a bend-to-fracture rotation test, bending to the test maximum of 90° and not fracturing. The W/Re substrates fractured after a 27° bend, and the tungsten fractured after a 21° bend. Brittle, transgranular cleavage fracture surfaces were observed for tungsten and W/Re. A tension-induced fracture of the Mo/Re after the prior bend test showed a dimple fracture with a visible ductile core. Overall, the Mo/Re and W/Re alloys were suitable substrates for diamond growth. The Mo/Re alloy remained significantly more ductile than traditional tungsten substrates after diamond growth, and thus may be an attractive metal substrate for more ductile, thin-film diamond electrodes.

  14. HgCdTe photovoltaic detectors on Si substrates

    International Nuclear Information System (INIS)

    Zanio, K.R.; Bean, R.C.

    1988-01-01

    HgCdTe photovoltaic detectors have been fabricated on Si substrates through intermediate CdTe/GaAs layers. Encapsulation of the GaAs between the CdTe and Si prevents unintentional doping of the HgCdTe by Ga and As. Uniform epitaxial GaAs is grown on three inch diameter Si substrates. Detectors on such large area Si substrates will offer hybrid focal plane arrays whose dimensions are not limited by the difference between the coefficients of thermal expansion of the Si signal processor and the substrate for the HgCdTe detector array. The growth of HgCdTe detectors on the Si signal processors for monolithic focal plane arrays is also considered. 40 references

  15. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    International Nuclear Information System (INIS)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki; Kirino, Fumiyoshi

    2011-01-01

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110) fcc single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within ±0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  16. Epitaxial Growth of Permalloy Thin Films on MgO Single-Crystal Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru; Tanaka, Takahiro; Matsubara, Katsuki; Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi, E-mail: ohtake@futamoto.elect.chuo-u.ac.jp [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan)

    2011-07-06

    Permalloy (Py: Ni - 20 at. % Fe) thin films were prepared on MgO single-crystal substrates of (100), (110), and (111) orientations by molecular beam epitaxy. Py crystals consisting of fcc(100) and hcp(112-bar 0) orientations epitaxially nucleate on MgO(100) substrates. With increasing the substrate temperature, the volume ratio of fcc(100) to hcp(112-bar 0) crystal increases. The metastable hcp(112-bar 0) structure transforms into more stable fcc(110) structure with increasing the film thickness. Py(110){sub fcc} single-crystal films are obtained on MgO(110) substrates, whereas Py films epitaxially grow on MgO(111) substrates with two types of fcc(111) variants whose orientations are rotated around the film normal by 180 deg. each other. X-ray diffraction analysis indicates that the out-of-plane and the in-plane lattice spacings of these fcc-Py films agree within {+-}0.4% with the values of bulk fcc-Py crystal, suggesting that the strains in the films are very small. High-resolution transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the films around the Py/MgO(100) and the Py/MgO(110) interfaces to reduce the lattice mismatches. The magnetic properties are considered to be reflecting the magnetocrystalline anisotropies of bulk fcc-Py and/or metastable hcp-Py crystals and the shape anisotropy caused by the surface undulations.

  17. Direct growth of graphene on quartz substrate as saturable absorber for femtosecond solid-state laser

    International Nuclear Information System (INIS)

    Xu, S C; Man, B Y; Jiang, S Z; Chen, C S; Liu, M; Yang, C; Gao, S B; Zhang, C; Feng, D J; Huang, Q J; Hu, G D; Chen, X F

    2014-01-01

    We present a novel method for the direct metal-free growth of graphene on quartz substrate. The direct-grown graphene yields excellent nonlinear saturable absorption properties and is demonstrated to be suitable as a saturable absorber (SA) for an ultrafast solid-state laser. Nearly Fourier-limited 367 fs was obtained at a central wavelength of 1048 nm with a repetition rate of 105.7 MHz. At a pump power of 7.95 W, the average output power was 1.93 W and the highest pulse energy reached 18.3 nJ, with a peak power of 49.8 kW. Our work opens an easy route for making a reliable graphene SA with a mode-locking technique and also displays an exciting prospect in making low-cost and ultrafast lasers. (letter)

  18. Laboratory Evolution to Alternating Substrate Environments Yields Distinct Phenotypic and Genetic Adaptive Strategies

    DEFF Research Database (Denmark)

    Sandberg, Troy E.; Lloyd, Colton J.; Palsson, Bernhard O.

    2017-01-01

    conditions and different adaptation strategies depending on the substrates being switched between; in some environments, a persistent "generalist" strain developed, while in another, two "specialist" subpopulations arose that alternated dominance. Diauxic lag phenotype varied across the generalists...... maintain simple, static culturing environments so as to reduce selection pressure complexity. In this study, we investigated the adaptive strategies underlying evolution to fluctuating environments by evolving Escherichia coli to conditions of frequently switching growth substrate. Characterization...... of evolved strains via a number of different data types revealed the various genetic and phenotypic changes implemented in pursuit of growth optimality and how these differed across the different growth substrates and switching protocols. This work not only helps to establish general principles of adaptation...

  19. Direct growth of graphene on quartz substrates for label-free detection of adenosine triphosphate

    International Nuclear Information System (INIS)

    Xu, Shicai; Man, Baoyuan; Jiang, Shouzhen; Yue, Weiwei; Yang, Cheng; Liu, Mei; Chen, Chuansong; Zhang, Chao

    2014-01-01

    We demonstrate that continuous, uniform graphene films can be directly synthesized on quartz substrates using a two-temperature-zone chemical vapor deposition system and that their layers can be controlled by adjusting the precursor partial pressure. Raman spectroscopy and transmission electron microscopy confirm the formation of monolayer graphene with a grain size of ∼100 nm. Hall measurements show a room-temperature carrier mobility above 1500 cm 2  V −1  s −1 . The optical transmittance and conductance of the graphene films are comparable to those of transferred metal-catalyzed graphene. The method avoids the complicated and skilled post-growth transfer process and allows the graphene to be directly incorporated into a fully functional biosensor for label-free detection of adenosine triphosphate (ATP). This device shows a fast response time of a few milliseconds and achieves a high sensitivity to ATP molecules over a very wide range from 0.002 to 5 mM. (paper)

  20. Direct growth of graphene on quartz substrates for label-free detection of adenosine triphosphate.

    Science.gov (United States)

    Xu, Shicai; Man, Baoyuan; Jiang, Shouzhen; Yue, Weiwei; Yang, Cheng; Liu, Mei; Chen, Chuansong; Zhang, Chao

    2014-04-25

    We demonstrate that continuous, uniform graphene films can be directly synthesized on quartz substrates using a two-temperature-zone chemical vapor deposition system and that their layers can be controlled by adjusting the precursor partial pressure. Raman spectroscopy and transmission electron microscopy confirm the formation of monolayer graphene with a grain size of ∼100 nm. Hall measurements show a room-temperature carrier mobility above 1500 cm2 V(-1) s(-1). The optical transmittance and conductance of the graphene films are comparable to those of transferred metal-catalyzed graphene. The method avoids the complicated and skilled post-growth transfer process and allows the graphene to be directly incorporated into a fully functional biosensor for label-free detection of adenosine triphosphate (ATP). This device shows a fast response time of a few milliseconds and achieves a high sensitivity to ATP molecules over a very wide range from 0.002 to 5 mM.

  1. Generation of substrate-free III–V nanodisks from user-defined multilayer nanopillar arrays for integration on Si

    International Nuclear Information System (INIS)

    Naureen, S; Shahid, N; Dev, A; Anand, S

    2013-01-01

    High material quality InP-based multilayer nanopillar (NP) arrays are fabricated using a combination of self-assembly of silica particles for mask generation and dry etching. In particular, the NP arrays are made from user-defined epitaxial multilayer stacks with specific materials and layer thicknesses. An additional degree of flexibility in the structures is obtained by changing the lateral diameters of the NP multilayer stacks. Pre-defined NP arrays made from InGaAsP/InP and InGaAs/InP NPs are then used to generate substrate-free nanodisks of a chosen material from the stack by selective etching. A soft-stamping method is demonstrated to transfer the generated nanodisks with arbitrary densities onto Si. The transferred nanodisks retain their smooth surface morphologies and their designed geometrical dimensions. Both InP and InGaAsP nanodisks display excellent photoluminescence properties, with line-widths comparable to unprocessed reference epitaxial layers of similar composition. The multilayer NP arrays are potentially attractive for broad-band absorption in third-generation solar cells. The high optical quality, substrate-free InP and InGaAsP nanodisks on Si offer a new path to explore alternative ways to integrate III–V on Si by bonding nanodisks to Si. The method also has the advantage of re-usable III–V substrates for subsequent layer growth. (paper)

  2. Numerical analysis of high-power broad-area laser diode with improved heat sinking structure using epitaxial liftoff technique

    Science.gov (United States)

    Kim, Younghyun; Sung, Yunsu; Yang, Jung-Tack; Choi, Woo-Young

    2018-02-01

    The characteristics of high-power broad-area laser diodes with the improved heat sinking structure are numerically analyzed by a technology computer-aided design based self-consistent electro-thermal-optical simulation. The high-power laser diodes consist of a separate confinement heterostructure of a compressively strained InGaAsP quantum well and GaInP optical cavity layers, and a 100-μm-wide rib and a 2000-μm long cavity. In order to overcome the performance deteriorations of high-power laser diodes caused by self-heating such as thermal rollover and thermal blooming, we propose the high-power broad-area laser diode with improved heat-sinking structure, which another effective heat-sinking path toward the substrate side is added by removing a bulk substrate. It is possible to obtain by removing a 400-μm-thick GaAs substrate with an AlAs sacrificial layer utilizing well-known epitaxial liftoff techniques. In this study, we present the performance improvement of the high-power laser diode with the heat-sinking structure by suppressing thermal effects. It is found that the lateral far-field angle as well as quantum well temperature is expected to be improved by the proposed heat-sinking structure which is required for high beam quality and optical output power, respectively.

  3. Comparison of the quality of single-crystal diamonds grown on two types of seed substrates by MPCVD

    Science.gov (United States)

    Zhao, Yun; Guo, Yanzhao; Lin, Liangzhen; Zheng, Yuting; Hei, Lifu; Liu, Jinlong; Wei, Junjun; Chen, Liangxian; Li, Chengming

    2018-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was used to grow single-crystal diamonds on two types of single-crystal diamond seed substrates prepared by high-pressure, high-temperature (HPHT) and chemical vapor deposition (CVD) methods. The quality of diamonds grown on the different seed substrates was compared. Fluorescence characteristics showed that the sectors of the HPHT seed substrates were obviously partitioned. Raman and absorption spectra showed that the CVD seed substrate produced higher-quality crystals with fewer nitrogen impurities. X-ray topography showed that the HPHT seed substrate had obvious growth sector boundaries, inclusions, dislocations, and stacking faults. The polarization characteristics of HPHT seed substrate were obvious, and the stress distribution was not uniform. When etching HPHT and CVD seed substrates using the same parameters, the etching morphology and extent of different growth sectors of the two substrates differed. Although extended defects were inevitably formed at the interface and propagated in the CVD layer, the dislocation density of a 1 mm-thick CVD layer grown on a CVD seed substrate was only half that of a 1 mm-thick CVD layer grown on an HPHT seed substrate. Therefore, the use of CVD seed substrate enabled the growth of a relatively higher-quality CVD single-crystal diamond.

  4. Substrate considerations for graphene synthesis on thin copper films

    International Nuclear Information System (INIS)

    Howsare, Casey A; Robinson, Joshua A; Weng Xiaojun; Bojan, Vince; Snyder, David

    2012-01-01

    Chemical vapor deposition on copper substrates is a primary technique for synthesis of high quality graphene films over large areas. While well-developed processes are in place for catalytic growth of graphene on bulk copper substrates, chemical vapor deposition of graphene on thin films could provide a means for simplified device processing through the elimination of the layer transfer process. Recently, it was demonstrated that transfer-free growth and processing is possible on SiO 2 . However, the Cu/SiO 2 /Si material system must be stable at high temperatures for high quality transfer-free graphene. This study identifies the presence of interdiffusion at the Cu/SiO 2 interface and investigates the influence of metal (Ni, Cr, W) and insulating (Si 3 N 4 , Al 2 O 3 , HfO 2 ) diffusion barrier layers on Cu–SiO 2 interdiffusion, as well as graphene structural quality. Regardless of barrier choice, we find the presence of Cu diffusion into the silicon substrate as well as the presence of Cu–Si–O domains on the surface of the copper film. As a result, we investigate the choice of a sapphire substrate and present evidence that it is a robust substrate for synthesis and processing of high quality, transfer-free graphene. (paper)

  5. Metalorganic chemical vapor deposition growth of high-mobility AlGaN/AlN/GaN heterostructures on GaN templates and native GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jr-Tai, E-mail: jrche@ifm.liu.se; Hsu, Chih-Wei; Forsberg, Urban; Janzén, Erik [Department of Physics, Chemistry, and Biology (IFM), Linköping University, SE 581 83 Linköping (Sweden)

    2015-02-28

    Severe surface decomposition of semi-insulating (SI) GaN templates occurred in high-temperature H{sub 2} atmosphere prior to epitaxial growth in a metalorganic chemical vapor deposition system. A two-step heating process with a surface stabilization technique was developed to preserve the GaN template surface. Utilizing the optimized heating process, a high two-dimensional electron gas mobility ∼2000 cm{sup 2}/V·s was obtained in a thin AlGaN/AlN/GaN heterostructure with an only 100-nm-thick GaN spacer layer homoepitaxially grown on the GaN template. This technique was also demonstrated viable for native GaN substrates to stabilize the surface facilitating two-dimensional growth of GaN layers. Very high residual silicon and oxygen concentrations were found up to ∼1 × 10{sup 20 }cm{sup −3} at the interface between the GaN epilayer and the native GaN substrate. Capacitance-voltage measurements confirmed that the residual carbon doping controlled by growth conditions of the GaN epilayer can be used to successfully compensate the donor-like impurities. State-of-the-art structural properties of a high-mobility AlGaN/AlN/GaN heterostructure was then realized on a 1 × 1 cm{sup 2} SI native GaN substrate; the full width at half maximum of the X-ray rocking curves of the GaN (002) and (102) peaks are only 21 and 14 arc sec, respectively. The surface morphology of the heterostructure shows uniform parallel bilayer steps, and no morphological defects were noticeable over the entire epi-wafer.

  6. Nanowires-based light emitters on thermally and electrically conductive substrates and of making same

    KAUST Repository

    Ooi, Boon S.; Zhao, Chao; Ng, Tien Khee

    2017-01-01

    Elemental or compound semiconductors on metal substrates and methods of growing them are provided. The methods can include the steps of: (i) providing a metal substrate; (ii) adding an interlayer on a surface of the metal substrate, and (iii) growing semiconductor nanowires on the interlayer using a semiconductor epitaxy growth system to form the elemental or compound semiconductor. The method can include direct growth of high quality group III-V and group III-N based materials in the form of nanowires and nanowires-based devices on metal substrates. The nanowires on all- metal scheme greatly simplifies the fabrication process of nanowires based high power light emitters.

  7. Nanowires-based light emitters on thermally and electrically conductive substrates and of making same

    KAUST Repository

    Ooi, Boon S.

    2017-04-27

    Elemental or compound semiconductors on metal substrates and methods of growing them are provided. The methods can include the steps of: (i) providing a metal substrate; (ii) adding an interlayer on a surface of the metal substrate, and (iii) growing semiconductor nanowires on the interlayer using a semiconductor epitaxy growth system to form the elemental or compound semiconductor. The method can include direct growth of high quality group III-V and group III-N based materials in the form of nanowires and nanowires-based devices on metal substrates. The nanowires on all- metal scheme greatly simplifies the fabrication process of nanowires based high power light emitters.

  8. Growth of InN films on spinel substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitamura, K. [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Megruro-ku, Tokyo 153-8505 (Japan); Ohta, J.; Fujioka, H. [Institute of Industrial Science (IIS), The University of Tokyo, 4-6-1 Komaba, Megruro-ku, Tokyo 153-8505 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu-ku, Kanagawa 213-0012 (Japan); Oshima, M. [Department of Applied Chemistry, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2007-10-15

    We have grown InN films on MgAl{sub 2}O{sub 4}(111) substrates with atomically flat surfaces using pulsed laser deposition (PLD) and compared their structural properties with those grown on (Mn,Zn)Fe{sub 2}O{sub 4}(111) substrates. It has been revealed that InN(0001) films grow on MgAl{sub 2}O{sub 4}(111) with an in-plane epitaxial relationship of InN[1 anti 100]//MgAl{sub 2}O{sub 4}[1 anti 10], achieving a lattice mismatch minimum. The InN films exhibited a clear sixfold rotational symmetry, without 30 rotational domains and with a full width at half maximum value of the InN 0002 rocking curve being 17.5 arcmin. Comparison between InN films grown on MgAl{sub 2}O{sub 4} and those on (Mn,Zn)Fe{sub 2}O{sub 4} led us to conclude that suppression of the interfacial reactions between the InN films and the substrate is inherently important to obtain high quality InN on substrates with a spinel structure. (copyright 2007 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  9. MOVPE and characterization of GaN-based structures on alternative substrates

    Energy Technology Data Exchange (ETDEWEB)

    Dikme, Y.

    2006-06-20

    This study involves growth experiments of GaN-based layer structures on silicon (Si), lithium aluminate (LiAlO{sub 2}) and the composite substrate SiCOI. Substrate specific preparation and growth procedures were developed. Because of the different lattice constants and thermal expansion coefficients between GaN and the substrate materials and because of the high depositions temperatures (>1000 C) complex interlayers are required to create a crossover from the substrate to the GaN layer and to prevent substrate/layer bowing and cracks developing in the epitaxial layers. Crystallographic, thermal and electronic properties of these materials were investigated and the developed layers were used as buffer layers for electronic and opto electronic devices. On Si AlN/GaN distributed Bragg reflectors (DBR), InGaN/GaN multiple quantum well (MQW) and AlGaN/GaN HEMT (high electron mobility transistor) were demonstrated. The transistor structures showed high power densities, which were comparable to industrially fabricated devices. As well as the reflection of a certain wavelength region, the DBR layers additionally showed positive influence on succeeding GaN top layer optical properties. For the first time laser emission of an optically pumped InGaN/GaN MQW on Si was demonstrated with low excitation density and a high operating temperature. GaN-based structures were deposited on LiAlO2 in the m-plane crystal orientation; that do not exhibit polarization mechanisms in growth direction. For the deposition of coalesced GaN films a seal-coating of the LiAlO{sub 2} surface was developed and finally LED structures were grown on these substrates. For the first time electroluminescence of LED structures on LiAlO{sub 2} was achieved. The growth on the composite substrate SiCOI was initiated with an HT AlN layer and it was demonstrated that SiCOI is comparable to a bulk SiC substrate for the GaN-based epitaxy. The developed and investigated layer structure served as buffer for the

  10. Modification of Bi:YIG film properties by substrate surface ion pre-treatment

    Energy Technology Data Exchange (ETDEWEB)

    Shaposhnikov, A.N.; Prokopov, A.R.; Karavainikov, A.V.; Berzhansky, V.N.; Mikhailova, T.V. [Taurida National V.I. Vernadsky University, Vernadsky Avenue, 4, Simferopol, 95007 (Ukraine); Kotov, V.A. [V.A. Kotelnikov Institute of Radio Engineering and Electronics, RAS, 11 Mohovaya Street, Moscow, 125009 (Russian Federation); Balabanov, D.E. [Moscow Institute of Physics and Technology, Dolgoprudny, 141700 (Russian Federation); Sharay, I.V.; Salyuk, O.Y. [Institute of Magnetism, NAS of Ukraine, 03142, Kiev (Ukraine); Vasiliev, M. [Electron Science Research Institute, Edith Cowan University, 270 Joondalup Drive, Joondalup 6027 (Australia); Golub, V.O., E-mail: v_o_golub@yahoo.com [Institute of Magnetism, NAS of Ukraine, 03142, Kiev (Ukraine)

    2014-07-01

    Highlights: • Effects of substrates ion beam treatment on magnetoptical properties Bi:YIG films. • Substrate surface damage results in sign inversion of the magneto-optical effects. • Atomically smooth films growth takes place on low energy ions treated substrates. • High energy ions treatment results in selective nucleation mechanism of the growth. - Abstract: The effect of a controlled ion beam pre-treatment of (1 1 1)-oriented Gd{sub 3}Ga{sub 5}O{sub 12} substrates on the magneto-optical properties and surface morphology of the ultrathin bismuth-substituted yttrium–iron garnet films with a composition Bi{sub 2.8}Y{sub 0.2}Fe{sub 5}O{sub 12} was studied. It has been shown that the observed sign inversion of magneto-optical effects (Faraday rotation and magnetic circular dichroism) observed in films that were deposited on the GGG substrate pre-treated by 1 keV and 4 keV Ar{sup +} ion beams is a result of the substrate surface amorphization caused by the ion bombardment.

  11. Effect of Ni addition to the Cu substrate on the interfacial reaction and IMC growth with Sn3.0Ag0.5Cu solder

    Science.gov (United States)

    Zhang, Xudong; Hu, Xiaowu; Jiang, Xiongxin; Li, Yulong

    2018-04-01

    The formation and growth of intermetallic compound (IMC) layer at the interface between Sn3.0Ag0.5Cu (SAC305) solder and Cu- xNi ( x = 0, 0.5, 1.5, 5, 10 wt%) substrate during reflowing and aging were investigated. The soldering was conducted at 270 °C using reflowing method, following by aging treatment at 150 °C for up to 360 h. The experimental results indicated that the total thickness of IMC increased with increasing aging time. The scallop-like Cu6Sn5 and planar-like Cu3Sn IMC layer were observed between SAC305 solder and purely Cu substrate. As the content of Ni element in Cu substrate was 0.5% or 1.5%, the scallop-like Cu6Sn5 and planar-like Cu3Sn IMC layer were still found between solder and Cu-Ni substrate and the total thickness of IMC layer decreased with the increasing Ni content. Besides, when the Ni content was up to 5%, the long prismatic (Cu,Ni)6Sn5 phase was the only product between solder and substrate and the total thickness of IMC layer increased significantly. Interestingly, the total thickness of IMC decreased slightly as the Ni addition was up to 10%. In the end, the grains of interfacial IMC layer became coarser with aging time increasing while the addition of Ni in Cu substrate could refine IMC grains.

  12. Epitaxial growth of Sb-doped nonpolar a-plane ZnO thin films on r-plane sapphire substrates by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hou-Guang, E-mail: houguang@isu.edu.tw [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China); Hung, Sung-Po [Department of Materials Science and Engineering, I-Shou University, Kaohsiung 840, Taiwan (China)

    2014-02-15

    Highlights: ► Sb-doped nonpolar a-plane ZnO layers were epitaxially grown on sapphire substrates. ► Crystallinity and electrical properties were studied upon growth condition and doping concentration. ► The out-of-plane lattice spacing of ZnO films reduces monotonically with increasing Sb doping level. ► The p-type conductivity of ZnO:Sb film is closely correlated with annealing condition and Sb doping level. -- Abstract: In this study, the epitaxial growth of Sb-doped nonpolar a-plane (112{sup ¯}0) ZnO thin films on r-plane (11{sup ¯}02) sapphire substrates was performed by radio-frequency magnetron sputtering. The influence of the sputter deposition conditions and Sb doping concentration on the microstructural and electrical properties of Sb-doped ZnO epitaxial films was investigated using X-ray diffraction (XRD), scanning electron microscopy (SEM) and the Hall-effect measurement. The measurement of the XRD phi-scan indicated that the epitaxial relationship between the ZnO:Sb layer and sapphire substrate was (112{sup ¯}0){sub ZnO}//(11{sup ¯}02){sub Al{sub 2O{sub 3}}} and [11{sup ¯}00]{sub ZnO}//[112{sup ¯}0]{sub Al{sub 2O{sub 3}}}. The out-of-plane a-axis lattice parameter of ZnO films was reduced monotonically with the increasing Sb doping level. The cross-sectional transmission electron microscopy (XTEM) observation confirmed the absence of any significant antimony oxide phase segregation across the thickness of the Sb-doped ZnO epitaxial film. However, the epitaxial quality of the films deteriorated as the level of Sb dopant increased. The electrical properties of ZnO:Sb film are closely correlated with post-annealing conditions and Sb doping concentrations.

  13. Effect of precursor concentration on the growth of zinc oxide nanorod arrays on pre-treated substrates

    International Nuclear Information System (INIS)

    Urgessa, Z.N.; Oluwafemi, O.S.; Botha, J.R.

    2012-01-01

    Well aligned zinc oxide nanorod arrays (ZNAs) synthesized by a simple chemical bath deposition method were fabricated on pre-treated Si substrates. By keeping the molar VI/II ratio constant, the effect of precursor concentration on the growth and optical quality of the ZNAs was investigated. The as-synthesized ZNAs were characterized by field emission scanning electron microscopy (FESEM), x-ray diffraction (XRD) and photoluminescence spectroscopy (PL). FESEM images show that both the diameter and aspect ratio of the ZNAs increase dramatically as the precursor concentration increases. The XRD analysis indicates that all the as-grown ZNAs are crystalline and are preferentially oriented along the c-axis. The high intensity ratio of the UV emission to visible emission in the room temperature PL spectra illustrate that high optical quality ZNAs were produced.

  14. Effect of precursor concentration on the growth of zinc oxide nanorod arrays on pre-treated substrates

    Energy Technology Data Exchange (ETDEWEB)

    Urgessa, Z.N. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa); Oluwafemi, O.S., E-mail: oluwafemi.oluwatobi@gmail.com [Department of Chemistry and Chemical Technology, Walter Sisulu University, Mthatha Campus, Private Bag XI, 5117 (South Africa); Botha, J.R. [Department of Physics, P.O. Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth 6031 (South Africa)

    2012-05-15

    Well aligned zinc oxide nanorod arrays (ZNAs) synthesized by a simple chemical bath deposition method were fabricated on pre-treated Si substrates. By keeping the molar VI/II ratio constant, the effect of precursor concentration on the growth and optical quality of the ZNAs was investigated. The as-synthesized ZNAs were characterized by field emission scanning electron microscopy (FESEM), x-ray diffraction (XRD) and photoluminescence spectroscopy (PL). FESEM images show that both the diameter and aspect ratio of the ZNAs increase dramatically as the precursor concentration increases. The XRD analysis indicates that all the as-grown ZNAs are crystalline and are preferentially oriented along the c-axis. The high intensity ratio of the UV emission to visible emission in the room temperature PL spectra illustrate that high optical quality ZNAs were produced.

  15. Selective LPCVD growth of graphene on patterned copper and its growth mechanism

    Science.gov (United States)

    Zhang, M.; Huang, B.-C.; Wang, Y.; Woo, J. C. S.

    2016-12-01

    Copper-catalyzed graphene low-pressure chemical-vapor deposition (LPCVD) growth has been regarded as a viable solution towards its integration to CMOS technology, and the wafer-bonding method provides a reliable alternative for transferring the selective graphene grown on a patterned metal film for IC manufacturing. In this paper, selective LPCVD graphene growth using patterned copper dots has been studied. The Raman spectra of grown films have demonstrated large dependence on the growth conditions. To explain the results, the growth mechanisms based on surface adsorption and copper-vapor-assisted growth are investigated by the comparison between the blanket copper films with/without the additional copper source. The copper vapor density is found to be critical for high-quality graphene growth. In addition, the copper-vapor-assisted growth is also evidenced by the carbon deposition on the SiO2 substrate of the patterned-copper-dot sample and chamber wall during graphene growth. This growth mechanism explains the correlation between the growth condition and Raman spectrum for films on copper dots. The study on the copper-catalyzed selective graphene growth on the hard substrate paves the way for the synthesis and integration of the 2D material in VLSI.

  16. Substrate Dependence of the Freezing Dynamics of Supercooled Water Films: A High-Speed Optical Microscope Study.

    Science.gov (United States)

    Pach, E; Rodriguez, L; Verdaguer, A

    2018-01-18

    The freezing of supercooled water films on different substrates was investigated using a high-speed camera coupled to an optical microscope, obtaining details of the freezing process not described in the literature before. We observed the two well known freezing stages (fast dendritic growth and slow freezing of the water liquid left after the dendritic growth), but we separated the process into different phenomena that were studied separately: two-dimensional dendrite growth on the substrate interface, vertical dendrite growth, formation and evolution of ice domains, trapping of air bubbles and freezing of the water film surface. We found all of these processes to be dependent on both the supercooling temperature and the substrate used. Ice dendrite (or ice front) growth during the first stage was found to be dependent on thermal properties of the substrate but could not be unequivocally related to them. Finally, for low supercooling, a direct relationship was observed between the morphology of the dendrites formed in the first stage, which depends on the substrate, and the roughness and the shape of the surface of the ice, when freezing of the film was completed. This opens the possibility of using surfaces and coatings to control ice morphology beyond anti-icing properties.

  17. MOD approach for the growth of epitaxial CeO2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors

    International Nuclear Information System (INIS)

    Bhuiyan, M S; Paranthaman, M; Sathyamurthy, S; Aytug, T; Kang, S; Lee, D F; Goyal, A; Payzant, E A; Salama, K

    2003-01-01

    We have grown epitaxial CeO 2 buffer layers on biaxially textured Ni-W substrates for YBCO coated conductors using a newly developed metal organic decomposition (MOD) approach. Precursor solution of 0.25 M concentration was spin coated on short samples of Ni-3 at%W (Ni-W) substrates and heat-treated at 1100 C in a gas mixture of Ar-4%H 2 for 15 min. Detailed x-ray studies indicate that CeO 2 films have good out-of-plane and in-plane textures with full-width-half-maximum values of 5.8 deg. and 7.5 deg., respectively. High temperature in situ XRD studies show that the nucleation of CeO 2 films starts at 600 C and the growth completes within 5 min when heated at 1100 C. SEM and AFM investigations of CeO 2 films reveal a fairly dense microstructure without cracks and porosity. Highly textured YSZ barrier layers and CeO 2 cap layers were deposited on MOD CeO 2 -buffered Ni-W substrates using rf-magnetron sputtering. Pulsed laser deposition (PLD) was used to grow YBCO films on these substrates. A critical current, J c , of about 1.5 MA cm -2 at 77 K and self-field was obtained on YBCO (PLD)/CeO 2 (sputtered)/YSZ (sputtered)/CeO 2 (spin-coated)/Ni-W

  18. Nickel enhanced graphene growth directly on dielectric substrates by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Wofford, Joseph M., E-mail: joewofford@gmail.com, E-mail: lopes@pdi-berlin.de; Lopes, Joao Marcelo J., E-mail: joewofford@gmail.com, E-mail: lopes@pdi-berlin.de; Riechert, Henning [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Speck, Florian; Seyller, Thomas [Technische Universität Chemnitz, Institut für Physik, Reichenhainer Str. 70, 09126 Chemnitz (Germany)

    2016-07-28

    The efficacy of Ni as a surfactant to improve the crystalline quality of graphene grown directly on dielectric Al{sub 2}O{sub 3}(0001) substrates by molecular beam epitaxy is examined. Simultaneously exposing the substrate to a Ni flux throughout C deposition at 950 °C led to improved charge carrier mobility and a Raman spectrum indicating less structural disorder in the resulting nanocrystalline graphene film. X-ray photoelectron spectroscopy confirmed that no residual Ni could be detected in the film and showed a decrease in the intensity of the defect-related component of the C1s level. Similar improvements were not observed when a lower substrate temperature (850 °C) was used. A close examination of the Raman spectra suggests that Ni reduces the concentration of lattice vacancies in the film, possibly by catalytically assisting adatom incorporation.

  19. Transformation kinetics of mixed polymeric substrates under ...

    African Journals Online (AJOL)

    bglucosidase and a-mannosidase were abundantly secreted in the growth medium. This research is the first report on mixed polymeric substrate biodegradation under sewer condition by A. niger, and could be considered as an open window on ...

  20. Co-gradient variation in growth rate and development time of a broadly distributed butterfly.

    Directory of Open Access Journals (Sweden)

    Madeleine Barton

    Full Text Available Widespread species often show geographic variation in thermally-sensitive traits, providing insight into how species respond to shifts in temperature through time. Such patterns may arise from phenotypic plasticity, genetic adaptation, or their interaction. In some cases, the effects of genotype and temperature may act together to reduce, or to exacerbate, phenotypic variation in fitness-related traits across varying thermal environments. We find evidence for such interactions in life-history traits of Heteronympha merope, a butterfly distributed across a broad latitudinal gradient in south-eastern Australia. We show that body size in this butterfly is negatively related to developmental temperature in the laboratory, in accordance with the temperature-size rule, but not in the field, despite very strong temperature gradients. A common garden experiment on larval thermal responses, spanning the environmental extremes of H. merope's distribution, revealed that butterflies from low latitude (warmer climate populations have relatively fast intrinsic growth and development rates compared to those from cooler climates. These synergistic effects of genotype and temperature across the landscape (co-gradient variation are likely to accentuate phenotypic variation in these traits, and this interaction must be accounted for when predicting how H. merope will respond to temperature change through time. These results highlight the importance of understanding how variation in life-history traits may arise in response to environmental change. Without this knowledge, we may fail to detect whether organisms are tracking environmental change, and if they are, whether it is by plasticity, adaptation or both.

  1. Fabrication of SrGe2 thin films on Ge (100), (110), and (111) substrates

    Science.gov (United States)

    Imajo, T.; Toko, K.; Takabe, R.; Saitoh, N.; Yoshizawa, N.; Suemasu, T.

    2018-01-01

    Semiconductor strontium digermanide (SrGe2) has a large absorption coefficient in the near-infrared light region and is expected to be useful for multijunction solar cells. This study firstly demonstrates the formation of SrGe2 thin films via a reactive deposition epitaxy on Ge substrates. The growth morphology of SrGe2 dramatically changed depending on the growth temperature (300-700 °C) and the crystal orientation of the Ge substrate. We succeeded in obtaining single-oriented SrGe2 using a Ge (110) substrate at 500 °C. Development on Si or glass substrates will lead to the application of SrGe2 to high-efficiency thin-film solar cells.

  2. Growth of TiO2 Thin Film on Various Substrates using RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2011-01-01

    The conductivity of Titanium Dioxide (TiO 2 ) thin film fabricated using Radio Frequency (RF) Magnetron Sputtering on Silicon (Si), Indium doped--Tin Oxide (ITO) and microscope glass (M) substrates is presented in this paper. The dependant of thin film thickness and type of substrate been discussed. TiO 2 was deposited using Ti target in Ar+O 2 (45:10) mixture at 250 W for 45, 60, 75, 90, 105 and 120 minute. Resultant thickness varies from 295 nm to 724 nm with deposition rate 6.4 nm/min. On the other hand, resistivity, Rs value for ITO substrate is between 5.72x10 -7 to 1.54x10 -6 Ω.m, Si substrate range is between 3.52x10 -6 to 1.76x10 -5 Ω.m and M substrate range is between 99 to 332 Ω.m. The value of resistivity increases with the thickness of the thin film.

  3. A Lactobacillus plantarum Esterase Active on a Broad Range of Phenolic Esters

    Science.gov (United States)

    Esteban-Torres, María; Landete, José María; Reverón, Inés; Santamaría, Laura; de las Rivas, Blanca

    2015-01-01

    Lactobacillus plantarum is the lactic acid bacterial species most frequently found in the fermentation of food products of plant origin on which phenolic compounds are abundant. L. plantarum strains showed great flexibility in their ability to adapt to different environments and growth substrates. Of 28 L. plantarum strains analyzed, only cultures from 7 strains were able to hydrolyze hydroxycinnamic esters, such as methyl ferulate or methyl caffeate. As revealed by PCR, only these seven strains possessed the est_1092 gene. When the est_1092 gene was introduced into L. plantarum WCFS1 or L. lactis MG1363, their cultures acquired the ability to degrade hydroxycinnamic esters. These results support the suggestion that Est_1092 is the enzyme responsible for the degradation of hydroxycinnamic esters on the L. plantarum strains analyzed. The Est_1092 protein was recombinantly produced and biochemically characterized. Surprisingly, Est_1092 was able to hydrolyze not only hydroxycinnamic esters, since all the phenolic esters assayed were hydrolyzed. Quantitative PCR experiments revealed that the expression of est_1092 was induced in the presence of methyl ferulate, an hydroxycinnamic ester, but was inhibited on methyl gallate, an hydroxybenzoic ester. As Est_1092 is an enzyme active on a broad range of phenolic esters, simultaneously possessing feruloyl esterase and tannase activities, its presence on some L. plantarum strains provides them with additional advantages to survive and grow on plant environments. PMID:25746986

  4. Growth of semiconductor alloy InGaPBi on InP by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wang, K; Wang, P; Pan, W W; Wu, X Y; Yue, L; Gong, Q; Wang, S M

    2015-01-01

    We report the first successful growth of InGaPBi single crystals on InP substrate with Bi concentration far beyond the doping level by gas source molecular beam epitaxy. The InGaPBi thin films reveal excellent surface and structural qualities, making it a promising new III–V compound family member for heterostructures. The strain can be tuned between tensile and compressive by adjusting Ga and Bi compositions. The maximum achieved Bi concentration is 2.2 ± 0.4% confirmed by Rutherford backscattering spectroscopy. Room temperature photoluminescence shows strong and broad light emission at energy levels much smaller than the InP bandgap. (paper)

  5. Physical masking process for integrating micro metallic structures on polymer substrate

    DEFF Research Database (Denmark)

    Islam, Mohammad Aminul; Hansen, Hans Nørgaard

    2009-01-01

    plasmon devices need micro metallic structures on a polymer substrate with an uniform metal layer thickness in the nanometer range. A well known fabrication process to achieve such metallic surface pattern on polymer substrate is photolithography which involves an expensive mask and toxic chemicals......Integration of micro metallic structures in polymer devices is a broad multi-disciplinary research field, consisting of various combinations of mechanical, chemical and physical fabrication methods. Each of the methods has its specific advantages and disadvantages. Some applications like surface....... The current study shows a novel approach for fabricating thin micro metallic structures on polymer substrates using a simple physical mask and a PVD equipment. The new process involves fewer process steps, it is cost effective and suitable for high volume industrial production. Current study suggests...

  6. Growth and phycocyanin synthesis in the heterotrophic microalga Galdieria sulphuraria on substrates made of food waste from restaurants and bakeries

    DEFF Research Database (Denmark)

    Sloth, Jenni K; Jensen, Henriette Casper; Pleissner, Daniel

    2017-01-01

    Galdieria sulphuraria 074G (Rhodophyta) was grown heterotrophically in defined medium and on amylolytic and proteolytic hydrolysed food waste from restaurants and bakeries. Substrate uptake, growth, and phycocyanin content were quantified in the cultures. The alga utilised carbohydrates and amino...... in quantities resulting in glucose concentrations of 10 and 50 g L−1 for bakery and restaurant waste, respectively. Still, G. sulphuraria 074G grew and produced phycocyanin efficiently on food waste under adequate conditions and may potentially be utilised for synthesise of high-valuable products from food...

  7. Use of waste material in cultivation substrates

    Directory of Open Access Journals (Sweden)

    Petr Salaš

    2004-01-01

    Full Text Available Gardeners' practical experience and experimental work prove the affirmation that the used substrate is a very important base for the production of quality nursery products. It is important to emphasis the complexity and synergy of all factors influencing the ecosystem and there mutual relations. Physical, chemical and biological properties do not separately affect the growth and development of plants. In addition, the relations are not statical but differ in relation with other factors changes. This article is dealing with the possibility to use waste material from timber processing in cultivation substrates. The large scale use of such substrates would enable people to reach a relative independence from peat substrates, of which the global reserve is gradually decreasing.Our research activities focus on the use of bark. The basic problems of a bark substrate are easy dehydration and unbalanced nutrition of trees and shrubs. The suggested and experimented cultivation technology solves these problems. It is based on the cultivation of woody species in bark substrates, using modern irrigation systems, slow release fertilisers (Silvamix Forte and special soil conditioners (TerraCottem. This technology was tested on the following species of trees and shrubs: Malus and Buxus.

  8. Real-time observation of growth and orientation of Sm-Ba-Cu-O phases on a Sm-211 whisker substrate by high-temperature optical microscopy

    Czech Academy of Sciences Publication Activity Database

    Sun, J.L.; Huang, Y.B.; Cheng, L.; Yao, X.; Lai, Y.J.; Jirsa, Miloš

    2009-01-01

    Roč. 9, č. 2 (2009), 898-902 ISSN 1528-7483 R&D Projects: GA ČR GA202/08/0722 Institutional research plan: CEZ:AV0Z10100520 Keywords : high-temperature optical microscopy * growth and orientation of Sm-Ba-Cu-O phases * Sm-211 whisker substrate Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 4.162, year: 2009

  9. Nitrogen form affects pH and EC of whole pine tree substrate and growth of petunia

    Science.gov (United States)

    Wood-based substrates are potential alternatives or amendments to traditional peat-based and pine bark substrates. Undesirable changes in substrate pH may result from the application of supplemental fertilizer required by some crops grown in wood-based substrates. Experiments were conducted to evalu...

  10. Influence of substrate geometry on ion-plasma coating deposition process

    International Nuclear Information System (INIS)

    Khoroshikh, V.M.; Leonov, S.A.; Belous, V.A.

    2008-01-01

    Influence of substrate geometry on the feature of Ti vacuum arc plasma streams condensation process in presence of N 2 or Ar in a discharge ambient were investigated. Character of gas pressure and substrate potential influence on deposition rate is conditioned the competitive processes of condensation and sputtering, and also presence of double electric layer on a border plasma-substrate. Influence of potential on deposition rate especially strongly shows up for cylindrical substrates of small size. For such substrates it was found substantial (approximately in 4 times) growth of deposition rate at the increasing of negative potential from 100 to 700 V when nitrogen pressure is ∼0,3...2,5 Pa. Possibility of droplet-free coating deposition the substrate backs and in discharge ambient, being outside area of cathode direct visibility is shown

  11. Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

    NARCIS (Netherlands)

    Profijt, H. B.; M. C. M. van de Sanden,; Kessele, W. M. M.

    2013-01-01

    Two substrate-biasing techniques, i.e., substrate-tuned biasing and RF biasing, have been implemented in a remote plasma configuration, enabling control of the ion energy during plasma-assisted atomic layer deposition (ALD). With both techniques, substrate bias voltages up to -200 V have been

  12. Growth of Horizonatal ZnO Nanowire Arrays on Any Substrate

    KAUST Repository

    Qin, Yong; Yang, Rusen; Wang, Zhong Lin

    2008-01-01

    A general method is presented for growing laterally aligned and patterned ZnO nanowire (NW) arrays on any substrate as long as it is flat. The orientation control is achieved using the combined effect from ZnO seed layer and the catalytically

  13. Precise micropatterning of silver nanoparticles on plastic substrates

    International Nuclear Information System (INIS)

    Ammosova, Lena; Jiang, Yu; Suvanto, Mika; Pakkanen, Tapani A.

    2017-01-01

    Highlights: • Silver ink has been deposited on plastic substrate and silver nanoparticles have been produced. • 3D control allows both ink superimposing and deposition on complicated surfaces. • Polyol method ensures the formation of metallic mircopatterns with high uniformity. • Substrate wettability, ink volume, and sintering temperature influences deposited patterns. - Abstract: Conventional fabrication methods to obtain metal patterns on polymer substrates are restricted by high operating temperature and complex preparation steps. The present study demonstrates a simple yet versatile method for preparation of silver nanoparticle micropatterns on polymer substrates with various surface geometry. With the microworking robot technique, we were able not only to directly structure the surface, but also precisely deposit silver nanoparticle ink on the desired surface location with the minimum usage of ink material. The prepared silver nanoparticle ink, containing silver cations and polyethylene glycol (PEG) as a reducing agent, yields silver nanoparticle micropatterns on plastic substrates at low sintering temperature without any contamination. The influence of the ink behaviour was studied, such as substrate wettability, ink volume, and sintering temperature. The ultraviolet visible (UV–vis), scanning electron microscopy (SEM), and energy dispersive spectroscopy (EDS) measurements revealed the formation of micropatterns with uniformly distributed silver nanoparticles. The prepared patterns are expected to have a broad range of applications in optics, medicine, and sensor devices owing to the unique properties of silver. Furthermore, the deposition of a chemical compound, which is different from the substrate material, not only adds a fourth dimension to the prestructured three-dimensional (3D) surfaces, but also opens new application areas to the conventional surface structures.

  14. Precise micropatterning of silver nanoparticles on plastic substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ammosova, Lena; Jiang, Yu; Suvanto, Mika; Pakkanen, Tapani A., E-mail: tapani.pakkanen@uef.fi

    2017-04-15

    Highlights: • Silver ink has been deposited on plastic substrate and silver nanoparticles have been produced. • 3D control allows both ink superimposing and deposition on complicated surfaces. • Polyol method ensures the formation of metallic mircopatterns with high uniformity. • Substrate wettability, ink volume, and sintering temperature influences deposited patterns. - Abstract: Conventional fabrication methods to obtain metal patterns on polymer substrates are restricted by high operating temperature and complex preparation steps. The present study demonstrates a simple yet versatile method for preparation of silver nanoparticle micropatterns on polymer substrates with various surface geometry. With the microworking robot technique, we were able not only to directly structure the surface, but also precisely deposit silver nanoparticle ink on the desired surface location with the minimum usage of ink material. The prepared silver nanoparticle ink, containing silver cations and polyethylene glycol (PEG) as a reducing agent, yields silver nanoparticle micropatterns on plastic substrates at low sintering temperature without any contamination. The influence of the ink behaviour was studied, such as substrate wettability, ink volume, and sintering temperature. The ultraviolet visible (UV–vis), scanning electron microscopy (SEM), and energy dispersive spectroscopy (EDS) measurements revealed the formation of micropatterns with uniformly distributed silver nanoparticles. The prepared patterns are expected to have a broad range of applications in optics, medicine, and sensor devices owing to the unique properties of silver. Furthermore, the deposition of a chemical compound, which is different from the substrate material, not only adds a fourth dimension to the prestructured three-dimensional (3D) surfaces, but also opens new application areas to the conventional surface structures.

  15. The Critical Role of Substrate in Stabilizing Phosphorene Nanoflake: A Theoretical Exploration.

    Science.gov (United States)

    Gao, Junfeng; Zhang, Gang; Zhang, Yong-Wei

    2016-04-13

    Phosphorene, a new two-dimensional (2D) semiconductor, has received much interest due to its robust direct band gap and high charge mobility. Currently, however, phosphorene can only be produced by mechanical or liquid exfoliation, and it is still a significant challenge to directly epitaxially grow phosphorene, which greatly hinders its mass production and, thus, applications. In epitaxial growth, the stability of nanoscale cluster or flake on a substrate is crucial. Here, we perform ab initio energy optimizations and molecular dynamics simulations to explore the critical role of substrate on the stability of a representative phosphorene flake. Our calculations show that the stability of the phosphorene nanoflake is strongly dependent on the interaction strength between the nanoflake and substrate. Specifically, the strong interaction (0.75 eV/P atom) with Cu(111) substrate breaks up the phosphorene nanoflake, while the weak interaction (0.063 eV/P atom) with h-BN substrate fails to stabilize its 2D structure. Remarkably, we find that a substrate with a moderate interaction (about 0.35 eV/P atom) is able to stabilize the 2D characteristics of the nanoflake on a realistic time scale. Our findings here provide useful guidelines for searching suitable substrates for the directly epitaxial growth of phosphorene.

  16. Yield and size of oyster mushroom grown on rice/wheat straw basal substrate supplemented with cotton seed hull.

    Science.gov (United States)

    Yang, Wenjie; Guo, Fengling; Wan, Zhengjie

    2013-10-01

    Oyster mushroom (Pleurotus ostreatus) was cultivated on rice straw basal substrate, wheat straw basal substrate, cotton seed hull basal substrate, and wheat straw or rice straw supplemented with different proportions (15%, 30%, and 45% in rice straw substrate, 20%, 30%, and 40% in wheat straw substrate) of cotton seed hull to find a cost effective substrate. The effect of autoclaved sterilized and non-sterilized substrate on growth and yield of oyster mushroom was also examined. Results indicated that for both sterilized substrate and non-sterilized substrate, oyster mushroom on rice straw and wheat basal substrate have faster mycelial growth rate, comparatively poor surface mycelial density, shorter total colonization period and days from bag opening to primordia formation, lower yield and biological efficiency, lower mushroom weight, longer stipe length and smaller cap diameter than that on cotton seed hull basal substrate. The addition of cotton seed hull to rice straw and wheat straw substrate slowed spawn running, primordial development and fruit body formation. However, increasing the amount of cotton seed hull can increase the uniformity and white of mycelium, yield and biological efficiency, and increase mushroom weight, enlarge cap diameter and shorten stipe length. Compared to the sterilized substrate, the non-sterilized substrate had comparatively higher mycelial growth rate, shorter total colonization period and days from bag opening to primordia formation. However, the non-sterilized substrate did not gave significantly higher mushroom yield and biological efficiency than the sterilized substrate, but some undesirable characteristics, i.e. smaller mushroom cap diameter and relatively long stipe length.

  17. A broad-scale structural classification of vegetation for practical purposes

    Directory of Open Access Journals (Sweden)

    E. Edwards

    1983-11-01

    Full Text Available An a priori system is presented for the broad structural classification of vegetation. The objectives are to provide a descriptive, consistent, easily applied system, with unambiguous, straight-forward terminology, which can be used in the field and with remote sensing and air photo techniques, and which can be used in conjuction with floristic and habitat terms to convey the essential physiognomy and structure of the vegetation. The attributes used are a primary set of four growth forms, a set of four projected crown cover classes, and a set of four height classes for each growth form. In addition, shrub substratum is used to define thicket and bushland. Special growth forms, substrata!, leaf and other attributes can be readily incorporated to extend the two-way table system where such detail is needed.

  18. Molecular beam epitaxial growth of Bi2Te3 and Sb2Te3 topological insulators on GaAs (111 substrates: a potential route to fabricate topological insulator p-n junction

    Directory of Open Access Journals (Sweden)

    Zhaoquan Zeng

    2013-07-01

    Full Text Available High quality Bi2Te3 and Sb2Te3 topological insulators films were epitaxially grown on GaAs (111 substrate using solid source molecular beam epitaxy. Their growth and behavior on both vicinal and non-vicinal GaAs (111 substrates were investigated by reflection high-energy electron diffraction, atomic force microscopy, X-ray diffraction, and high resolution transmission electron microscopy. It is found that non-vicinal GaAs (111 substrate is better than a vicinal substrate to provide high quality Bi2Te3 and Sb2Te3 films. Hall and magnetoresistance measurements indicate that p type Sb2Te3 and n type Bi2Te3 topological insulator films can be directly grown on a GaAs (111 substrate, which may pave a way to fabricate topological insulator p-n junction on the same substrate, compatible with the fabrication process of present semiconductor optoelectronic devices.

  19. Crystallization and growth of Ni-Si alloy thin films on inert and on silicon substrates

    Science.gov (United States)

    Grimberg, I.; Weiss, B. Z.

    1995-04-01

    The crystallization kinetics and thermal stability of NiSi2±0.2 alloy thin films coevaporated on two different substrates were studied. The substrates were: silicon single crystal [Si(100)] and thermally oxidized silicon single crystal. In situ resistance measurements, transmission electron microscopy, x-ray diffraction, Auger electron spectroscopy, and Rutherford backscattering spectroscopy were used. The postdeposition microstructure consisted of a mixture of amorphous and crystalline phases. The amorphous phase, independent of the composition, crystallizes homogeneously to NiSi2 at temperatures lower than 200 °C. The activation energy, determined in the range of 1.4-2.54 eV, depends on the type of the substrate and on the composition of the alloyed films. The activation energy for the alloys deposited on the inert substrate was found to be lower than for the alloys deposited on silicon single crystal. The lowest activation energy was obtained for nonstoichiometric NiSi2.2, the highest for NiSi2—on both substrates. The crystallization mode depends on the structure of the as-deposited films, especially the density of the existing crystalline nuclei. Substantial differences were observed in the thermal stability of the NiSi2 compound on both substrates. With the alloy films deposited on the Si substrate, only the NiSi2 phase was identified after annealing to temperatures up to 800 °C. In the films deposited on the inert substrate, NiSi and NiSi2 phases were identified when the Ni content in the alloy exceeded 33 at. %. The effects of composition and the type of substrate on the crystallization kinetics and thermal stability are discussed.

  20. Substrate specificity of Arabidopsis 3-ketoacyl-CoA synthases

    International Nuclear Information System (INIS)

    Blacklock, Brenda J.; Jaworski, Jan G.

    2006-01-01

    The very long chain fatty acids (VLCFA) incorporated into plant lipids are derived from the iterative addition of C2 units provided by malonyl-CoA to an acyl-CoA by the 3-ketoacyl-CoA synthase (KCS) component of a fatty acid elongase (FAE) complex. Mining of the Arabidopsis genome sequence database revealed 20 genes with homology to seed-specific FAE1 KCS. Eight of the 20 putative KCSs were cloned, expressed in yeast, and isolated as (His) 6 fusion proteins. Five of the eight (At1g71160, At1g19440, At1g07720, At5g04530, and At4g34250) had little or no activity with C16 to C20 substrates while three demonstrated activity with C16, C18, and C20 saturated acyl-CoA substrates. At1g01120 KCS (KCS1) and At2g26640 KCS had broad substrate specificities when assayed with saturated and mono-unsaturated C16 to C24 acyl-CoAs while At4g34510 KCS was specific for saturated fatty acyl-CoA substrates

  1. The growth of III-V nitrides heterostructure on Si substrate by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Beh, K.P.; Yam, F.K.; Chin, C.W.; Tneh, S.S.; Hassan, Z.

    2010-01-01

    This paper reports the growth of InGaN/GaN/AlN epitaxial layer on Si(1 1 1) substrate by utilizing plasma-assisted molecular beam epitaxy (PA-MBE) system. The as-grown film was characterized using high-resolution X-ray diffraction (HR-XRD) and photoluminescence (PL). High work function metals, iridium and gold were deposited on the film as metal contacts and their electrical characteristics at pre- and post-annealing were studied. The structural quality of this film is comparative to the values reported in the literature, and the indium molar fraction is 0.57 by employing Vegard's law. The relatively low yellow band emission signifies the grown film is of high quality. For metal contact studies it was found that the post-annealed sample for 5 min shows good conductivity as compared to the other samples.

  2. Role of low O 2 pressure and growth temperature on electrical transport of PLD grown ZnO thin films on Si substrates

    Science.gov (United States)

    Pandis, Ch.; Brilis, N.; Tsamakis, D.; Ali, H. A.; Krishnamoorthy, S.; Iliadis, A. A.

    2006-06-01

    Undoped ZnO thin films have been grown on (100) Si substrates by pulsed laser deposition. The effect of growth parameters such as temperature, O 2 partial pressure and laser fluence on the structural and electrical properties of the films has been investigated. It is shown that the well-known native n-type conductivity, attributed to the activation of hydrogenic donor states, exhibits a conversion from n-type to p-type when the O 2 partial pressure is reduced from 10 -4 to 10 -7 Torr at growth temperatures lower than 400 °C. The p-type conductivity could be attributed to the dominant role of the acceptor Zn vacancies for ZnO films grown at very low O 2 pressures.

  3. ZnO buffer layer for metal films on silicon substrates

    Science.gov (United States)

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  4. Growth and Desulfurization Kinetics of Rhodcoccus Erythropolis IGTS8 on Dibenzothiophen and Petroleum Fraction

    International Nuclear Information System (INIS)

    El-Temtamy, S.A.; Farahat, L.A.; Mostafa, Y.M.; Al-Shatnawi, D.F.; AI-Sayed, S.

    2004-01-01

    The growth Kinetics of Rhodococcus erythropolis IGTS8 on dibenzo-thiophene. DBT, of different initial concentrations as well as on two petroleum fractions namely untreated and hydrodesulfurized gasoline and gas oil have been investigated in batch cultures. Using dibenzothiophene as a substrate, the specific growth rates were found to decrease with increasing initial substrate concentration. The removal of dibenzothiophene from culture media was found to follow first order kinetics. The reaction rate constant., k, decreased with increasing substrate concentration. The decrease of both specific growth rate and reaction rate constant with increasing substrate concentration suggested substrate inhibition. The growth rate on untreated gasoline as well as on hydrodesulfurized gasoline gave nearly the same specific growth rates of 0.067 h-I while growth on gas oil gave a higher specific growth rate of 0.1h -1

  5. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    International Nuclear Information System (INIS)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V; Lai, Z; Henry, A; Janzen, E; Pippel, E; Woltersdorf, J

    2011-01-01

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T C ) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T C = 11.3 K and critical current density of about 2.5 MA cm -2 at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  6. Growth and characterization of epitaxial ultra-thin NbN films on 3C-SiC/Si substrate for terahertz applications

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Desmaris, V; Pavolotsky, A; Meledin, D; Belitsky, V [Group for Advanced Receiver Development, Department of Earth and Space Sciences, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Lai, Z [Nanofabrication Laboratory, Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Gothenburg (Sweden); Henry, A; Janzen, E [Department of Physics, Chemistry and Biology, Linkoeping University, SE-581 83 Linkoeping (Sweden); Pippel, E; Woltersdorf, J, E-mail: dimitar.dochev@chalmers.se [Max-Planck-Institute of Microstructure Physics, Weinberg 2, D-06120 Halle (Germany)

    2011-03-15

    We report on electrical properties and microstructure of epitaxial thin NbN films grown on 3C-SiC/Si substrates by means of reactive magnetron sputtering. A complete epitaxial growth at the NbN/3C-SiC interface has been confirmed by means of high resolution transmission electron microscopy (HRTEM) along with x-ray diffractometry (XRD). Resistivity measurements of the films have shown that the superconducting transition onset temperature (T{sub C}) for the best specimen is 11.8 K. Using these epitaxial NbN films, we have fabricated submicron-size hot-electron bolometer (HEB) devices on 3C-SiC/Si substrate and performed their complete DC characterization. The observed critical temperature T{sub C} = 11.3 K and critical current density of about 2.5 MA cm{sup -2} at 4.2 K of the submicron-size bridges were uniform across the sample. This suggests that the deposited NbN films possess the necessary homogeneity to sustain reliable hot-electron bolometer device fabrication for THz mixer applications.

  7. MBE growth and characterization of ZnTe epilayers on m-plane sapphire substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakasu, Taizo; Sun, Wei-Che; Yamashita, Sotaro; Aiba, Takayuki; Taguri, Kosuke [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kobayashi, Masakazu [Department of Electrical Engineering and Bioscience, Waseda University, Tokyo 169-8555 (Japan); Kagami Memorial Research Institute for Materials Science and Technology, Waseda University, 2-8-26, Tokyo 169-0051 (Japan); Asahi, Toshiaki [Technology Development Center, JX Nippon Mining and Metals Corporation, Hitachi 317-0056 (Japan); Togo, Hiroyoshi [NTT Microsystem Integration Laboratories, Atsugi 243-0198 (Japan)

    2014-07-15

    ZnTe epilayers were grown on transparent (10-10) oriented (m -plane) sapphire substrates by molecular beam epitaxy (MBE). Pole figure imaging was used to study the domain distribution within the layer. (211)-oriented ZnTe domains were formed on m -plane sapphire. The presence of only one kind of (211) ZnTe domain formed on the 2 -tilted m -plane sapphire substrates was confirmed. Thus, single domain (211) ZnTe epilayers can be grown on the m -plane sapphire using MBE. Although differences in the crystal structure and lattice mismatch are large, precise control of the substrate surface lattice arrangement result in the formation of high-quality epitaxial layers. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  8. Epitaxial growth of bcc-Fe{sub x}Co{sub 100-x} thin films on MgO(1 1 0) single-crystal substrates

    Energy Technology Data Exchange (ETDEWEB)

    Ohtake, Mitsuru, E-mail: ohtake@futamoto.elect.chuo-u.ac.j [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Nishiyama, Tsutomu; Shikada, Kouhei [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan); Kirino, Fumiyoshi [Graduate School of Fine Arts, Tokyo National University of Fine Arts and Music, 12-8 Ueno-koen, Taito-ku, Tokyo 110-8714 (Japan); Futamoto, Masaaki [Faculty of Science and Engineering, Chuo University, 1-13-27 Kasuga, Bunkyo-ku, Tokyo 112-8551 (Japan)

    2010-07-15

    Fe{sub x}Co{sub 100-x} (x=100, 65, 50 at%) epitaxial thin films were prepared on MgO(1 1 0) single-crystal substrates heated at 300 deg. C by ultra-high vacuum molecular beam epitaxy. The film structure and the growth mechanism are discussed. FeCo(2 1 1) films with bcc structure grow epitaxially on MgO(1 1 0) substrates with two types of variants whose orientations are rotated around the film normal by 180 deg. each other for all compositions. Fe{sub x}Co{sub 100-x} film growth follows the Volmer Weber mode. X-ray diffraction analysis indicates the out-of-plane and the in-plane lattice spacings are in agreement with the values of respective bulk Fe{sub x}Co{sub 100-x} crystals with very small errors less than +-0.4%, suggesting the strains in the films are very small. High-resolution cross-sectional transmission electron microscopy shows that periodical misfit dislocations are preferentially introduced in the film at the Fe{sub 50}Co{sub 50}/MgO interface along the MgO[1 1-bar 0] direction. The presence of such periodical dislocations decreases the large lattice mismatch of about -17% existing at the FeCo/MgO interface along the MgO[1 1-bar 0] direction.

  9. Chemical vapor deposition growth of carbon nanotubes on Si substrates using Fe catalyst: What happens at the nanotube/Fe/Si interface

    International Nuclear Information System (INIS)

    Chakraborty, Amit K.; Jacobs, J.; Anderson, C.; Roberts, C. J.; Hunt, Michael R. C.

    2006-01-01

    Direct growth of carbon nanotubes (CNTs) on silicon is of great importance for their potential exploitation in the semiconductor industry. In this article we investigate the chemical vapor deposition growth of CNTs on Si substrates from ethylene precursor using an iron catalyst. We observe that CNTs are produced only at temperatures between 830 and 980 deg. C, and within this narrow temperature window CNT yield initially increases with temperature to reach a maximum around 900 deg. C and then declines. While the requirement of a minimum temperature to initiate CNT growth can be understood by considering the minimum energy necessary to activate the catalyst particles, characterization of the as-grown CNTs by atomic force microscopy and x-ray photoelectron spectroscopy reveals that a loss of catalyst is responsible for the observed decline in CNT yield above 900 deg. C. However, unlike some previous reports suggesting surface silicide formation as the mechanism for catalyst loss, we find that either subsurface diffusion or evaporation is the mechanism for the loss of catalyst material in the current study

  10. Growth modes and epitaxy of FeAl thin films on a-cut sapphire prepared by pulsed laser and ion beam assisted deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Xiang; Trautvetter, Moritz; Ziemann, Paul [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Wiedwald, Ulf [Institut für Festkörperphysik, Universität Ulm, Albert-Einstein-Allee 11, 89069 Ulm (Germany); Fakultät für Physik, Universität Duisburg-Essen, Lotharstraße 1, 47057 Duisburg (Germany)

    2014-01-14

    FeAl films around equiatomic composition are grown on a-cut (112{sup ¯}0) sapphire substrates by ion beam assisted deposition (IBAD) and pulsed laser deposition (PLD) at ambient temperature. Subsequent successive annealing is used to establish chemical order and crystallographic orientation of the films with respect to the substrate. We find a strongly [110]-textured growth for both deposition techniques. Pole figures prove the successful preparation of high quality epitaxial films by PLD with a single in-plane orientation. IBAD-grown films, however, exhibit three in-plane orientations, all of them with broad angular distributions. The difference of the two growth modes is attributed to the existence of a metastable intermediate crystalline orientation as concluded from nonassisted sputter depositions at different substrate temperatures. The formation of the chemically ordered crystalline B2 phase is accompanied by the expected transition from ferromagnetic to paramagnetic behavior of the films. In accordance with the different thermally induced structural recovery, we find a step-like magnetic transition to paramagnetic behavior after annealing for 1 h at T{sub A} = 300 °C for IBAD deposition, while PLD-grown films show a gradual decrease of ferromagnetic signals with rising annealing temperatures.

  11. On the Growth and Microstructure of Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Handuja Sangeeta

    2010-01-01

    Full Text Available Abstract Carbon nanotubes (CNTs were deposited on various substrates namely untreated silicon and quartz, Fe-deposited silicon and quartz, HF-treated silicon, silicon nitride-deposited silicon, copper foil, and stainless steel mesh using thermal chemical vapor deposition technique. The optimum parameters for the growth and the microstructure of the synthesized CNTs on these substrates are described. The results show that the growth of CNTs is strongly influenced by the substrate used. Vertically aligned multi-walled CNTs were found on quartz, Fe-deposited silicon and quartz, untreated silicon, and on silicon nitride-deposited silicon substrates. On the other hand, spaghetti-type growth was observed on stainless steel mesh, and no CNT growth was observed on HF-treated silicon and copper. Silicon nitride-deposited silicon substrate proved to be a promising substrate for long vertically aligned CNTs of length 110–130 μm. We present a possible growth mechanism for vertically aligned and spaghetti-type growth of CNTs based on these results.

  12. Growth mechanisms and thickness effect on the properties of Al-doped ZnO thin films grown on polymeric substrates

    Energy Technology Data Exchange (ETDEWEB)

    Koidis, Christos; Logothetidis, Stergios; Kassavetis, Spiridon; Laskarakis, Argiris [Lab for Thin Films-Nanosystems and Nanometrology (LTFN), Physics Department, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece); Hastas, Nikolaos A.; Valassiades, Odisseas [Solid State Section, Physics Department, Aristotle University of Thessaloniki, 54124 Thessaloniki (Greece)

    2010-07-15

    The properties of Al-doped ZnO (AZO) thin films deposited by pulsed DC magnetron sputtering under various target power on polyethylene terephthalate (PET) substrates have been investigated. In situ and real-time spectroscopic ellipsometry (1.5-6.5 eV) has been employed to study the optical properties of the AZO films as well as the growth mechanisms taking place. With X-ray diffraction technique under grazing-incidence geometry, the structural characteristics profiles of the AZO films have been depicted. Nanoindentation measurements revealed information about the mechanical properties of the films and have been correlated to the conductivity measurements towards growth insights understanding. As results have shown, the increase of target power led to the increase of the carrier density as well as the hardness of the AZO films possibly both ascribed to dislocations induced. The stress during the deposition of AZO film under high target power favoured the island growth which is possibly both connected to the formation of defects as electron traps and dislocations as electron sources. Finally, the increase of AZO film thickness led to the increase of the resistivity possibly due to the enrichment of grain boundaries with defects as electron traps. (Abstract Copyright [2010], Wiley Periodicals, Inc.)

  13. Deposition of Y-Sm Oxide on Metallic Substrates for the YBCO Coated Conductor by MOCVD Method

    International Nuclear Information System (INIS)

    Choi, Jun Kyu; Kim, Min Woo; Jun, Byung Hyuk; Kim, Chan Joong; Lee, Hee Gyoun; Hong, Gye Won

    2005-01-01

    Complex single buffer composed of yttrium and samarium oxide was deposited on the metallic substrates by MOCVD (metal organic chemical vapor deposition) method using single liquid source. Two different types of the substrates with in-plane textures of about 8 - 10 degree of Ni and 3at.%W-Ni alloy were used. Y(tmhd: 2,2,6,6-tetramethyl-3,5-heptane dionate) 3 :Sm(tmhd) 3 of liquid source was adjusted to 0.4:0.6 to minimize the lattice mismatch between the complex single buffer and the YBCO. The epitaxial growth of (Y x Sm 1-x ) 2 O 3 was achieved at the temperature higher than 500 degree C in O 2 atmosphere. However, it was found that the formation of NiO accelerated with increasing deposition temperature. By supplying H 2 O vapor, this oxidation of the substrate could be suppressed throughout the deposition temperatures. We could get the epitaxial growth on pure Ni substrate without the formation of NiO. The competitive (222) and (400) growths were observed at the deposition temperatures of 650 - 750 degree C, but the (400) growth became dominant above 800 degree. The (Y x Sm 1-x ) 2 O 3 -buffered metallic substrates can be used as the buffer for YBCO coated conductor.

  14. Substrate specificity and pH dependence of homogeneous wheat germ acid phosphatase.

    Science.gov (United States)

    Van Etten, R L; Waymack, P P

    1991-08-01

    The broad substrate specificity of a homogeneous isoenzyme of wheat germ acid phosphatase (WGAP) was extensively investigated by chromatographic, electrophoretic, NMR, and kinetic procedures. WGAP exhibited no divalent metal ion requirement and was unaffected upon incubation with EDTA or o-phenanthroline. A comparison of two catalytically homogeneous isoenzymes revealed little difference in substrate specificity. The specificity of WGAP was established by determining the Michaelis constants for a wide variety of substrates. p-Nitrophenyl phosphate, pyrophosphate, tripolyphosphate, and ATP were preferred substrates while lesser activities were seen toward sugar phosphates, trimetaphosphate, phosphoproteins, and (much less) phosphodiesters. An extensive table of Km and Vmax values is given. The pathway for the hydrolysis of trimetaphosphate was examined by colorimetric and 31P NMR methods and it was found that linear tripolyphosphate is not a free intermediate in the enzymatic reaction. In contrast to literature reports, homogeneous wheat germ acid phosphatase exhibits no measurable carboxylesterase activity, nor does it hydrolyze phenyl phosphonothioate esters or phytic acid at significant rates.

  15. PREFACE: Cell-substrate interactions Cell-substrate interactions

    Science.gov (United States)

    Gardel, Margaret; Schwarz, Ulrich

    2010-05-01

    One of the most striking achievements of evolution is the ability to build cellular systems that are both robust and dynamic. Taken by themselves, both properties are obvious requirements: robustness reflects the fact that cells are there to survive, and dynamics is required to adapt to changing environments. However, it is by no means trivial to understand how these two requirements can be implemented simultaneously in a physical system. The long and difficult quest to build adaptive materials is testimony to the inherent difficulty of this goal. Here materials science can learn a lot from nature, because cellular systems show that robustness and dynamics can be achieved in a synergetic fashion. For example, the capabilities of tissues to repair and regenerate are still unsurpassed in the world of synthetic materials. One of the most important aspects of the way biological cells adapt to their environment is their adhesive interaction with the substrate. Numerous aspects of the physiology of metazoan cells, including survival, proliferation, differentiation and migration, require the formation of adhesions to the cell substrate, typically an extracellular matrix protein. Adhesions guide these diverse processes both by mediating force transmission from the cell to the substrate and by controlling biochemical signaling pathways. While the study of cell-substrate adhesions is a mature field in cell biology, a quantitative biophysical understanding of how the interactions of the individual molecular components give rise to the rich dynamics and mechanical behaviors observed for cell-substrate adhesions has started to emerge only over the last decade or so. The recent growth of research activities on cell-substrate interactions was strongly driven by the introduction of new physical techniques for surface engineering into traditional cell biological work with cell culture. For example, microcontact printing of adhesive patterns was used to show that cell fate depends

  16. Surface preparation for the heteroepitactic growth of ceramic thin films

    International Nuclear Information System (INIS)

    Norton, M.G.; Summerfelt, S.R.; Carter, C.B.

    1990-01-01

    The morphology, composition, and crystallographic orientation of the substrate influence the nucleation and growth of deposited thin films. A method for the preparation of controlled, characteristic surfaces is reported. The surfaces are suitable for the heteroepitactic growth of thin films. When used in the formation of electron-transparent thin foils, the substrates can be used to investigate the very early stages of film growth using transmission electron microscopy. The substrate preparation involves the cleaning and subsequent annealing to generate a surface consisting of a series of steps. The step terraces are formed on the energetically stable surface, and controlled nucleation and growth of films at step edges is found. The substrate materials prepared using this technique include (001) MgO, (001) SrTiO 3 , and (001) LaAlO 3

  17. Effect of substrate crystallographic orientation of garnet-ferrite film properties

    International Nuclear Information System (INIS)

    Burym, Yu.A.; Dubinko, S.V.; Mitsaj, Yu.N.; Borovitskaya, L.N.; Prokopov, A.P.

    1992-01-01

    Samples of garnet-ferrite films with a composition (YbGdPrBi) 3 (FeAlGa) 5 O 12 grown under identical conditions on variously oriented substrates, have been studied. The substrate orientation was changed in such a way that the vector of the substrate normal was in the [110] plane between the [111] and [112] directions. We have found that the substrate misorientation leads to an inclined position of the easy magnetization axis (EMA) and a reduction of the film growth rate. The change of the film physical properties (Faraday rotation, Curie temperature, magnetization) indicates the film composition variation with the substrate orientation change. The temperature dependence of the EMA slope angle in the studied samples is determined by the magnetoelastic contribution to the anisotropy constants. (author)

  18. Growth of anisotropic gold nanostructures on conducting glass ...

    Indian Academy of Sciences (India)

    In this paper, we describe a method for the growth of gold nanowires and nanoplates starting from a bilayer array of gold seeds, anchored on electrically conducting indium tin oxide (ITO) substrates. This is based on a seed-mediated growth approach, where the nanoparticles attached on the substrate through molecular ...

  19. Plant productivity and characterization of zeoponic substrates after three successive crops of radish

    Science.gov (United States)

    Gruener, J. E.; Ming, Doug; Galindo, C., Jr.; Henderson, K. E.

    2006-01-01

    The National Aeronautics and Space Administration (NASA) has developed advanced life support (ALS) systems for long duration space missions that incorporate plants to regenerate the atmosphere (CO2 to O2), recycle water (via evapotranspiration), and produce food. NASA has also developed a zeolite-based synthetic substrate consisting of clinoptilolite and synthetic apatite to support plant growth for ALS systems (Ming et al., 1995). The substrate is called zeoponics and has been designed to slowly release all plant essential elements into "soil" solution. The substrate consists of K- and NH4-exchanged clinoptilolite and a synthetic hydroxyapatite that has Mg, S, and the plant-essential micronutrients incorporated into its structure in addition to Ca and P. Plant performance in zeoponic substrates has been improved by the addition of dolomite pH buffers, nitrifying bacteria, and other calcium-bearing minerals (Henderson et al., 2000; Gruener et al., 2003). Wheat was used as the test crop for all of these studies. The objectives of this study were to expand upon the previous studies to determine the growth and nutrient uptake of radish in zeoponic substrates and to determine the nutrient availability of the zeoponic substrate after three successive radish crops.

  20. Evaluation of polysaccharides content in fruit bodies and their antimicrobial activity of four Ganoderma lucidum (W Curt.: Fr. P. Karst. strains cultivated on different wood type substrates

    Directory of Open Access Journals (Sweden)

    Krystyna Skalicka-Woźniak

    2012-03-01

    Full Text Available Quantitative determination of polysaccharides in Ganoderma lucidum fruit bodies from different sawdust cultivation substrates and their antibacterial activity was done. Thirty six samples were analyzed. Four strains of Ganoderma lucidum (GL01, GL02, GL03 and GL04 were cultivated on the growth substrates of three different sawdust types: birch (Bo, maple (Kl or alder (Ol amended with wheat bran in three different concentrations: 10, 20 and 30% (w/w. Even though the richest in polysaccharides was GL01 strain, the highest yields of the polysaccharides were determined in GL04Kl3 sample and was 112.82 mg/g of dry weight. The antibacterial activity of polysaccharides was determined in vitro using micro-dilution broth method. The panel of eight reference bacterial strains was used. All the polysaccharide samples tested showed the broad spectrum and the moderate antibacterial activity. Micrococcus luteus ATCC 10240 strain was the most sensitive with MIC (minimal inhibitory concentration = 0.63 − 1.25 mg/mL.

  1. Optimization of Quantum-Dot Molecular Beam Epitaxy for Broad Spectral Bandwidth Devices

    KAUST Repository

    Majid, Mohammed Abdul

    2012-12-01

    The optimization of the key growth parameters for broad spectral bandwidth devices based on quantum dots is reported. A combination of atomic force microscopy, photoluminescence of test samples, and optoelectronic characterization of superluminescent diodes (SLDs) is used to optimize the growth conditions to obtain high-quality devices with large spectral bandwidth, radiative efficiency (due to a reduced defective-dot density), and thus output power. The defective-dot density is highlighted as being responsible for the degradation of device performance. An SLD device with 160 nm of bandwidth centered at 1230 nm is demonstrated.

  2. Optimization of Quantum-Dot Molecular Beam Epitaxy for Broad Spectral Bandwidth Devices

    KAUST Repository

    Majid, Mohammed Abdul; Hugues, M.; Vézian, S.; Childs, D. T. D.; Hogg, R. A.

    2012-01-01

    The optimization of the key growth parameters for broad spectral bandwidth devices based on quantum dots is reported. A combination of atomic force microscopy, photoluminescence of test samples, and optoelectronic characterization of superluminescent diodes (SLDs) is used to optimize the growth conditions to obtain high-quality devices with large spectral bandwidth, radiative efficiency (due to a reduced defective-dot density), and thus output power. The defective-dot density is highlighted as being responsible for the degradation of device performance. An SLD device with 160 nm of bandwidth centered at 1230 nm is demonstrated.

  3. Epitaxial growth of chalcopyrite CuInS2 films on GaAs (001) substrates by evaporation method with elemental sources

    International Nuclear Information System (INIS)

    Nozomu, Tsuboi; Satoshi, Kobayash; Nozomu, Tsuboi; Takashi, Tamogami

    2010-01-01

    Full text : Ternary chalcopyrite semiconductor CuInS 2 is one of the potential candidates for absorber layers in high-efficiency thin film solar cells due to its direct bandgap Eg of 1.5 eV, which matches with solar spectrum. However, CuInS 2 solar cells face the problem of lower solar conversion efficiency compared with Cu(InGa)Se 2 solar cells. Investigation of fundamental properties of CuInS 2 films is necessary to understand key issues for solar cell performance. Although in bulk CuInS 2 is known to crystallize into chalcopyrite (CH) structure, in thin film other structures such as Cu-Au (CA) and sphalerite (SP) structures may coexist. It was reported epitaxial growth of slightly Cu-rich CuInS 2 films with c-axis orientated CA only and/or with a mixture of a- and c-axes orientated CH structures on GaP (001) at substrate temperature of 500 degrees using the conventional evaporation method with three elemental sources. Successful growth of epitaxial CH structured CuInS 2 were observed for films grown on GaP at 570 degrees with slightly Cu-rich composition. In this paper, CuInS 2 films with various [Cu]/[In] ratios are grown on GaAs(001) substrates, and the composition range in terms of the [Cu]/[In] ratio where epitaxial films with CH structure grow and the structural qualities of the films are discussed in comparison with those on GaP substrates. Films with various ratios of [Cu]/[In]=0.8 ≤1.9 are grown at 500 degrees and 570 degrees using the evaporation system described in our previous reports. Regardless of the substrate temperature, noticeable X-ray diffraction (XRD) peaks of CH structured CuInS 2 phase are observed in slightly Cu-rich films. However, reflection high energy electron diffraction (RHEED) patterns of the slightly Cu-rich films grown at 570 degrees exhibit noticeable spots not only due to the CH structure but also due to the CA structure. The amount of the CA structure is considered to be small because of the absence of the XRD peaks of the CA

  4. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  5. Epitaxial growth of silicon for layer transfer

    Science.gov (United States)

    Teplin, Charles; Branz, Howard M

    2015-03-24

    Methods of preparing a thin crystalline silicon film for transfer and devices utilizing a transferred crystalline silicon film are disclosed. The methods include preparing a silicon growth substrate which has an interface defining substance associated with an exterior surface. The methods further include depositing an epitaxial layer of silicon on the silicon growth substrate at the surface and separating the epitaxial layer from the substrate substantially along the plane or other surface defined by the interface defining substance. The epitaxial layer may be utilized as a thin film of crystalline silicon in any type of semiconductor device which requires a crystalline silicon layer. In use, the epitaxial transfer layer may be associated with a secondary substrate.

  6. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  7. Influence of substrate temperature on certain physical properties ...

    Indian Academy of Sciences (India)

    2016-11-12

    Nov 12, 2016 ... with increasing substrate temperature was explained on the basis of the Zener pinning effect. ... the inactivation of proteins as investigated by Feng et al [9] and in that .... ing 30 ml of nutrient agar medium for bacterial growth.

  8. Combining affinity proteomics and network context to identify new phosphatase substrates and adapters in growth pathways.

    Directory of Open Access Journals (Sweden)

    Francesca eSacco

    2014-05-01

    Full Text Available Protein phosphorylation homoeostasis is tightly controlled and pathological conditions are caused by subtle alterations of the cell phosphorylation profile. Altered levels of kinase activities have already been associated to specific diseases. Less is known about the impact of phosphatases, the enzymes that down-regulate phosphorylation by removing the phosphate groups. This is partly due to our poor understanding of the phosphatase-substrate network. Much of phosphatase substrate specificity is not based on intrinsic enzyme specificity with the catalytic pocket recognizing the sequence/structure context of the phosphorylated residue. In addition many phosphatase catalytic subunits do not form a stable complex with their substrates. This makes the inference and validation of phosphatase substrates a non-trivial task. Here, we present a novel approach that builds on the observation that much of phosphatase substrate selection is based on the network of physical interactions linking the phosphatase to the substrate. We first used affinity proteomics coupled to quantitative mass spectrometry to saturate the interactome of eight phosphatases whose down regulations was shown to affect the activation of the RAS-PI#K pathway. By integrating information from functional siRNA with protein interaction information, we develop a strategy that aims at inferring phosphatase physiological substrates. Graph analysis is used to identify protein scaffolds that may link the catalytic subunits to their substrates. By this approach we rediscover several previously described phosphatase substrate interactions and characterize two new protein scaffolds that promote the dephosphorylation of PTPN11 and ERK by DUSP18 and DUSP26 respectively.

  9. Effect of substrate roughness on growth of diamond by hot filament ...

    Indian Academy of Sciences (India)

    Administrator

    Polycrystalline diamond coatings are grown on Si (100) substrate by hot filament CVD technique. We investigate ... toughness of the film as the crystal changes its phase from monocrystalline to .... is a characteristic of graphite. We mark the.

  10. A Lactobacillus plantarum esterase active on a broad range of phenolic esters.

    Science.gov (United States)

    Esteban-Torres, María; Landete, José María; Reverón, Inés; Santamaría, Laura; de las Rivas, Blanca; Muñoz, Rosario

    2015-05-01

    Lactobacillus plantarum is the lactic acid bacterial species most frequently found in the fermentation of food products of plant origin on which phenolic compounds are abundant. L. plantarum strains showed great flexibility in their ability to adapt to different environments and growth substrates. Of 28 L. plantarum strains analyzed, only cultures from 7 strains were able to hydrolyze hydroxycinnamic esters, such as methyl ferulate or methyl caffeate. As revealed by PCR, only these seven strains possessed the est_1092 gene. When the est_1092 gene was introduced into L. plantarum WCFS1 or L. lactis MG1363, their cultures acquired the ability to degrade hydroxycinnamic esters. These results support the suggestion that Est_1092 is the enzyme responsible for the degradation of hydroxycinnamic esters on the L. plantarum strains analyzed. The Est_1092 protein was recombinantly produced and biochemically characterized. Surprisingly, Est_1092 was able to hydrolyze not only hydroxycinnamic esters, since all the phenolic esters assayed were hydrolyzed. Quantitative PCR experiments revealed that the expression of est_1092 was induced in the presence of methyl ferulate, an hydroxycinnamic ester, but was inhibited on methyl gallate, an hydroxybenzoic ester. As Est_1092 is an enzyme active on a broad range of phenolic esters, simultaneously possessing feruloyl esterase and tannase activities, its presence on some L. plantarum strains provides them with additional advantages to survive and grow on plant environments. Copyright © 2015, American Society for Microbiology. All Rights Reserved.

  11. Microbiological effects of olive mill waste addition to substrates for Pleurotus pulmonarius cultivation

    NARCIS (Netherlands)

    Soler-Rivas, C.; Garcia-Rosado, A.; Polonia, I.; Junca-Blanch, G.; Marin, F.R.; Wichers, H.J.

    2006-01-01

    When olive mill wastes (OMWs) and vegetation waters (VWs) obtained during the manufacture of olive oil were added as substrate supplements for the cultivation of Pleurotus pulmonarius the material modified growth of the mushroom and the endemic microbiota of the substrate, in particular the

  12. A CMOS-compatible silicon substrate optimization technique and its application in radio frequency crosstalk isolation

    International Nuclear Information System (INIS)

    Li Chen; Liao Huailin; Huang Ru; Wang Yangyuan

    2008-01-01

    In this paper, a complementary metal-oxide semiconductor (CMOS)-compatible silicon substrate optimization technique is proposed to achieve effective isolation. The selective growth of porous silicon is used to effectively suppress the substrate crosstalk. The isolation structures are fabricated in standard CMOS process and then this post-CMOS substrate optimization technique is carried out to greatly improve the performances of crosstalk isolation. Three-dimensional electro-magnetic simulation is implemented to verify the obvious effect of our substrate optimization technique. The morphologies and growth condition of porous silicon fabricated have been investigated in detail. Furthermore, a thick selectively grown porous silicon (SGPS) trench for crosstalk isolation has been formed and about 20dB improvement in substrate isolation is achieved. These results demonstrate that our post-CMOS SGPS technique is very promising for RF IC applications. (cross-disciplinary physics and related areas of science and technology)

  13. Surprisingly high substrate specificities observed in complex biofilms

    DEFF Research Database (Denmark)

    Nierychlo, Marta; Kindaichi, Tomonori; Kragelund, Caroline

    The behavior of microorganisms in natural ecosystems (e.g. biofilms) differs significantly from laboratory studies. In nature microorganisms experience alternating periods of surplus nutrients, nutrient-limitation, and starvation. Literature data suggests that to survive and compete successfully......, microorganisms can regulate their metabolism expressing wide range of uptake and catabolic systems. However, ecophysiological studies of natural biofilms indicate that bacteria are very specialized in their choice of substrate, so even minor changes in substrate composition can affect the community composition...... by selection for different specialized species. We hypothesized that bacteria growing in natural environment express strongly conserved substrate specificity which is independent on short-term (few hours) variations in growth conditions. In this study, biofilm from Aalborg wastewater treatment plant was used...

  14. Control of Alq3 wetting layer thickness via substrate surface functionalization.

    Science.gov (United States)

    Tsoi, Shufen; Szeto, Bryan; Fleischauer, Michael D; Veinot, Jonathan G C; Brett, Michael J

    2007-06-05

    The effects of substrate surface energy and vapor deposition rate on the initial growth of porous columnar tris(8-hydroxyquinoline)aluminum (Alq3) nanostructures were investigated. Alq3 nanostructures thermally evaporated onto as-supplied Si substrates bearing an oxide were observed to form a solid wetting layer, likely caused by an interfacial energy mismatch between the substrate and Alq3. Wetting layer thickness control is important for potential optoelectronic applications. A dramatic decrease in wetting layer thickness was achieved by depositing Alq3 onto alkyltrichlorosilane-derivatized Si/oxide substrates. Similar effects were noted with increasing deposition rates. These two effects enable tailoring of the wetting layer thickness.

  15. Growth responses of Escherichia coli and Myxococcus xanthus on ...

    African Journals Online (AJOL)

    Bacteria colonize surfaces responding to the physicochemical properties of substrates. A systematic study was carried out with growing single bacterial colonies on the surface of agar media to decipher the interaction between bacterial growth and substrate stiffness. We investigated the growth kinetics of wild-type ...

  16. Growth of carbon nanotubes by Fe-catalyzed chemical vapor processes on silicon-based substrates

    Science.gov (United States)

    Angelucci, Renato; Rizzoli, Rita; Vinciguerra, Vincenzo; Fortuna Bevilacqua, Maria; Guerri, Sergio; Corticelli, Franco; Passini, Mara

    2007-03-01

    In this paper, a site-selective catalytic chemical vapor deposition synthesis of carbon nanotubes on silicon-based substrates has been developed in order to get horizontally oriented nanotubes for field effect transistors and other electronic devices. Properly micro-fabricated silicon oxide and polysilicon structures have been used as substrates. Iron nanoparticles have been obtained both from a thin Fe film evaporated by e-gun and from iron nitrate solutions accurately dispersed on the substrates. Single-walled nanotubes with diameters as small as 1 nm, bridging polysilicon and silicon dioxide “pillars”, have been grown. The morphology and structure of CNTs have been characterized by SEM, AFM and Raman spectroscopy.

  17. The Post-polyketide Synthase Steps in iso-Migrastatin Biosynthesis Featuring Tailoring Enzymes with Broad Substrate Specificity

    Science.gov (United States)

    Ma, Ming; Kwong, Thomas; Lim, Si-Kyu; Ju, Jianhua; Lohman, Jeremy R.; Shen, Ben

    2013-01-01

    The iso-migrastatin (iso-MGS) biosynthetic gene cluster from Streptomyces platensis NRRL 18993 consists of 11 genes, featuring an acyltransferase (AT)-less type I polyketide synthase (PKS) and three tailoring enzymes MgsIJK. Systematic inactivation of mgsIJK in S. platensis enabled us to (i) identify two nascent products (10 and 13) of the iso-MGS AT-less type I PKS, establishing an unprecedented novel feature for AT-less type I PKSs, and (ii) account for the formation of all known post-PKS biosynthetic intermediates (10-17) generated by the three tailoring enzymes MgsIJK, which possessed significant substrate promiscuities. PMID:23394593

  18. Effect of Growth Parameters on SnO2 Nanowires Growth by Electron Beam Evaporation Method

    Science.gov (United States)

    Rakesh Kumar, R.; Manjula, Y.; Narasimha Rao, K.

    2018-02-01

    Tin oxide (SnO2) nanowires were synthesized via catalyst assisted VLS growth mechanism by the electron beam evaporation method at a growth temperature of 450 °C. The effects of growth parameters such as evaporation rate of Tin, catalyst film thickness, and different types of substrates on the growth of SnO2 nanowires were studied. Nanowires (NWs) growth was completely seized at higher tin evaporation rates due to the inability of the catalyst particle to initiate the NWs growth. Nanowires diameters were able to tune with catalyst film thickness. Nanowires growth was completely absent at higher catalyst film thickness due to agglomeration of the catalyst film. Optimum growth parameters for SnO2 NWs were presented. Nanocomposites such as Zinc oxide - SnO2, Graphene oxide sheets- SnO2 and Graphene nanosheets-SnO2 were able to synthesize at a lower substrate temperature of 450 °C. These nanocompsoites will be useful in enhancing the capacity of Li-ion batteries, the gas sensing response and also useful in increasing the photo catalytic activity.

  19. Soilless plant growth media influence the efficacy of phytohormones and phytohormone inhibitors.

    Science.gov (United States)

    Best, Norman B; Hartwig, Thomas; Budka, Joshua S; Bishop, Brandon J; Brown, Elliot; Potluri, Devi P V; Cooper, Bruce R; Premachandra, Gnanasiri S; Johnston, Cliff T; Schulz, Burkhard

    2014-01-01

    Plant growth regulators, such as hormones and their respective biosynthesis inhibitors, are effective tools to elucidate the physiological function of phytohormones in plants. A problem of chemical treatments, however, is the potential for interaction of the active compound with the growth media substrate. We studied the interaction and efficacy of propiconazole, a potent and specific inhibitor of brassinosteroid biosynthesis, with common soilless greenhouse growth media for rice, sorghum, and maize. Many of the tested growth media interacted with propiconazole reducing its efficacy up to a hundred fold. To determine the molecular interaction of inhibitors with media substrates, Fourier Transform Infrared Spectroscopy and sorption isotherm analysis was applied. While mica clay substrates absorbed up to 1.3 mg of propiconazole per g substrate, calcined clays bound up to 12 mg of propiconazole per g substrate. The efficacy of the gibberellic acid biosynthesis inhibitor, uniconazole, and the most active brassinosteroid, brassinolide, was impacted similarly by the respective substrates. Conversely, gibberellic acid showed no distinct growth response in different media. Our results suggest that the reduction in efficacy of propiconazole, uniconazole, and brassinolide in bioassays when grown in calcined clay is caused by hydrophobic interactions between the plant growth regulators and the growth media. This was further confirmed by experiments using methanol-water solvent mixes with higher hydrophobicity values, which reduce the interaction of propiconazole and calcined clay.

  20. Growth and nutritional status of Brazilian wood species Cedrella fissilis and Anadenanthera peregrina in bauxite spoil in response to arbuscular mycorrhizal inoculation and substrate amendment

    Directory of Open Access Journals (Sweden)

    Tótola Marcos Rogério

    2000-01-01

    Full Text Available The growth of Cedrella fissilis Vell. (Cedro Rosa and of Anadenanthera peregrina Benth (Angico Vermelho in bauxite spoil was studied to evaluate their response to substrate amendment or to inoculation with arbuscular mycorrhizal fungi (AMF. The plants were grown in bauxite spoil, topsoil or spoil amended with either topsoil or compost, and inoculated with the AMF Acaulospora scrobiculata, Gigaspora margarita or Glomus etunicatum. Root colonization was highly dependent on the interaction plant-fungus-substrate. In C. fissilis, root colonization by Gigaspora margarita dropped from 75% in bauxite spoil to only 4% in topsoil. Contrarily, root colonization of A. peregrina by the same fungus increased from 48% in spoil to 60% in topsoil. Root colonization of C. fissilis in topsoil was lower than in the three other substrates. The opposite was observed for A. peregrina. Inoculation of the plants with Acaulospora scrobiculata or Glomus etunicatum was very effective in promoting plant growth. Plants of both C. fissilis and A. peregrina did not respond to amendments of bauxite spoil unless they were mycorrhizal. Also, a preferential partitioning of photosynthates to the shoots of A. peregrina inoculated with G. etunicatum or A. scrobiculata, and of C. fissilis inoculated with any of the three species of AMF was observed. C. fissilis showed a greater response to mycorrhizal inoculation than A. peregrina. The mean mycorrhizal efficiency (ME for dry matter production by C. fissilis was 1,847% for A. scrobiculata, 1,922% for G. etunicatum, and 119% for G. margarita. In A. peregrina, the ME was 249% for A. scrobiculata, 540% for G. etunicatum, and 50% for G. margarita. The effect of mycorrhizal inoculation on plant growth seems to be related in part to an enhanced phosphorus absorption by inoculated plants. Moreover, the efficiency with which the absorbed nutrients were used to produce plant biomass was much greater in plants inoculated with A. scrobiculata or

  1. Evolution effects of the copper surface morphology on the nucleation density and growth of graphene domains at different growth pressures

    Energy Technology Data Exchange (ETDEWEB)

    Hedayat, Seyed Mahdi [Transport Phenomena & Nanotechnology Lab., School of Chemical Engineering, College of Engineering, University of Tehran (Iran, Islamic Republic of); Karimi-Sabet, Javad, E-mail: j_karimi@alum.sharif.edu [NFCRS, Nuclear Science and Technology Research Institute, Tehran (Iran, Islamic Republic of); Shariaty-Niassar, Mojtaba, E-mail: mshariat@ut.ac.ir [Transport Phenomena & Nanotechnology Lab., School of Chemical Engineering, College of Engineering, University of Tehran (Iran, Islamic Republic of)

    2017-03-31

    Highlights: • Manipulation of the Cu surface morphology in a wide range by electropolishing treatment. • Comparison of the nucleation density of graphene at low pressure and atmospheric pressure CVD processes. • Controlling the evolution of the Cu surface morphology inside a novel confined space. • Growth of large-size graphene domains. - Abstract: In this work, we study the influence of the surface morphology of the catalytic copper substrate on the nucleation density and the growth rate of graphene domains at low and atmospheric pressure chemical vapor deposition (LPCVD and APCVD) processes. In order to obtain a wide range of initial surface morphology, precisely controlled electropolishing methods were developed to manipulate the roughntreess value of the as-received Cu substrate (RMS = 30 nm) to ultra-rough (RMS = 130 nm) and ultra-smooth (RMS = 2 nm) surfaces. The nucleation and growth of graphene domains show obviously different trends at LPCVD and APCVD conditions. In contrast to APCVD condition, the nucleation density of graphene domains is almost equal in substrates with different initial roughness values at LPCVD condition. We show that this is due to the evolution of the surface morphology of the Cu substrate during the graphene growth steps. By stopping the surface sublimation of copper substrate in a confined space saturated with Cu atoms, the evolution of the Cu surface was impeded. This results in the reduction of the nucleation density of graphene domains up to 24 times in the pre-smoothed Cu substrates at LPCVD condition.

  2. Evolution effects of the copper surface morphology on the nucleation density and growth of graphene domains at different growth pressures

    International Nuclear Information System (INIS)

    Hedayat, Seyed Mahdi; Karimi-Sabet, Javad; Shariaty-Niassar, Mojtaba

    2017-01-01

    Highlights: • Manipulation of the Cu surface morphology in a wide range by electropolishing treatment. • Comparison of the nucleation density of graphene at low pressure and atmospheric pressure CVD processes. • Controlling the evolution of the Cu surface morphology inside a novel confined space. • Growth of large-size graphene domains. - Abstract: In this work, we study the influence of the surface morphology of the catalytic copper substrate on the nucleation density and the growth rate of graphene domains at low and atmospheric pressure chemical vapor deposition (LPCVD and APCVD) processes. In order to obtain a wide range of initial surface morphology, precisely controlled electropolishing methods were developed to manipulate the roughntreess value of the as-received Cu substrate (RMS = 30 nm) to ultra-rough (RMS = 130 nm) and ultra-smooth (RMS = 2 nm) surfaces. The nucleation and growth of graphene domains show obviously different trends at LPCVD and APCVD conditions. In contrast to APCVD condition, the nucleation density of graphene domains is almost equal in substrates with different initial roughness values at LPCVD condition. We show that this is due to the evolution of the surface morphology of the Cu substrate during the graphene growth steps. By stopping the surface sublimation of copper substrate in a confined space saturated with Cu atoms, the evolution of the Cu surface was impeded. This results in the reduction of the nucleation density of graphene domains up to 24 times in the pre-smoothed Cu substrates at LPCVD condition.

  3. Influence of substrate orientation on the structural properties of GaAs nanowires in MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Muhammad, R., E-mail: rosnita@utm.my; Othaman, Z., E-mail: zulothaman@gmail.com; Ibrahim, Z., E-mail: zuhairi@utm.my; Sakrani, S., E-mail: samsudi3@yahoo.com [Faculty of Science, UniversitiTeknologi Malaysia, 81310 UTM, Johor (Malaysia); Wahab, Y., E-mail: wyussof@gmail.com [Razak School, UniversitiTeknologi Malaysia, 54100 Kuala Lumpur (Malaysia)

    2016-04-19

    In this study, the effect of substrate orientation on the structural properties of GaAs nanowires grown by a metal organic chemical vapor deposition has been investigated. Gold colloids were used as catalyst to initiate the growth of nanowiresby the vapour-liquid-solid (VLS) mechanism. From the field-emission scanning electron microscopy (FE-SEM), the growth of the nanowires were at an elevation angle of 90°, 60°, 65° and 35° with respect to the GaAs substrate for (111)B, (311)B, (110) and (100) orientations respectively. The preferential NW growth direction is always <111>B. High-resolution transmission electron microscope (HRTEM) micrograph showed the NWs that grew on the GaAs(111)B has more structural defects when compared to others. Energy dispersive X-ray analysis (EDX) indicated the presence of Au, Ga and As. The bigger diameter NWs dominates the (111)B substrate surface.

  4. Solid substrate fermentation

    Energy Technology Data Exchange (ETDEWEB)

    Tengerdy, R P

    1985-04-01

    Solid Substrate Fermentation (SSF) describes the microbiological tranformation of biological materials in their natural state, in contrast with liquid or submerged fermentations which are carried out in dilute solutions or slurries. The most important industrial microorganisms used in SSF are filamentous fungi and the critical factors in their growth are the control of the moisture level and the temperature. Traditionally, most SSFs are conducted in shallow trays (so that heat build up is avoided) and stacked in a moist chamber, however, the modern SSF should be able to mix large amounts of substrate for a uniform fermentation, maximum automization scale-up of the process, continuous operation and fermentation control and a promising new design is the Helical screw fermenter. At the present time SSF is used in the production of foods (e.g. mushrooms and oriental foods) in municipal, agricultural and industrial solid waste disposal and in the production of enzymes and speciality chemicals but it does not seem likely that it will replace prevalent liquid fermentation technologies. 29 references.

  5. The role of substrate surface alteration in the fabrication of vertically aligned CdTe nanowires

    International Nuclear Information System (INIS)

    Neretina, S; Devenyi, G A; Preston, J S; Mascher, P; Hughes, R A; Sochinskii, N V

    2008-01-01

    Previously we have described the deposition of vertically aligned wurtzite CdTe nanowires derived from an unusual catalytically driven growth mode. This growth mode could only proceed when the surface of the substrate was corrupted with an alcohol layer, although the role of the corruption was not fully understood. Here, we present a study detailing the remarkable role that this substrate surface alteration plays in the development of CdTe nanowires; it dramatically improves the size uniformity and largely eliminates lateral growth. These effects are demonstrated to arise from the altered surface's ability to limit Ostwald ripening of the catalytic seed material and by providing a surface unable to promote the epitaxial relationship needed to sustain a lateral growth mode. The axial growth of the CdTe nanowires is found to be exclusively driven through the direct impingement of adatoms onto the catalytic seeds leading to a self-limiting wire height associated with the sublimation of material from the sidewall facets. The work presented furthers the development of the mechanisms needed to promote high quality substrate-based vertically aligned CdTe nanowires. With our present understanding of the growth mechanism being a combination of selective area epitaxy and a catalytically driven vapour-liquid-solid growth mode, these results also raise the intriguing possibility of employing this growth mode in other material systems in an effort to produce superior nanowires

  6. Three extracellular dipeptidyl peptidases found in Aspergillus oryzae show varying substrate specificities.

    Science.gov (United States)

    Maeda, Hiroshi; Sakai, Daisuke; Kobayashi, Takuji; Morita, Hiroto; Okamoto, Ayako; Takeuchi, Michio; Kusumoto, Ken-Ichi; Amano, Hitoshi; Ishida, Hiroki; Yamagata, Youhei

    2016-06-01

    Three extracellular dipeptidyl peptidase genes, dppB, dppE, and dppF, were unveiled by sequence analysis of the Aspergillus oryzae genome. We investigated their differential enzymatic profiles, in order to gain an understanding of the diversity of these genes. The three dipeptidyl peptidases were expressed using Aspergillus nidulans as the host. Each recombinant enzyme was purified and subsequently characterized. The enzymes displayed similar optimum pH values, but optimum temperatures, pH stabilities, and substrate specificities varied. DppB was identified as a Xaa-Prolyl dipeptidyl peptidase, while DppE scissile substrates were similar to the substrates for Aspergillus fumigatus DPPV (AfDPPV). DppF was found to be a novel enzyme that could digest both substrates for A. fumigatus DPPIV and AfDPPV. Semi-quantitative PCR revealed that the transcription of dppB in A. oryzae was induced by protein substrates and repressed by the addition of an inorganic nitrogen source, despite the presence of protein substrates. The transcription of dppE depended on its growth time, while the transcription of dppF was not affected by the type of the nitrogen source in the medium, and it started during the early stage of the fungal growth. Based on these results, we conclude that these enzymes may represent the nutrition acquisition enzymes. Additionally, DppF may be one of the sensor peptidases responsible for the detection of the protein substrates in A. oryzae environment. DppB may be involved in nitrogen assimilation control, since the transcription of dppB was repressed by NaNO3, despite the presence of protein substrates.

  7. Caenorhabditis elegans fibroblast growth factor receptor signaling can occur independently of the multi-substrate adaptor FRS2.

    Science.gov (United States)

    Lo, Te-Wen; Bennett, Daniel C; Goodman, S Jay; Stern, Michael J

    2010-06-01

    The components of receptor tyrosine kinase signaling complexes help to define the specificity of the effects of their activation. The Caenorhabditis elegans fibroblast growth factor receptor (FGFR), EGL-15, regulates a number of processes, including sex myoblast (SM) migration guidance and fluid homeostasis, both of which require a Grb2/Sos/Ras cassette of signaling components. Here we show that SEM-5/Grb2 can bind directly to EGL-15 to mediate SM chemoattraction. A yeast two-hybrid screen identified SEM-5 as able to interact with the carboxy-terminal domain (CTD) of EGL-15, a domain that is specifically required for SM chemoattraction. This interaction requires the SEM-5 SH2-binding motifs present in the CTD (Y(1009) and Y(1087)), and these sites are required for the CTD role of EGL-15 in SM chemoattraction. SEM-5, but not the SEM-5 binding sites located in the CTD, is required for the fluid homeostasis function of EGL-15, indicating that SEM-5 can link to EGL-15 through an alternative mechanism. The multi-substrate adaptor protein FRS2 serves to link vertebrate FGFRs to Grb2. In C. elegans, an FRS2-like gene, rog-1, functions upstream of a Ras/MAPK pathway for oocyte maturation but is not required for EGL-15 function. Thus, unlike the vertebrate FGFRs, which require the multi-substrate adaptor FRS2 to recruit Grb2, EGL-15 can recruit SEM-5/Grb2 directly.

  8. Arbuscular Mycorrhiza Improves Substrate Hydraulic Conductivity in the Plant Available Moisture Range Under Root Growth Exclusion.

    Science.gov (United States)

    Bitterlich, Michael; Franken, Philipp; Graefe, Jan

    2018-01-01

    Arbuscular mycorrhizal fungi (AMF) proliferate in soils and are known to affect soil structure. Although their contribution to structure is extensively investigated, the consequences of those processes for soil water extractability and transport has, so far, gained surprisingly little attention. Therefore we asked, whether AMF can affect water retention and unsaturated hydraulic conductivity under exclusion of root ingrowth, in order to minimize plant driven effects. We carried out experiments with tomato inoculated with Rhizoglomus irregulare in a soil substrate with sand and vermiculite that created variation in colonization by mixed pots with wild type (WT) plants and mycorrhiza resistant (RMC) mutants. Sampling cores were introduced and used to assess substrate moisture retention dynamics and modeling of substrate water retention and hydraulic conductivity. AMF reduced the saturated water content and total porosity, but maintained air filled porosity in soil spheres that excluded root ingrowth. The water content between field capacity and the permanent wilting point (6-1500 kPa) was only reduced in mycorrhizal substrates that contained at least one RMC mutant. Plant available water contents correlated positively with soil protein contents. Soil protein contents were highest in pots that possessed the strongest hyphal colonization, but not significantly affected. Substrate conductivity increased up to 50% in colonized substrates in the physiologically important water potential range between 6 and 10 kPa. The improvements in hydraulic conductivity are restricted to substrates where at least one WT plant was available for the fungus, indicating a necessity of a functional symbiosis for this effect. We conclude that functional mycorrhiza alleviates the resistance to water movement through the substrate in substrate areas outside of the root zone.

  9. Model experiments on growth modes and interface electronics of CuInS{sub 2}: Ultrathin epitaxial films on GaAs(100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Calvet, Wolfram [Institute for Heterogeneous Materials Systems, Helmholtz-Zentrum Berlin, Hahn-Meitner-Platz 1, 14109, Berlin (Germany); Lewerenz, Hans-Joachim [Joint Center for Artificial Photosynthesis, California Institute of Technology, 1200 E. California Blvd., Pasadena, CA, 91101 (United States); Pettenkofer, Christian [Institute Silicon Photovoltaics, Helmholtz-Zentrum Berlin, Kekulestrasse 5, 12489, Berlin (Germany)

    2014-09-15

    The heterojunction formation between GaAs(100) and CuInS{sub 2} is investigated using ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), and low energy electron diffraction (LEED). Thin layers of CuInS{sub 2} films were deposited in a step-by-step process on wet chemically pre-treated GaAs(100) surfaces by molecular beam epitaxy (MBE) with a total upper thickness limit of the films of 60 nm. The film growth starts from a sulfur-rich GaAs(100) surface. XPS core level analysis of the substrate and film reveals initially a transitory growth regime with the formation of a Ga containing chalcopyrite phase. With increasing film thickness, a change in stoichiometry from Cu-poor to Cu-rich composition is observed. The evaluation of the LEED data shows the occurrence of a recrystallization process where the film orientation follows that of the substrate with the epitaxial relation GaAs{100} parallel CuInS{sub 2}{001}. On the completed junction with a CuInS{sub 2} film thickness of 60 nm, the band discontinuities of the GaAs(100)/CuInS{sub 2} structure measured with XPS and UPS were determined as ΔE{sub V} = 0.1 ± 0.1 eV and ΔE{sub C} = 0.0 ± 0.1 eV, thus showing a type II band alignment. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  10. Substrate dependent hierarchical structures of RF sputtered ZnS films

    Science.gov (United States)

    Chalana, S. R.; Mahadevan Pillai, V. P.

    2018-05-01

    RF magnetron sputtering technique was employed to fabricate ZnS nanostructures with special emphasis given to study the effect of substrates (quartz, glass and quartz substrate pre-coated with Au, Ag, Cu and Pt) on the structure, surface evolution and optical properties. Type of substrate has a significant influence on the crystalline phase, film morphology, thickness and surface roughness. The present study elucidates the suitability of quartz substrate for the deposition of stable and highly crystalline ZnS films. We found that the role of metal layer on quartz substrate is substantial in the preparation of hierarchical ZnS structures and these structures are of great importance due to its high specific area and potential applications in various fields. A mechanism for morphological evolution of ZnS structures is also presented based on the roughness of substrates and primary nonlocal effects in sputtering. Furthermore, the findings suggest that a controlled growth of hierarchical ZnS structures may be achieved with an ordinary RF sputtering technique by changing the substrate type.

  11. Growth and quantum transport properties of vertical Bi2Se3 nanoplate films on Si substrates.

    Science.gov (United States)

    Li, M Z; Wang, Z H; Yang, L; Pan, D S; Li, Da; Gao, Xuan; Zhang, Zhi-Dong

    2018-05-14

    Controlling the growth direction (planar vs. vertical) and surface-to-bulk ratio can lead to lots of unique properties for two-dimensional (2D) layered materials. We report a simple method to fabricate continuous films of vertical Bi2Se3 nanoplates on Si substrate and investigate the quantum transport properties of such films. In contrast to (001) oriented planar Bi2Se3 nanoplate film, vertical Bi2Se3 nanoplate films are enclosed by (015) facets, which possess high surface-to-bulk ratio that can enhance the quantum transport property of topological surface states. And by controlling the compactness of vertical Bi2Se3 nanoplates, we realized an effective tuning of the weak antilocalization (WAL) effect from topological surface states in Bi2Se3 films. Our work paves a way for exploring the unique transport properties of this unconventional structure topological insulator film. © 2018 IOP Publishing Ltd.

  12. The effect of optical substrates on micro-FTIR analysis of single mammalian cells.

    Science.gov (United States)

    Wehbe, Katia; Filik, Jacob; Frogley, Mark D; Cinque, Gianfelice

    2013-02-01

    The study of individual cells with infrared (IR) microspectroscopy often requires living cells to be cultured directly onto a suitable substrate. The surface effect of the specific substrates on the cell growth-viability and associated biochemistry-as well as on the IR analysis-spectral interference and optical artifacts-is all too often ignored. Using the IR beamline, MIRIAM (Diamond Light Source, UK), we show the importance of the substrate used for IR absorption spectroscopy by analyzing two different cell lines cultured on a range of seven optical substrates in both transmission and reflection modes. First, cell viability measurements are made to determine the preferable substrates for normal cell growth. Successively, synchrotron radiation IR microspectroscopy is performed on the two cell lines to determine any genuine biochemically induced changes or optical effect in the spectra due to the different substrates. Multivariate analysis of spectral data is applied on each cell line to visualize the spectral changes. The results confirm the advantage of transmission measurements over reflection due to the absence of a strong optical standing wave artifact which amplifies the absorbance spectrum in the high wavenumber regions with respect to low wavenumbers in the mid-IR range. The transmission spectra reveal interference from a more subtle but significant optical artifact related to the reflection losses of the different substrate materials. This means that, for comparative studies of cell biochemistry by IR microspectroscopy, it is crucial that all samples are measured on the same substrate type.

  13. The effect of grooves in amorphous substrates on the orientation of metal deposits. I - Carbon substrates

    Science.gov (United States)

    Anton, R.; Poppa, H.; Flanders, D. C.

    1982-01-01

    The graphoepitaxial alignment of vapor-deposited discrete metal crystallites is investigated in the nucleation and growth stages and during annealing by in situ UHV/TEM techniques. Various stages of nucleation, growth and coalescence of vapor deposits of Au, Ag, Pb, Sn, and Bi on amorphous, topographically structured C substrates are analyzed by advanced dark-field techniques to detect preferred local orientations. It is found that the topography-induced orientation of metal crystallites depends strongly on their mobility and their respective tendency to develop pronounced crystallographic shapes. Lowering of the average surface free energies and increasing the crystallographic surface energy anisotropies cause generally improved graphoepitaxial alignments.

  14. Wrinkle-Free Single-Crystal Graphene Wafer Grown on Strain-Engineered Substrates.

    Science.gov (United States)

    Deng, Bing; Pang, Zhenqian; Chen, Shulin; Li, Xin; Meng, Caixia; Li, Jiayu; Liu, Mengxi; Wu, Juanxia; Qi, Yue; Dang, Wenhui; Yang, Hao; Zhang, Yanfeng; Zhang, Jin; Kang, Ning; Xu, Hongqi; Fu, Qiang; Qiu, Xiaohui; Gao, Peng; Wei, Yujie; Liu, Zhongfan; Peng, Hailin

    2017-12-26

    Wrinkles are ubiquitous for graphene films grown on various substrates by chemical vapor deposition at high temperature due to the strain induced by thermal mismatch between the graphene and substrates, which greatly degrades the extraordinary properties of graphene. Here we show that the wrinkle formation of graphene grown on Cu substrates is strongly dependent on the crystallographic orientations. Wrinkle-free single-crystal graphene was grown on a wafer-scale twin-boundary-free single-crystal Cu(111) thin film fabricated on sapphire substrate through strain engineering. The wrinkle-free feature of graphene originated from the relatively small thermal expansion of the Cu(111) thin film substrate and the relatively strong interfacial coupling between Cu(111) and graphene, based on the strain analyses as well as molecular dynamics simulations. Moreover, we demonstrated the transfer of an ultraflat graphene film onto target substrates from the reusable single-crystal Cu(111)/sapphire growth substrate. The wrinkle-free graphene shows enhanced electrical mobility compared to graphene with wrinkles.

  15. GROWTH AND LEVELS OF N, P AND K IN ROOTSTOCKS OF TAMARIND TREES USING ORGANIC SUBSTRATES AND DOSES OF PHOSPHORUS

    Directory of Open Access Journals (Sweden)

    EDUARDO CASTRO PEREIRA

    2016-01-01

    Full Text Available The aim of this study was to evaluate different organic substrates and phosphorus doses on the growth and leaf content of nitrogen, phosphorus and potassium in rootstocks of Tamarindus indica L. The experiment was performed in a greenhouse nursery located on the campus of the Universidade Federal Rural do Semi-Árido (UFERSA, from March to October 2013. The treatments consisted of three organic sources at a concentration of 40% (v/v (bovine manure, goat manure and a commercial organic compound and four doses of simple superphosphate (0, 0 kg m-3, 2.5 kg m-3, 5.0 kg m-3 and 7.5 kg m-3. The experiment was conducted in a randomized block design (RBD, with 12 treatments (substrates, four replications and 10 plants per plot, totaling 480 plants. The goat manure and the organic compound were the most suitable treatments for the production of rootstocks of the tamarind tree. The maximum dose of 7.5 g kg-1 of simple superphosphate caused the highest levels of phosphorus and potassium in the dry mass of shoots. The nutrients in the dry matter of shoots accumulated in the following order: N > K > P.

  16. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  17. Cultivation of Flammulina velutipesmushroom using various agro-residues as a fruiting substrate

    Directory of Open Access Journals (Sweden)

    Nooraishah Harith

    2014-03-01

    Full Text Available The objective of this work was to evaluate the feasibility of different agro-residues as a carbon source in the fruiting substrates of Flammulina velutipesmushroom and the effect of supplementation with the nitrogen sources spent brewer's yeast and rice bran. The following fruiting substrates were evaluated: rubber wood sawdust (SD, paddy straw (PS, palm empty fruit bunches (EFB, and palm-pressed fiber (PPF. Cultivation was done on each agro-residue, based on formulations consisting of two substrates at the ratios of 3:1, 1:1, and 1:3. Mycelial growth rate and basidiocarp yield were evaluated. The best fruiting substrates were PS+EFB (25:75, PS+PPF (50:50, and PPF (100, with biological efficiency of 185.09±36.98, 150.89±50.35, and 129.06±14.51%, respectively. No significant effects of supplementation with rice bran and spent yeast were observed on mycelial growth rate and biological efficiency. The cultivation of F. velutipes on oil palm wastes does not require additional nitrogen sources.

  18. Growth and characterization of polar and nonpolar ZnO film grown on sapphire substrates by using atomic layer deposition

    International Nuclear Information System (INIS)

    Kim, Ki-Wook; Son, Hyo-Soo; Choi, Nak-Jung; Kim, Jihoon; Lee, Sung-Nam

    2013-01-01

    We investigated the electrical and the optical properties of polar and nonpolar ZnO films grown on sapphire substrates with different crystallographic planes. High resolution X-ray results revealed that polar c-plane (0001), nonpolar m-plane (10-10) and a-plane (11-20) ZnO thin films were grown on c-plane, m- and r-sapphire substrates by atomic layer deposition, respectively. Compared with the c-plane ZnO film, nonpolar m-plane and a-plane ZnO films showed smaller surface roughness and anisotropic surface structures. Regardless of ZnO crystal planes, room temperature photoluminescence spectra represented two emissions which consisted of the near bandedge (∼ 380 nm) and the deep level emission (∼ 500 nm). The a-plane ZnO films represented better optical and electrical properties than c-plane ZnO, while m-plane ZnO films exhibited poorer optical and electrical properties than c-plane ZnO. - Highlights: • Growth and characterization of a-, c- and m-plane ZnO film by atomic layer deposition. • The a-plane ZnO represented better optical and electrical properties than c-plane ZnO. • The m-plane ZnO exhibited poorer optical and electrical properties than c-plane ZnO

  19. Selective light sintering of Aerosol-Jet printed silver nanoparticle inks on polymer substrates

    International Nuclear Information System (INIS)

    Schuetz, K.; Hoerber, J.; Franke, J.

    2014-01-01

    Printing silver nanoparticle inks to generate conductive structures for electronics on polymer substrates has gained increasing relevance in recent years. In this context, the Aerosol-Jet Technology is well suited to print silver ink on 3D-Molded Interconnect Devices (MID). The deposited ink requires thermal post-treatment to obtain sufficient electrical conductivity and adhesion. However, commonly used oven sintering cannot be applied for many thermoplastic substrates due to low melting temperatures. In this study a new sintering technology, selective light sintering, is presented, based on the focused, continuous light beam of a xenon lamp. Sintering experiments were conducted with Aerosol-Jet printed structures on various polycarbonate (PC) substrates. Especially on neat, light transparent PC, silver tracks were evenly sintered with marginal impact to the substrate. Electrical conductivities significantly exceed the values obtained with conventional oven sintering. Adhesive strength is sufficient for conductive tracks. Experiments with non-transparent PC substrates led to substrate damage due to increased light absorption. Therefore a concept for a variation of light sintering was developed, using optical filters. First experiments showed significant reduction of substrate damage and good sintering qualities. The highly promising results of the conducted experiments provide a base for further investigations to increase adhesion and qualifying the technology for MID applications and a broad spectrum of thermoplastic substrates

  20. Molecular beam epitaxy of CdSe epilayers and quantum wells on ZnTe substrate

    International Nuclear Information System (INIS)

    Park, Y.M.; Andre, R.; Kasprzak, J.; Dang, Le Si; Bellet-Amalric, E.

    2007-01-01

    We have grown zinc-blende cadmium selenide (CdSe) epilayers on ZnTe-(0 0 1) substrate by molecular beam epitaxy (MBE). By controlling the substrate temperature and beam-equivalent pressure (BEP) ratio, of Se to Cd, we determined the most suitable growth condition based on reflection high-energy electron diffraction (RHEED) pattern. At a substrate temperature of 280 deg. C and a BEP ratio of 3.6, the RHEED pattern showed a V-like feature, indicating a rough surface with facets. As the substrate temperature was increased to 360 deg. C at the same BEP ratio, a V-like RHEED pattern moved to a clear streaky pattern. Moreover when the BEP ratio was increased to 4.8 at 360 deg. C of substrate temperature, a clear (2 x 1) reconstruction of the CdSe layer was observed. A CdSe/CdMgSe single quantum well structure was also grown on ZnTe-(0 0 1) substrate by MBE. The RHEED pattern showed a clear (2 x 1) surface reconstruction during the growth. By photoluminescence measurement, a good optical property of the structure was obtained

  1. Bifunctional lanthanum phosphate substrates as novel adsorbents and biocatalyst supports for perchlorate removal

    International Nuclear Information System (INIS)

    Sankar, Sasidharan; Prajeesh, Gangadharan Puthiya Veetil; Anupama, Vijaya Nadaraja; Krishnakumar, Bhaskaran; Hareesh, Padinhattayil; Nair, Balagopal N.; Warrier, Krishna Gopakumar; Hareesh, Unnikrishnan Nair Saraswathy

    2014-01-01

    Graphical abstract: Porous lanthanum phosphate substrates, obtained by an environmentally benign thermal gelation process, performed the role of dual functional sorbent facilitating perchlorate adsorption and bioremediation through the growth of perchlorate reducing microbial colonies. - Highlights: • Lanthanum phosphate monoliths as efficient perchlorate adsorbents. • And also as substrates for biofilm (perchlorate reducing bacteria) growth. • Environmentally benign thermal gelation process for substrate fabrication. • 98% adsorption efficiency for perchlorate concentrations up to 100 μg/L. • The regenerated monoliths show nearly 100% reusability. - Abstract: Porous lanthanum phosphate substrates, obtained by an environmentally benign colloidal forming process employing methyl cellulose, are reported here as excellent adsorbents of perchlorate with >98% efficiency and with 100% reusability. Additionally, the effectiveness of such substrates as biocatalyst supports that facilitate biofilm formation of perchlorate reducing microbes (Serratia marcescens NIIST 5) is also demonstrated for the first time. The adsorption of perchlorate ions is attributed to the pore structure of lanthanum phosphate substrate and the microbial attachment is primarily ascribed to its intrinsic hydrophobic property. Lanthanum phosphate thus emerges as a dual functional material that possesses an integrated adsorption/bioremediation property for the effective removal of ClO 4 − which is an increasingly important environmental contaminant

  2. Bifunctional lanthanum phosphate substrates as novel adsorbents and biocatalyst supports for perchlorate removal

    Energy Technology Data Exchange (ETDEWEB)

    Sankar, Sasidharan [Materials Science and Technology Division (India); Prajeesh, Gangadharan Puthiya Veetil; Anupama, Vijaya Nadaraja [Process Engineering and Environmental Technology Division, CSIR – National Institute for Interdisciplinary Science and Technology, Industrial Estate P.O., Thiruvananthapuram 695019 (India); Krishnakumar, Bhaskaran [Process Engineering and Environmental Technology Division, CSIR – National Institute for Interdisciplinary Science and Technology, Industrial Estate P.O., Thiruvananthapuram 695019 (India); Academy of Scientific and Industrial Research (AcSIR) (India); Hareesh, Padinhattayil [Materials Science and Technology Division (India); Nair, Balagopal N. [R and D Centre, Noritake Co. Ltd., Aichi (Japan); Warrier, Krishna Gopakumar [Materials Science and Technology Division (India); Academy of Scientific and Industrial Research (AcSIR) (India); Hareesh, Unnikrishnan Nair Saraswathy, E-mail: hareesh@niist.res.in [Materials Science and Technology Division (India); Academy of Scientific and Industrial Research (AcSIR) (India)

    2014-06-30

    Graphical abstract: Porous lanthanum phosphate substrates, obtained by an environmentally benign thermal gelation process, performed the role of dual functional sorbent facilitating perchlorate adsorption and bioremediation through the growth of perchlorate reducing microbial colonies. - Highlights: • Lanthanum phosphate monoliths as efficient perchlorate adsorbents. • And also as substrates for biofilm (perchlorate reducing bacteria) growth. • Environmentally benign thermal gelation process for substrate fabrication. • 98% adsorption efficiency for perchlorate concentrations up to 100 μg/L. • The regenerated monoliths show nearly 100% reusability. - Abstract: Porous lanthanum phosphate substrates, obtained by an environmentally benign colloidal forming process employing methyl cellulose, are reported here as excellent adsorbents of perchlorate with >98% efficiency and with 100% reusability. Additionally, the effectiveness of such substrates as biocatalyst supports that facilitate biofilm formation of perchlorate reducing microbes (Serratia marcescens NIIST 5) is also demonstrated for the first time. The adsorption of perchlorate ions is attributed to the pore structure of lanthanum phosphate substrate and the microbial attachment is primarily ascribed to its intrinsic hydrophobic property. Lanthanum phosphate thus emerges as a dual functional material that possesses an integrated adsorption/bioremediation property for the effective removal of ClO{sub 4}{sup −} which is an increasingly important environmental contaminant.

  3. Structural insights into the cofactor-assisted substrate recognition of yeast methylglyoxal/isovaleraldehyde reductase Gre2.

    Science.gov (United States)

    Guo, Peng-Chao; Bao, Zhang-Zhi; Ma, Xiao-Xiao; Xia, Qingyou; Li, Wei-Fang

    2014-09-01

    Saccharomyces cerevisiae Gre2 (EC1.1.1.283) serves as a versatile enzyme that catalyzes the stereoselective reduction of a broad range of substrates including aliphatic and aromatic ketones, diketones, as well as aldehydes, using NADPH as the cofactor. Here we present the crystal structures of Gre2 from S. cerevisiae in an apo-form at 2.00Å and NADPH-complexed form at 2.40Å resolution. Gre2 forms a homodimer, each subunit of which contains an N-terminal Rossmann-fold domain and a variable C-terminal domain, which participates in substrate recognition. The induced fit upon binding to the cofactor NADPH makes the two domains shift toward each other, producing an interdomain cleft that better fits the substrate. Computational simulation combined with site-directed mutagenesis and enzymatic activity analysis enabled us to define a potential substrate-binding pocket that determines the stringent substrate stereoselectivity for catalysis. Copyright © 2014 Elsevier B.V. All rights reserved.

  4. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    International Nuclear Information System (INIS)

    Abdulgafour, H.I.; Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J.

    2011-01-01

    Research highlights: → Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. → Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. → The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. → This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 μm and from 217-229 nm to 0.6-0.7 μm, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  5. ZnO nanocoral reef grown on porous silicon substrates without catalyst

    Energy Technology Data Exchange (ETDEWEB)

    Abdulgafour, H.I., E-mail: hind_alshaikh@yahoo.com [School of Physics, University Sains Malaysia 11800 Penang (Malaysia); Yam, F.K.; Hassan, Z.; AL-Heuseen, K.; Jawad, M.J. [School of Physics, University Sains Malaysia 11800 Penang (Malaysia)

    2011-05-05

    Research highlights: > Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates. > Flower-like aligned ZnO nanorods are fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. > The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency. > This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices. - Abstract: Porous silicon (PS) technology is utilized to grow coral reef-like ZnO nanostructures on the surface of Si substrates with rough morphology. Flower-like aligned ZnO nanorods are also fabricated directly onto the silicon substrates through zinc powder evaporation using a simple thermal evaporation method without a catalyst for comparison. The characteristics of these nanostructures are investigated using field-emission scanning electron microscopy, grazing-angle X-ray diffraction (XRD), and photoluminescence (PL) measurements of structures grown on both Si and porous Si substrates. The texture coefficient obtained from the XRD spectra indicates that the coral reef-like nanostructures are highly oriented on the porous silicon substrate with decreasing nanorods length and diameter from 800-900 nm to 3.5-5.5 {mu}m and from 217-229 nm to 0.6-0.7 {mu}m, respectively. The PL spectra show that for ZnO nanocoral reefs the UV emission shifts slightly towards lower frequency and the intensity increase with the improvement of ZnO crystallization. This non-catalyst growth technique on the rough surface of substrates may have potential applications in the fabrication of nanoelectronic and nanooptical devices.

  6. Substrate-Competitive Activity-Based Profiling of Ester Prodrug Activating Enzymes.

    Science.gov (United States)

    Xu, Hao; Majmudar, Jaimeen D; Davda, Dahvid; Ghanakota, Phani; Kim, Ki H; Carlson, Heather A; Showalter, Hollis D; Martin, Brent R; Amidon, Gordon L

    2015-09-08

    Understanding the mechanistic basis of prodrug delivery and activation is critical for establishing species-specific prodrug sensitivities necessary for evaluating preclinical animal models and potential drug-drug interactions. Despite significant adoption of prodrug methodologies for enhanced pharmacokinetics, functional annotation of prodrug activating enzymes is laborious and often unaddressed. Activity-based protein profiling (ABPP) describes an emerging chemoproteomic approach to assay active site occupancy within a mechanistically similar enzyme class in native proteomes. The serine hydrolase enzyme family is broadly reactive with reporter-linked fluorophosphonates, which have shown to provide a mechanism-based covalent labeling strategy to assay the activation state and active site occupancy of cellular serine amidases, esterases, and thioesterases. Here we describe a modified ABPP approach using direct substrate competition to identify activating enzymes for an ethyl ester prodrug, the influenza neuraminidase inhibitor oseltamivir. Substrate-competitive ABPP analysis identified carboxylesterase 1 (CES1) as an oseltamivir-activating enzyme in intestinal cell homogenates. Saturating concentrations of oseltamivir lead to a four-fold reduction in the observed rate constant for CES1 inactivation by fluorophosphonates. WWL50, a reported carbamate inhibitor of mouse CES1, blocked oseltamivir hydrolysis activity in human cell homogenates, confirming CES1 is the primary prodrug activating enzyme for oseltamivir in human liver and intestinal cell lines. The related carbamate inhibitor WWL79 inhibited mouse but not human CES1, providing a series of probes for analyzing prodrug activation mechanisms in different preclinical models. Overall, we present a substrate-competitive activity-based profiling approach for broadly surveying candidate prodrug hydrolyzing enzymes and outline the kinetic parameters for activating enzyme discovery, ester prodrug design, and

  7. Substrate Handbook for Biogas Production; Substrathandbok foer biogasproduktion

    Energy Technology Data Exchange (ETDEWEB)

    Carlsson, My; Uldal, Martina (AnoxKaldnes AB, Lund (Sweden))

    2009-02-15

    Today, co-digestion plants in Sweden treat a broad range of different substrates, of which some have not previously been used for anaerobic digestion. The major part of this organic waste derives from households, restaurants, food industries and farms. When evaluating a new substrate as feed for anaerobic digestion, several different aspects need to be taken into consideration, such as anaerobic degradability, TS/VS content, nutrient composition and risk for mechanical problems. Consequently, there is a need for practical guidelines on how to evaluate new substrates as raw materials for biogas production, including not only gas yield but also what practical and microbiological problems that may arise when the specific substrate is treated together with other substrates in the plant. The aim with this handbook is to provide a basis on how to evaluate new substrates as feed for anaerobic digestion. The intention is that this material will save time and effort for the personnel at the plant when they come in contact with new types of waste. Also, the aim is to facilitate the process of identifying new substrates within the ABP-regulation (1774/2002) and what requirements are then demanded on handling. The work with the handbook has been divided in three different parts; (1) an extensive literature study and a compilation of the achieved results, (2) interviews with personnel at most of the Swedish co-digestion plants to identify substrates and problems of interest, and (3) lab tests of selected substrates. The lab tests included Bio Methane Potential (BMP) tests as well as a simple characterization of each substrate based on fat/protein/carbohydrate content. All data origins from anaerobic digestion within the mesophilic temperature range, but the results and discussion are applicable also for thermophilic anaerobic digestion. The result of this work is a written report together with an Excel file which are to be directly used by the biogas plants as a basis in the

  8. Graphene as an atomically thin interface for growth of vertically aligned carbon nanotubes.

    Science.gov (United States)

    Rao, Rahul; Chen, Gugang; Arava, Leela Mohana Reddy; Kalaga, Kaushik; Ishigami, Masahiro; Heinz, Tony F; Ajayan, Pulickel M; Harutyunyan, Avetik R

    2013-01-01

    Growth of vertically aligned carbon nanotube (CNT) forests is highly sensitive to the nature of the substrate. This constraint narrows the range of available materials to just a few oxide-based dielectrics and presents a major obstacle for applications. Using a suspended monolayer, we show here that graphene is an excellent conductive substrate for CNT forest growth. Furthermore, graphene is shown to intermediate growth on key substrates, such as Cu, Pt, and diamond, which had not previously been compatible with nanotube forest growth. We find that growth depends on the degree of crystallinity of graphene and is best on mono- or few-layer graphene. The synergistic effects of graphene are revealed by its endurance after CNT growth and low contact resistances between the nanotubes and Cu. Our results establish graphene as a unique interface that extends the class of substrate materials for CNT growth and opens up important new prospects for applications.

  9. Growth of ZnO nanostructures on Au-coated Si: Influence of growth temperature on growth mechanism and morphology

    DEFF Research Database (Denmark)

    Kumar, Rajendra; McGlynn, E.; Biswas, M.

    2008-01-01

    ZnO nanostructures were grown on Au-catalyzed Si silicon substrates using vapor phase transport at growth temperatures from 800 to 1150 degrees C. The sample location ensured a low Zn vapor supersaturation during growth. Nanostructures grown at 800 and 850 degrees C showed a faceted rodlike...... growth tended to dominate resulting in the formation of a porous, nanostructured morphology. In all cases growth was seen only on the Au-coated region. Our results show that the majority of the nanostructures grow via a vapor-solid mechanism at low growth temperatures with no evidence of Au nanoparticles...

  10. Epitaxial growth on porous GaAs substrates

    Czech Academy of Sciences Publication Activity Database

    Grym, Jan; Nohavica, Dušan; Gladkov, Petar; Hulicius, Eduard; Pangrác, Jiří; Piksová, K.

    2013-01-01

    Roč. 16, č. 1 (2013), s. 59-64 ISSN 1631-0748 R&D Projects: GA ČR GAP102/10/1201; GA ČR GAP108/10/0253 Institutional support: RVO:67985882 ; RVO:68378271 Keywords : Electrochemical etching * Porous semiconductors * Epitaxial growth * GaAs Subject RIV: BH - Optics, Masers, Lasers; JA - Electronics ; Optoelectronics, Electrical Engineering (FZU-D) Impact factor: 1.483, year: 2013

  11. Thermal plasma fabricated lithium niobate-tantalate films on sapphire substrate

    International Nuclear Information System (INIS)

    Kulinich, S.A.; Yoshida, T.; Yamamoto, H.; Terashima, K.

    2003-01-01

    We report the deposition of LiNb 1-x Ta x O 3 (0≤x≤1) films on (001) sapphire substrates in soft vacuum using a radio frequency thermal plasma. The growth rate, crystallinity, c-axis orientation, and surface roughness were examined as functions of substrate temperature, precursor feed rate, and substrate surface condition. The film Nb/Ta ratio was well controlled by using an appropriate uniform mixture of lithium-niobium and lithium-tantalum alkoxide solutions. The epitaxy and crystallinity of the films were much improved when the film growth rate was raised from 20 to 180-380 nm/min, where the films with the (006) rocking curve full width at half maximum values as low as 0.12 deg. -0.2 deg. could be produced. The film roughness could be reduced by using a liquid precursor with higher metal concentrations, achieving the root-mean-square value on the order of 5 nm. The refractive indices of the films are in good correspondence with their composition and crystallinity

  12. Nanoscale abnormal grain growth in (001) epitaxial ceria

    International Nuclear Information System (INIS)

    Solovyov, Vyacheslav F.; Develos-Bagarinao, Katherine; Nykypanchuk, Dmytro

    2009-01-01

    X-ray reciprocal-space mapping and atomic force microscopy (AFM) are used to study kinetics and mechanisms of lateral grain growth in epitaxial (001) ceria (CeO 2 ) deposited by pulsed laser deposition on (001) yttria-stabilized zirconia (YSZ) and (12 lowbar 10) (r-cut) sapphire. Rate and character of the grain growth during postannealing at 1050 deg. C are found to be strongly dependent on the type of the epitaxial substrate. Films deposited on YSZ exhibit signatures of normal grain growth, which stagnated after the lateral grain size reaches 40 nm, consistent with the grain-boundary pinning by the thermal grooving. In contrast, when r-cut sapphire substrate was used, abnormal (secondary) grain growth is observed. A small population of grains grow to well over 100 nm consuming smaller, 100 nm large (001) terminations and rendering the sample single-crystalline quality. The grain growth is accompanied by reduction in lateral rms strain, resulting in a universal grain size--rms strain dependence. Analysis of the AFM and x-ray diffraction data leads to the conclusion that bimodal initial grain population consisting of grains with very different sizes is responsible for initiation of the abnormal growth in (001) CeO 2 films on r-cut sapphire. Due to different surface chemistry, when a YSZ substrate is used, the initial grain distribution is monomodal, therefore only normal growth is active. We demonstrate that a 2.2 deg. miscut of the sapphire substrate eliminates the large-grain population, thus suppressing abnormal grain growth. It is concluded that utilization of abnormal grain growth is a promising way for synthesis of large (001) ceria terminations.

  13. Changes in vicine, con vicine and oligosaccharides contents during germination of broad bean

    International Nuclear Information System (INIS)

    Al-Kaisey, T. M.; Al-Hadithi, R. T.; Sahead, A. B.

    1997-01-01

    Seeds of three cultivars of broad beans were subjected to germination at 25 deg. for 24, 48 and 72 hours. Also, three sets of experiments were germinated for 48 hour se and each one was subjected to different concentrations of gibberellin (100), 200 ppm) as growth regulator. Significant levels of variation were found in the contents of vicine and con vicine during seeds germination. Meanwhile, a complete disappearance of raffinose, scythe's and verbascose (the flatus factors in broad beans) were observed. No significant differences were found in the non-flatulent sugars, protein, ash and oil in the un germinated and germinated seed. (authors). 19 refs., 3 tabs

  14. Carbohydrate utilization patterns for the extremely thermophilic bacterium Caldicellulosiruptor saccharolyticus reveal broad growth substrate preferences

    NARCIS (Netherlands)

    Vanfossen, A.L.; Verhaart, M.R.A.; Kengen, S.W.M.; Kelly, R.M.

    2009-01-01

    Co-utilization of hexoses and pentoses derived from lignocellulose is an attractive trait in microorganisms considered for consolidated biomass processing to biofuels. This issue was examined for the H2-producing, extremely thermophilic bacterium Caldicellulosiruptor saccharolyticus growing on

  15. Atomistic mechanism of graphene growth on a SiC substrate: Large-scale molecular dynamics simulations based on a new charge-transfer bond-order type potential

    Science.gov (United States)

    Takamoto, So; Yamasaki, Takahiro; Nara, Jun; Ohno, Takahisa; Kaneta, Chioko; Hatano, Asuka; Izumi, Satoshi

    2018-03-01

    Thermal decomposition of silicon carbide is a promising approach for the fabrication of graphene. However, the atomistic growth mechanism of graphene remains unclear. This paper describes the development of a new charge-transfer interatomic potential. Carbon bonds with a wide variety of characteristics can be reproduced by the proposed vectorized bond-order term. A large-scale thermal decomposition simulation enables us to observe the continuous growth process of the multiring carbon structure. The annealing simulation reveals the atomistic process by which the multiring carbon structure is transformed to flat graphene involving only six-membered rings. Also, it is found that the surface atoms of the silicon carbide substrate enhance the homogeneous graphene formation.

  16. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  17. Co thin film with metastable bcc structure formed on GaAs(111 substrate

    Directory of Open Access Journals (Sweden)

    Minakawa Shigeyuki

    2014-07-01

    Full Text Available Co thin films are prepared on GaAs(111 substrates at temperatures ranging from room temperature to 600 ºC by radio-frequency magnetron sputtering. The growth behavior and the detailed resulting film structure are investigated by in-situ reflection high-energy electron diffraction and X-ray diffraction. In early stages of film growth at temperatures lower than 200 ºC, Co crystals with metastable A2 (bcc structure are formed, where the crystal structure is stabilized through hetero-epitaxial growth. With increasing the film thickness beyond 2 nm, the metastable structure starts to transform into more stable A1 (fcc structure through atomic displacements parallel to the A2{110} close-packed planes. The crystallographic orientation relationship between the A2 and the transformed A1 crystals is A1{111} || A2{110}. When the substrate temperature is higher than 400 ºC, Ga atoms of substrate diffuse into the Co films and a Co-Ga alloy with bcc-based ordered structure of B2 is formed.

  18. Improved productivity of poly (3-hydroxybutyrate) (PHB) in thermophilic Chelatococcus daeguensis TAD1 using glycerol as the growth substrate in a fed-batch culture.

    Science.gov (United States)

    Cui, Bin; Huang, Shaobin; Xu, Fuqian; Zhang, Ruijian; Zhang, Yongqing

    2015-07-01

    A particularly successful polyhydroxyalkanoate (PHA) in industrial applications is poly (3-hydroxybutyrate) (PHB). However, one of the major obstacles for wider application of PHB is the cost of its production and purification. Therefore, it is desirable to discover a method for producing PHB in large quantities at a competitive price. Glycerol is a cheap and widely used carbon source that can be applied in PHB production process. There are numerous advantages to operating fermentation at elevated temperatures; only several thermophilic bacteria are able to accumulate PHB when glycerol is the growth substrate. Here, we report on the possibility of increasing PHB production at low cost using thermophilic Chelatococcus daeguensis TAD1 when glycerol is the growth substrate in a fed-batch culture. We found that (1) excess glycerol inhibited PHB accumulation and (2) organic nitrogen sources, such as tryptone and yeast extract, promoted the growth of C. daeguensis TAD1. In the batch fermentation experiments, we found that using glycerol at low concentrations as the sole carbon source, along with the addition of mixed nitrate (NH4Cl, tryptone, and yeast extract), stimulated PHB accumulation in C. daeguensis TAD1. The results showed that the PHB productivity decreased in the following order: two-stage fed-batch fermentation > fed-batch fermentation > batch fermentation. In optimized culture conditions, a PHB amount of 17.4 g l(-1) was obtained using a two-stage feeding regimen, leading to a productivity rate of 0.434 g l(-1) h(-1), which is the highest productivity rate reported for PHB to date. This high PHB biosynthetic productivity could decrease the total production cost, allowing for further development of industrial applications of PHB.

  19. Design of 2.5 GHz broad bandwidth microwave bandpass filter at operating frequency of 10 GHz using HFSS

    Science.gov (United States)

    Jasim, S. E.; Jusoh, M. A.; Mahmud, S. N. S.; Zamani, A. H.

    2018-04-01

    Development of low losses, small size and broad bandwidth microwave bandpass filter operating at higher frequencies is an active area of research. This paper presents a new route used to design and simulate microwave bandpass filter using finite element modelling and realized broad bandwidth, low losses, small dimension microwave bandpass filter operating at 10 GHz frequency using return loss method. The filter circuit has been carried out using Computer Aid Design (CAD), Ansoft HFSS software and designed with four parallel couple line model and small dimension (10 × 10 mm2) using LaAlO3 substrate. The response of the microwave filter circuit showed high return loss -50 dB at operating frequency at 10.4 GHz and broad bandwidth of 2.5 GHz from 9.5 to 12 GHz. The results indicate the filter design and simulation using HFSS is reliable and have the opportunity to transfer from lab potential experiments to the industry.

  20. Growth of Ga2O3 single crystal

    OpenAIRE

    龍見, 雅美; 小池, 裕之; 市木, 伸明; Tatsumi, Masami; Koike, Hiroyuki; Ichiki, Nobuaki

    2010-01-01

    Single crystals of β-Ga2O3 for substrates of GaN LED were grown by Floating Zone(FZ) method. The transparent single crystals of 5-6 mm in diameter were reproducibly obtained by applying necking procedure and the preferential growth direction was . Many cracks were induced along the cleavage plane of (100) in slicing process, which is related to thermal stress and the growth direction. However, this preliminary growth experiments suggested that β-Ga2O3 single crystal is promising as a substrat...