WorldWideScience

Sample records for berkeley euv airglow

  1. Berkeley extreme-ultraviolet airglow rocket spectrometer - BEARS

    Science.gov (United States)

    Cotton, D. M.; Chakrabarti, S.

    1992-01-01

    The Berkeley EUV airglow rocket spectrometer (BEARS) instrument is described. The instrument was designed in particular to measure the dominant lines of atomic oxygen in the FUV and EUV dayglow at 1356, 1304, 1027, and 989 A, which is the ultimate source of airglow emissions. The optical and mechanical design of the instrument, the detector, electronics, calibration, flight operations, and results are examined.

  2. Evaluating EUV mask pattern imaging with two EUV microscopes

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Takase, Kei; Naulleau, Patrick P.; Han, Hakseung; Barty, Anton; Kinoshita, Hiroo; Hamamoto, Kazuhiro

    2008-01-01

    Aerial image measurement plays a key role in the development of patterned reticles for each generation of lithography. Studying the field transmitted (reflected) from EUV masks provides detailed information about potential disruptions caused by mask defects, and the performance of defect repair strategies, without the complications of photoresist imaging. Furthermore, by measuring the continuously varying intensity distribution instead of a thresholded, binary resist image, aerial image measurement can be used as feedback to improve mask and lithography system modeling methods. Interest in EUV, at-wavelength, aerial image measurement lead to the creation of several research tools worldwide. These tools are used in advanced mask development work, and in the evaluation of the need for commercial at-wavelength inspection tools. They describe performance measurements of two such tools, inspecting the same EUV mask in a series of benchmarking tests that includes brightfield and darkfield patterns. One tool is the SEMATECH Berkeley Actinic Inspection Tool (AIT) operating on a bending magnet beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. The AIT features an EUV Fresnel zoneplate microscope that emulates the numerical aperture of a 0.25-NA stepper, and projects the aerial image directly onto a CCD camera, with 700x magnification. The second tool is an EUV microscope (EUVM) operating at the NewSUBARU synchrotron in Hyogo, Japan. The NewSUBARU tool projects the aerial image using a reflective, 30x Schwarzschild objective lens, followed by a 10-200x x-ray zooming tube. The illumination conditions and the imaging etendue are different for the two tools. The benchmarking measurements were used to determine many imaging and performance properties of the tools, including resolution, modulation transfer function (MTF), aberration magnitude, aberration field-dependence (including focal-plane tilt), illumination uniformity, line-edge roughness, and flare

  3. Advanced 0.3-NA EUV lithography capabilities at the ALS

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Anderson, Erik; Dean, Kim; Denham, Paul; Goldberg, Kenneth A.; Hoef, Brian; Jackson, Keith

    2005-01-01

    For volume nanoelectronics production using Extreme ultraviolet (EUV) lithography [1] to become a reality around the year 2011, advanced EUV research tools are required today. Microfield exposure tools have played a vital role in the early development of EUV lithography [2-4] concentrating on numerical apertures (NA) of 0.2 and smaller. Expected to enter production at the 32-nm node with NAs of 0.25, EUV can no longer rely on these early research tools to provide relevant learning. To overcome this problem, a new generation of microfield exposure tools, operating at an NA of 0.3 have been developed [5-8]. Like their predecessors, these tools trade off field size and speed for greatly reduced complexity. One of these tools is implemented at Lawrence Berkeley National Laboratory's Advanced Light Source synchrotron radiation facility. This tool gets around the problem of the intrinsically high coherence of the synchrotron source [9,10] by using an active illuminator scheme [11]. Here we describe recent printing results obtained from the Berkeley EUV exposure tool. Limited by the availability of ultra-high resolution chemically amplified resists, present resolution limits are approximately 32 nm for equal lines and spaces and 27 nm for semi-isolated lines

  4. Analysis and characterization of contamination in EUV reticles

    Science.gov (United States)

    Okoroanyanwu, Uzodinma; Dittmar, Kornelia; Fahr, Torsten; Wallow, Tom; La Fontaine, Bruno; Wood, Obert; Holfeld, Christian; Bubke, Karsten; Peters, Jan-Hendrik

    2010-04-01

    A host of complementary imaging techniques (Scanning Electron Microscopy), surface analytical technique (Auger Electron Spectroscopy, AES), chemical analytical and speciation techniques (Grazing Incidence Reflectance Fourier-Transform Infrared Spectroscopy, GIR-FTIR; and Raman spectroscopy) have been assessed for their sensitivity and effectiveness in analyzing contamination on three EUV reticles that were contaminated to varying degrees. The first reticle was contaminated as a result of its exposure experience on the SEMATECH EUV Micro Exposure Tool (MET) at Lawrence Berkeley National Laboratories, where it was exposed to up to 80 hours of EUV radiation. The second reticle was a full-field reticle, specifically designed to monitor molecular contamination, and exposed to greater than 1600J/cm2 of EUV radiation on the ASML Alpha Demo Tool (ADT) in Albany Nanotech in New York. The third reticle was intentionally contaminated with hydrocarbons in the Microscope for Mask Imaging and Contamination Studies (MIMICS) tool at the College of Nanoscale Sciences of State University of New York at Albany. The EUV reflectivities of some of these reticles were measured on the Advanced Light Source EUV Reflectomer at Lawrence Berkeley National Laboratories and PTB Bessy in Berlin, respectively. Analysis and characterization of thin film contaminants on the two EUV reticles exposed to varying degrees of EUV radiation in both MET and ADT confirm that the two most common contamination types are carbonization and surface oxidation, mostly on the exposed areas of the reticle, and with the MET being significantly more susceptible to carbon contamination than the ADT. While AES in both surface scanning and sputter mode is sensitive and efficient in analyzing thin contaminant films (of a few nanometers), GIRFTIR is sensitive to thick films (of order of a 100 nm or more on non-infra-red reflecting substrates), Raman spectroscopy is not compatible with analyzing such contaminants because of

  5. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-01-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub(angstrom)-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed

  6. At-wavelength interferometry of high-NA diffraction-limited EUV optics

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick; Rekawa, Senajith; Denham, Paul; Liddle, J. Alexander; Anderson, Erik; Jackson, Keith; Bokor, Jeffrey; Attwood, David

    2003-08-01

    Recent advances in all-reflective diffraction-limited optical systems designed for extreme ultraviolet (EUV) lithography have pushed numerical aperture (NA) values from 0.1 to 0.3, providing Rayleigh resolutions of 27-nm. Worldwide, several high-NA EUV optics are being deployed to serve in the development of advanced lithographic techniques required for EUV lithography, including the creation and testing of new, high-resolution photoresists. One such system is installed on an undulator beamline at Lawrence Berkeley National Laboratory's Advanced Light Source. Sub{angstrom}-accuracy optical testing and alignment techniques, developed for use with the previous generations of EUV lithographic optical systems, are being extended for use at high NA. Considerations for interferometer design and use are discussed.

  7. Benchmarking EUV mask inspection beyond 0.25 NA

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Mochi, Iacopo; Anderson, Erik H.; Rekawa, Seno B.; Kemp, Charles D.; Huh, S.; Han, H.-S.; Naulleau, P.; Gunion, R.F.

    2008-01-01

    The SEMATECH Berkeley Actinic Inspection Tool (AIT) is an EUV-wavelength mask inspection microscope designed for direct aerial image measurements, and pre-commercial EUV mask research. Operating on a synchrotron bending magnet beamline, the AIT uses an off-axis Fresnel zoneplate lens to project a high-magnification EUV image directly onto a CCD camera. We present the results of recent system upgrades that have improved the imaging resolution, illumination uniformity, and partial coherence. Benchmarking tests show image contrast above 75% for 100-nm mask features, and significant improvements and across the full range of measured sizes. The zoneplate lens has been replaced by an array of user-selectable zoneplates with higher magnification and NA values up to 0.0875, emulating the spatial resolution of a 0.35-NA 4 x EUV stepper. Illumination uniformity is above 90% for mask areas 2-(micro)m-wide and smaller. An angle-scanning mirror reduces the high coherence of the synchrotron beamline light source giving measured σ values of approximately 0.125 at 0.0875 NA

  8. Observations of Local ISM Emission with the Berkeley EUV/FUV Shuttle Telescope

    Science.gov (United States)

    Martin, C.; Bowyer, S.

    1984-01-01

    The Berkeley extreme ultraviolet/far ultraviolet shuttle telescope (BEST) will be launched on the Space Shuttle as part of the NASA UVX project. The Berkeley spectrometer will make observations of the cosmic diffuse background in the 600 to 1900 A band, with a spectral resolution of 10 A. The sensitivity and spectral resolution of the instrument make it ideal for the study of components of the interstellar medium in the 10 to the 4th power to 10 to the 6th power K range.

  9. Absorption and Emission of EUV Radiation by the Local ISM

    Science.gov (United States)

    Paresce, F.

    1984-01-01

    The Berkeley extreme ultraviolet radiation (EUV) telescope flown on the Apollo Soyuz mission in July, 1975 established the existence of a measurable flux of EUV (100 lambda or = or = 1000 A) originating from sources outside the solar system. White dwarfs, flare stars and cataclysmic variables were dicovered to be relatively intense compact sources of EUV photons. Moreover, this and other subsequent experiments have strongly suggested the presence of a truly diffuse component of the FUV radiation field possibly due to thermal emission from hot interstellar gas located in the general vicinity of the Sun. Closer to the H1, 912 A edge, the effect of a few hot O and B stars has been shown to be very important in establishing the interstellar flux density. All these results imply that the local interstellar medium (ISM) is immersed in a non-negligible EUV radiation field which, because of the strong coupling between EUV photons and matter, will play a crucial role in determining its physical structure. The available information on the local ISM derived from the limited EUV observations carried out so far is assembled and analyzed. These include measurements of the spectra of bright EUV sources that reveal clear evidence of H photo absorption at lambda 400 A and of the He ionization edge at 228 A.

  10. Extreme ultraviolet (EUV) solar spectral irradiance (SSI) for ionospheric application - history and contemporary state-of-art

    Science.gov (United States)

    Schmidtke, G.; Jacobi, Ch.; Nikutowski, B.; Erhardt, Ch.

    2014-11-01

    After a historical survey of space related EUV measurements in Germany and the role of Karl Rawer in pursuing this work, we describe present developments in EUV spectroscopy and provide a brief outlook on future activities. The group of Karl Rawer has performed the first scientific space project in Western Europe on 19th October 1954. Then it was decided to include the field of solar EUV spectroscopy in ionospheric investigations. Starting in 1957 an intensified development of instrumentation was going on to explore solar EUV radiation, atmospheric airglow and auroral emissions until the institute had to stop space activities in the early nineteen-eighties. EUV spectroscopy was continued outside of the institute during eight years. This area of work was supported again by the institute developing the Auto-Calibrating Spectrometers (SolACES) for a mission on the International Space Station (ISS). After more than six years in space the instrument is still in operation. Meanwhile the work on the primary task also to validate EUV data available from other space missions has made good progress. The first results of validating those data and combine them into one set of EUV solar spectral irradiance are very promising. It will be recommended for using it by the science and application community. Moreover, a new low-cost type of an EUV spectrometer is presented for monitoring the solar EUV radiation. It shall be further developed for providing EUV-TEC data to be applied in ionospheric models replacing the Covington index F10.7. Applying these data for example in the GNSS signal evaluation a more accurate determination of GNSS receiver positions is expected for correcting the propagation delays of navigation signals traveling through the ionosphere from space to earth. - Latest results in the field of solar EUV spectroscopy are discussed, too.

  11. Actinic inspection of multilayer defects on EUV masks

    International Nuclear Information System (INIS)

    Barty, A; Liu, Y; Gullikson, E; Taylor, J S; Wood, O

    2005-01-01

    The production of defect-free mask blanks, and the development of techniques for inspecting and qualifying EUV mask blanks, remains a key challenge for EUV lithography. In order to ensure a reliable supply of defect-free mask blanks, it is necessary to develop techniques to reliably and accurately detect defects on un-patterned mask blanks. These inspection tools must be able to accurately detect all critical defects whilst simultaneously having the minimum possible false-positive detection rate. There continues to be improvement in high-speed non-actinic mask blank inspection tools, and it is anticipated that these tools can and will be used by industry to qualify EUV mask blanks. However, the outstanding question remains one of validating that non-actinic inspection techniques are capable of detecting all printable EUV defects. To qualify the performance of non-actinic inspection tools, a unique dual-mode EUV mask inspection system has been installed at the Advanced Light Source (ALS) synchrotron at Lawrence Berkeley National Laboratory. In high-speed inspection mode, whole mask blanks are scanned for defects using 13.5-nm wavelength light to identify and map all locations on the mask that scatter a significant amount of EUV light. In imaging, or defect review mode, a zone plate is placed in the reflected beam path to image a region of interest onto a CCD detector with an effective resolution on the mask of 100-nm or better. Combining the capabilities of the two inspection tools into one system provides the unique capability to determine the coordinates of native defects that can be used to compare actinic defect inspection with visible light defect inspection tools under commercial development, and to provide data for comparing scattering models for EUV mask defects

  12. The EUVE Mission at UCB: Squeezing More From Less

    Science.gov (United States)

    Stroozas, B. A.; Cullison, J. L.; McDonald, K. E.; Nevitt, R.; Malina, R. F.

    2000-05-01

    With 8 years on orbit, and over three years in an outsourced mode at U.C. Berkeley (UCB), NASA's Extreme Ultraviolet Explorer (EUVE) continues to be a highly mature and productive scientific mission. The EUVE satellite is extremely stable and exhibits little degradation in its original scientific capabilities, and science data return continues to be at the >99% level. The Project's very small, dedicated, innovative, and relatively cheap ( \\$1 million/year) support team at UCB continues to validate the success of NASA's outsourcing "experiment" while providing a very high science-per-dollar return on NASA's investment with no significant additional risk to the flight systems. The EUVE mission still has much more to offer in terms of important and exciting scientific discoveries as well as mission operations innovations. To highlight this belief the EUVE team at UCB continues to find creative ways to do more with less -- to squeeze the maximum out of available funds -- in NASA's "cheaper, better, faster" environment. This paper provides an overview of the EUVE mission's past, current, and potential future efforts toward automating and integrating its multi-functional data processing systems in proposal management, observation planning, mission operations and engineering, and the processing, archival, and delivery of raw telemetry and science data products. The paper will also discuss the creative allocation of the Project's few remaining personnel resources who support both core mission functions and new innovations, while at the same time minimizing overall risk and stretching the available budget. This work is funded through NASA/UCB Cooperative Agreement NCC5-138.

  13. EUV microexposures at the ALS using the 0.3-NA MET projection optics

    International Nuclear Information System (INIS)

    Naulleau, Patrick; Goldberg, Kenneth A.; Anderson, Erik; Cain, Jason P.; Denham, Paul; Hoef, Brian; Jackson, Keith; Morlens, Anne-Sophie; Rekawa, Seno; Dean, Kim

    2005-01-01

    The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory's Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development. The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to σ=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings. Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm

  14. Use of molecular oxygen to reduce EUV-induced carbon contamination of optics

    Science.gov (United States)

    Malinowski, Michael E.; Grunow, Philip A.; Steinhaus, Chip; Clift, W. Miles; Klebanoff, Leonard E.

    2001-08-01

    Carbon deposition and removal experiments on Mo/Si multilayer mirror (MLM) samples were performed using extreme ultraviolet (EUV) light on Beamline 12.0.1.2 of the Advanced Light Source, Lawrence Berkeley National Laboratory (LBNL). Carbon (C) was deposited onto Mo/Si multilayer mirror (MLM) samples when hydrocarbon vapors where intentionally introduced into the MLM test chamber in the presence of EUV at 13.44 nm (92.3eV). The carbon deposits so formed were removed by molecular oxygen + EUV. The MLM reflectivities and photoemission were measured in-situ during these carbon deposition and cleaning procedures. Auger Electron Spectroscopy (AES) sputter-through profiling of the samples was performed after experimental runs to help determine C layer thickness and the near-surface compositional-depth profiles of all samples studied. EUV powers were varied from ~0.2mW/mm2 to 3mW/mm2(at 13.44 nm) during both deposition and cleaning experiments and the oxygen pressure ranged from ~5x10-5 to 5x10-4 Torr during the cleaning experiments. C deposition rates as high as ~8nm/hr were observed, while cleaning rates as high as ~5nm/hr could be achieved when the highest oxygen pressure were used. A limited set of experiments involving intentional oxygen-only exposure of the MLM samples showed that slow oxidation of the MLM surface could occur.

  15. Spectroscopic studies of xenon EUV emission in the 40-80 nm wavelength range using an absolutely calibrated monochromator

    Energy Technology Data Exchange (ETDEWEB)

    Merabet, H [Mathematic and Sciences Unit, Dhofar University, Salalah 211, Sultanate of (Oman); Bista, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Bruch, R [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States); Fuelling, S [Department of Physics, University of Nevada Reno, Reno, NV 89557 (United States)

    2007-03-01

    We have measured and identified numerous Extreme UltraViolet (EUV) radiative line structures arising from xenon (Xe) ions in charge state q = 1 to 10 in the wavelength range 40-80 nm. To obtain reasonable intensities of different charged Xe ions, we have used a compact microwave plasma source which was designed and developed at the Lawrence Berkeley National Laboratory (LBNL). The EUV emission of the ECR plasma has been measured by a 1.5 m grazing incidence monochromator that was absolutely calibrated in the 10-80 nm wavelength range using well known and calibrated EUV light at the Advanced Light Source (ALS), LBNL. This calibration has enabled us to determine absolute intensities of previously measured EUV radiative lines in the wavelengths regions investigated for different ionization stages of Xe. In addition, emission spectra of xenon ions for corresponding measured lines have been calculated. The calculations have been carried out within the relativistic Hartree-Fock (HF) approximation. Results of calculations are found to be in good agreement with current and available experimental and theoretical data.

  16. Equatorial enhancement of the nighttime OH mesospheric infrared airglow

    International Nuclear Information System (INIS)

    Baker, D J; Thurgood, B K; Harrison, W K; Mlynczak, M G; Russell, J M

    2007-01-01

    Global measurements of the hydroxyl mesospheric airglow over an extended period of time have been made possible by the NASA SABER infrared sensor aboard the TIMED satellite which has been functioning since December of 2001. The orbital mission has continued over a significant portion of a solar cycle. Experimental data from SABER for several years have exhibited equatorial enhancements of the nighttime mesospheric OH (Δv=2) airglow layer consistent with the high average diurnal solar flux. The brightening of the OH airglow typically means more H+O 3 is being reacted. At both the spring and autumn seasonal equinoxes when the equatorial solar UV irradiance mean is greatest, the peak volume emission rate (VER) of the nighttime Meinel infrared airglow typically appears to be both significantly brighter plus lower in altitude by several kilometres at low latitudes compared with midlatitude findings

  17. Radiometry for the EUV lithography; Radiometrie fuer die EUV-Lithographie

    Energy Technology Data Exchange (ETDEWEB)

    Scholze, Frank [Physikalisch-Technische Bundesanstalt (PTB), Berlin (Germany). Arbeitsgruppe ' EUV-Radiometrie' ; Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Puls, Jana; Stadelhoff, Christian

    2014-12-15

    The EUV reflectrometry at the PTB storage BESSY I and BESSY II is described. Results on the reflectivities of some EUV mirrors are presented. Finally the spectral sensitivities of different photodiodes used as EUV detectors are presented. (HSI)

  18. NIRAC: Near Infrared Airglow Camera for the International Space Station

    Science.gov (United States)

    Gelinas, L. J.; Rudy, R. J.; Hecht, J. H.

    2017-12-01

    NIRAC is a space based infrared airglow imager that will be deployed to the International Space Station in late 2018, under the auspices of the Space Test Program. NIRAC will survey OH airglow emissions in the 1.6 micron wavelength regime, exploring the spatial and temporal variability of emission intensities at latitudes from 51° south to 51° north. Atmospheric perturbations in the 80-100 km altitude range, including those produced by atmospheric gravity waves (AGWs), are observable in the OH airglow. The objective of the NIRAC experiment is to make near global measurement of the OH airglow and airglow perturbations. These emissions also provide a bright source of illumination at night, allowing for nighttime detection of clouds and surface characteristics. The instrument, developed by the Aerospace Space Science Applications Laboratory, employs a space-compatible FPGA for camera control and data collection and a novel, custom optical system to eliminate image smear due to orbital motion. NIRAC utilizes a high-performance, large format infrared focal plane array, transitioning technology used in the existing Aerospace Corporation ground-based airglow imager to a space based platform. The high-sensitivity, four megapixel imager has a native spatial resolution of 100 meters at ISS altitudes. The 23° x 23° FOV sweeps out a 150 km swath of the OH airglow layer as viewed from the ISS, and is sensitive to OH intensity perturbations down to 0.1%. The detector has a 1.7 micron cutoff that precludes the need for cold optics and reduces cooling requirements (to 180 K). Detector cooling is provided by a compact, lightweight cryocooler capable of reaching 120K, providing a great deal of margin.

  19. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    Science.gov (United States)

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  20. Night airglow in RGB mode

    Directory of Open Access Journals (Sweden)

    Mikhalev А.V.

    2016-09-01

    Full Text Available To study dynamics of the upper atmosphere, we consider results of the night sky photometry, using a color CCD camera and taking into account the night airglow and features of its spectral composition. We use night airglow observations for 2010–2015, which have been obtained at the ISTP SB RAS Geophysical Observatory (52° N, 103° E by the camera with KODAK KAI-11002 CCD sensor. We estimate average brightness of the night sky in R, G, B channels of the color camera for eastern Siberia with typical values ranging from ~0.008 to 0.01 erg·cm–2·s–1. Besides, we determine seasonal variations in the night sky luminosities in R, G, B channels of the color camera. In these channels, luminosities decrease in spring, increase in autumn, and have a pronounced summer maximum, which can be explained by scattered light and is associated with the location of the Geophysical Observatory. We consider geophysical phenomena with their optical effects in R, G, B channels of the color camera. For some geophysical phenomena (geomagnetic storms, sudden stratospheric warmings, we demonstrate the possibility of quantitative relationship between enhanced signals in R and G channels and increases in intensities of discrete 557.7 and 630 nm emissions, which are predominant in the airglow spectrum

  1. Berkeley's Philosophy of Mathematics

    CERN Document Server

    Jesseph, Douglas M

    1993-01-01

    In this first modern, critical assessment of the place of mathematics in Berkeley's philosophy and Berkeley's place in the history of mathematics, Douglas M. Jesseph provides a bold reinterpretation of Berkeley's work. Jesseph challenges the prevailing view that Berkeley's mathematical writings are peripheral to his philosophy and argues that mathematics is in fact central to his thought, developing out of his critique of abstraction. Jesseph's argument situates Berkeley's ideas within the larger historical and intellectual context of the Scientific Revolution. Jesseph begins with Berkeley's r

  2. HF-induced airglow at magnetic zenith: theoretical considerations

    Directory of Open Access Journals (Sweden)

    E. V. Mishin

    2005-01-01

    Full Text Available Observations of airglow at 630nm (red line and 557.7nm (green line during HF modification experiments at the High Frequency Active Auroral Research Program (HAARP heating facility are analyzed. We propose a theoretical framework for understanding the generation of Langmuir and ion acoustic waves during magnetic zenith injections. We show that observations of HF-induced airglow in an underdense ionosphere as well as a decrease in the height of the emitting volume are consistent with this scenario.

  3. 77 FR 37604 - Safety Zone; Fourth of July Fireworks, Berkeley Marina, Berkeley, CA

    Science.gov (United States)

    2012-06-22

    ...: The Coast Guard will enforce a 1,000 foot safety zone around the Berkeley Pier in position 37[deg]51... Zone; Fourth of July Fireworks, Berkeley Marina, Berkeley, CA AGENCY: Coast Guard, DHS. ACTION: Notice of enforcement of regulation. SUMMARY: The Coast Guard will enforce the safety zone for the Berkeley...

  4. 78 FR 29022 - Safety Zone; Fourth of July Fireworks, Berkeley Marina, Berkeley, CA

    Science.gov (United States)

    2013-05-17

    ... Guard will enforce a 1,000 foot safety zone around the Berkeley Pier in approximate position 37[deg]51... Zone; Fourth of July Fireworks, Berkeley Marina, Berkeley, CA AGENCY: Coast Guard, DHS. ACTION: Notice of enforcement of regulation. SUMMARY: The Coast Guard will enforce the safety zone for the Berkeley...

  5. Near-infrared oxygen airglow from the Venus nightside

    Science.gov (United States)

    Crisp, D.; Meadows, V. S.; Allen, D. A.; Bezard, B.; Debergh, C.; Maillard, J.-P.

    1992-01-01

    Groundbased imaging and spectroscopic observations of Venus reveal intense near-infrared oxygen airglow emission from the upper atmosphere and provide new constraints on the oxygen photochemistry and dynamics near the mesopause (approximately 100 km). Atomic oxygen is produced by the Photolysis of CO2 on the dayside of Venus. These atoms are transported by the general circulation, and eventually recombine to form molecular oxygen. Because this recombination reaction is exothermic, many of these molecules are created in an excited state known as O2(delta-1). The airglow is produced as these molecules emit a photon and return to their ground state. New imaging and spectroscopic observations acquired during the summer and fall of 1991 show unexpected spatial and temporal variations in the O2(delta-1) airglow. The implications of these observations for the composition and general circulation of the upper venusian atmosphere are not yet understood but they provide important new constraints on comprehensive dynamical and chemical models of the upper mesosphere and lower thermosphere of Venus.

  6. EUVS Sounding Rocket Payload

    Science.gov (United States)

    Stern, Alan S.

    1996-01-01

    During the first half of this year (CY 1996), the EUVS project began preparations of the EUVS payload for the upcoming NASA sounding rocket flight 36.148CL, slated for launch on July 26, 1996 to observe and record a high-resolution (approx. 2 A FWHM) EUV spectrum of the planet Venus. These preparations were designed to improve the spectral resolution and sensitivity performance of the EUVS payload as well as prepare the payload for this upcoming mission. The following is a list of the EUVS project activities that have taken place since the beginning of this CY: (1) Applied a fresh, new SiC optical coating to our existing 2400 groove/mm grating to boost its reflectivity; (2) modified the Ranicon science detector to boost its detective quantum efficiency with the addition of a repeller grid; (3) constructed a new entrance slit plane to achieve 2 A FWHM spectral resolution; (4) prepared and held the Payload Initiation Conference (PIC) with the assigned NASA support team from Wallops Island for the upcoming 36.148CL flight (PIC held on March 8, 1996; see Attachment A); (5) began wavelength calibration activities of EUVS in the laboratory; (6) made arrangements for travel to WSMR to begin integration activities in preparation for the July 1996 launch; (7) paper detailing our previous EUVS Venus mission (NASA flight 36.117CL) published in Icarus (see Attachment B); and (8) continued data analysis of the previous EUVS mission 36.137CL (Spica occultation flight).

  7. 76 FR 37650 - Safety Zone; 4th of July Festival Berkeley Marina Fireworks Display Berkeley, CA

    Science.gov (United States)

    2011-06-28

    ...-AA00 Safety Zone; 4th of July Festival Berkeley Marina Fireworks Display Berkeley, CA AGENCY: Coast... the 4th of July Festival Berkeley Marina Fireworks Display. Unauthorized persons or vessels are... display. Background and Purpose The City of Berkeley Marina will sponsor the 4th of July Festival Berkeley...

  8. FIMS Wavelength Calibration via Airglow Line Observations

    Directory of Open Access Journals (Sweden)

    Dae-Hee Lee

    2004-12-01

    Full Text Available Far-ultraviolet Imaging Spectrograph (FIMS is the main payload of the Korea's first scientific micro satellite STSAT-1, which was launched at Sep. 27 2003 successfully. Major objective of FIMS is observing hot gas in the Galaxy in FUV bands to diagnose the energy flow models of the interstellar medium. Supernova remnants, molecular clouds, and Aurora emission in the geomagnetic pole regions are specific targets for pointing observation. Although the whole system was calibrated before launch, it is essential to perform on-orbit calibration for data analysis. For spectral calibration, we observed airglow lines in the atmosphere since they provide good spectral references. We identify and compare the observed airglow lines with model calculations, and correct the spectral distortion appeared in the detector system to improve the spectral resolution of the system.

  9. Application of tomographic inversion in studying airglow in the mesopause region

    Directory of Open Access Journals (Sweden)

    T. Nygrén

    Full Text Available It is pointed out that observations of periodic nightglow structures give excellent information on atmospheric gravity waves in the mesosphere and lower thermosphere. The periods, the horizontal wavelengths and the phase speeds of the waves can be determined from airglow images and, using several cameras, the approximate altitude of the luminous layer can also be determined by triangulation. In this paper the possibility of applying tomographic methods for reconstructing the airglow structures is investigated using numerical simulations. A ground-based chain of cameras is assumed, two-dimensional airglow models in the vertical plane above the chain are constructed, and simulated data are calculated by integrating the models along a great number of rays with different elevation angles for each camera. After addition of random noise, these data are then inverted to obtain reconstructions of the models. A tomographic analysis package originally designed for satellite radiotomography is used in the inversion. The package is based on a formulation of stochastic inversion which allows the input of a priori information to the solver in terms of regularization variances. The reconstruction is carried out in two stages. In the first inversion, constant regularization variances are used within a wide altitude range. The results are used in determining the approximate altitude range of the airglow structures. Then, in the second inversion, constant non-zero regularization variances are used inside this region and zero variances outside it. With this method reliable reconstructions of the models are obtained. The number of cameras as well as their separations are varied in order to find out the limitations of the method.

    Key words. Tomography · Airglow · Mesopause · Gravity waves

  10. Detecting EUV transients in near real time with ALEXIS

    Energy Technology Data Exchange (ETDEWEB)

    Roussel-Dupre`, D.; Bloch, J.J.; Theiler, J.; Pfafman, T.; Beauchesne, B.

    1995-12-31

    The Array of Low Energy X-ray Imaging Sensors (ALEXIS) experiment consists of a mini-satellite containing six wide angle EUV/ultrasoft X-ray telescopes (Priedhorsky et al. 1989, and Bloch et al. 1994). Its scientific objective is to map out the sky in three narrow ({Delta}E/E {approx} 5%) bandpasses around 66, 71, and 93 eV. During each 50 second satellite rotation period the six telescopes, each with a 30{degrees} field, of:view and a spatial resolution of 0.25{degrees}, scan most of the antisolar hemisphere of the sky. The project is a collaborative effort between Los Alamos National Laboratory, Sandia National Laboratory, and the University of California-Berkeley Space Sciences Laboratory. It is controlled entirely from a small ground station located at Los Alamos. The mission was launched on a Pegasus Air Launched Vehicle on April 25, 1993. An incident at launch delayed our ability to properly analyze the data until November of 1994. In January of 1995, we brought on line automated software to routinely carry out the transient search. After the data is downlinked from the satellite, the software processes and transforms it into sky maps that are automatically searched for new sources. The software then sends the results of these searches by e-mail to the science team within two hours of the downlink. This system has successfully detected the Cataclysmic Variables VW Hyi, U Gem and AR UMa in outburst, and has detected at least two unidentified short duration EUV transients (Roussel-Dupre et al 1995, Roussel-Dupre 1995).

  11. Towards a contamination-tolerant EUV power sensor

    NARCIS (Netherlands)

    Veldhoven, J. van; Putten, M. van; Nieuwkoop, E.; Huijser, T.; Maas, D.J.

    2015-01-01

    In EUV Lithography short-, mid- and long-term control over in-band EUV power is needed for high-yield IC production. Existing sensors can be unstable over time due to contamination and/or degradation. TNO goal: to conceive a stable EUV power sensor. Sensitive to in-band EUV, negligible degradation,

  12. Using synchrotron light to accelerate EUV resist and mask materials learning

    Science.gov (United States)

    Naulleau, Patrick; Anderson, Christopher N.; Baclea-an, Lorie-Mae; Denham, Paul; George, Simi; Goldberg, Kenneth A.; Jones, Gideon; McClinton, Brittany; Miyakawa, Ryan; Mochi, Iacopo; Montgomery, Warren; Rekawa, Seno; Wallow, Tom

    2011-03-01

    As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratory's Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.

  13. Midnight latitude-altitude distribution of 630 nm airglow in the Asian sector measured with FORMOSAT-2/ISUAL

    Science.gov (United States)

    Adachi, Toru; Yamaoka, Masashi; Yamamoto, Mamoru; Otsuka, Yuichi; Liu, Huixin; Hsiao, Chun-Chieh; Chen, Alfred B.; Hsu, Rue-Ron

    2010-09-01

    The Imager for Sprites and Upper Atmospheric Lightning (ISUAL) payload on board the FORMOSAT-2 satellite carried out the first limb imaging observation of 630 nm airglow for the purpose of studying physical processes in the F region ionosphere. For a total of 14 nights in 2006-2008, ISUAL scanned the midnight latitude-altitude distribution of 630 nm airglow in the Asian sector. On two nights of relatively active conditions (ΣKp = 26, 30+) we found several bright airglow regions, which were highly variable each night in terms of luminosity and location. In relatively quiet conditions (ΣKp = 4-20) near May/June we found two bright regions which were stably located in the midlatitude region of 40°S-10°S (50°S-20°S magnetic latitude (MLAT)) and in the equatorial region of 0°-10°N (10°S-0° MLAT). On one of the quiet nights, FORMOSAT-3/COSMIC and CHAMP simultaneously measured the plasma density in the same region where ISUAL observed airglow. The plasma density data generally show good agreement, suggesting that plasma enhancements were the primary source of these two bright airglow regions. From detailed comparison with past studies we explain that the airglow in the equatorial region was due to the midnight brightness wave produced in association with the midnight temperature maximum, while that in the midlatitude region was due to the typical plasma distribution usually formed in the midnight sector. The fact that the equatorial airglow was much brighter than the midlatitude airglow and was observed on most nights during the campaign period strongly suggests the importance of further studies on the MTM/MBW phenomenology, which is not well reproduced in the current general circulation model.

  14. OH Airglow and Equatorial Variations Observed by ISUAL Instrument on Board the FORMOSAT 2 Satellite

    Directory of Open Access Journals (Sweden)

    Jan-Bai Nee

    2010-01-01

    Full Text Available OH airglow observed by the ISUAL (Imager of Sprites and Upper Atmospheric Lightning instrument on board the FORMOSAT 2 satellite is reported in this paper. The satellite is sun-synchronous and it returns to the same orbit at the same local time daily. By using this property, we can study the upper atmosphere in detail. With a CCD camera, ISUAL has measured the emission layers of OH Meinel band at 630 nm for several two-week periods in 2004 and 2007 in equatorial regions. ISUAL images are snapshots of the atmosphere 250 km (height ¡_ 1200 km (horizontal distance. These images of OH airglow are analyzed to derive its peak height and latitudinal variations. ISUAL observation is unique in its capability of continuous observation of the upper atmosphere as the satellite travels from south to north along a specific orbit. However, 630 nm filter also measured O(1D at 200 km, and there are interferences between O(1D and OH airglows as as observed from a distance in space. We have studied the overlap of two airglows by simulations, and our final analyses show that OH airglow can be correctly derived with its average peak height of 89 ¡_ 2.1 km usually lying within ¡_10¢X latitude about the equator. ISUAL data reveal detailed structures of equatorial OH airglow such as the existences of a few secondary maxima within the equatorial regions, and the oscillations of the peak latitudes. These results are discussed and compared with previous reports.

  15. Fundamentals of EUV resist-inorganic hardmask interactions

    Science.gov (United States)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  16. Berkeley Conference

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1986-10-15

    To a regular observer at annual international meetings, progress in particle physics from one year to the next sometimes might seem ponderously slow. But shift the timescale and the result is startling. Opening his summary of the 1986 International Conference on High Energy Physics, held in Berkeley, California, from 16-23 July, Steve Weinberg first recalled the 1966 Conference, also held in Berkeley. Then the preoccupations were current algebra, hadron resonances and the interpretation of scattering in terms of Regge poles, and the theory of weak interactions. Physics certainly has moved.

  17. Berkeley Conference

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    To a regular observer at annual international meetings, progress in particle physics from one year to the next sometimes might seem ponderously slow. But shift the timescale and the result is startling. Opening his summary of the 1986 International Conference on High Energy Physics, held in Berkeley, California, from 16-23 July, Steve Weinberg first recalled the 1966 Conference, also held in Berkeley. Then the preoccupations were current algebra, hadron resonances and the interpretation of scattering in terms of Regge poles, and the theory of weak interactions. Physics certainly has moved

  18. Night Airglow Observations from Orbiting Spacecraft Compared with Measurements from Rockets.

    Science.gov (United States)

    Koomen, M J; Gulledge, I S; Packer, D M; Tousey, R

    1963-06-07

    A luminous band around the night-time horizon, observed from orbiting capsules by J. H. Glenn and M. S. Carpenter, and identified as the horizon enhancement of the night airglow, is detected regularly in rocket-borne studies of night airglow. Values of luminance and dip angle of this band derived from Carpenter's observations agree remarkably well with values obtained from rocket data. The rocket results, however, do not support Carpenter's observation that the emission which he saw was largely the atomic oxygen line at 5577 A, but assign the principal luminosity to the green continuum.

  19. WINDII airglow observations of wave superposition and the possible association with historical "bright nights"

    Science.gov (United States)

    Shepherd, G. G.; Cho, Y.-M.

    2017-07-01

    Longitudinal variations of airglow emission rate are prominent in all midlatitude nighttime O(1S) lower thermospheric data obtained with the Wind Imaging Interferometer (WINDII) on the Upper Atmosphere Research Satellite (UARS). The pattern generally appears as a combination of zonal waves 1, 2, 3, and 4 whose phases propagate at different rates. Sudden localized enhancements of 2 to 4 days duration are sometimes evident, reaching vertically integrated emission rates of 400 R, a factor of 10 higher than minimum values for the same day. These are found to occur when the four wave components come into the same phase at one longitude. It is shown that these highly localized longitudinal maxima are consistent with the historical phenomena known as "bright nights" in which the surroundings of human dark night observers were seen to be illuminated by this enhanced airglow.Plain Language SummaryFor centuries, going back to the Roman era, people have recorded experiences of brightened skies during the night, called "bright nights." Currently, scientists study airglow, an emission of light from the high atmosphere, 100 km above us. Satellite observations of a green airglow have shown that it consists of waves 1, 2, 3, and 4 around the earth. It happens that when the peaks of the different waves coincide there is an airglow brightening, and this article demonstrates that this event produces a bright night. The modern data are shown to be entirely consistent with the historical observations.

  20. Update on EUV radiometry at PTB

    Science.gov (United States)

    Laubis, Christian; Barboutis, Annett; Buchholz, Christian; Fischer, Andreas; Haase, Anton; Knorr, Florian; Mentzel, Heiko; Puls, Jana; Schönstedt, Anja; Sintschuk, Michael; Soltwisch, Victor; Stadelhoff, Christian; Scholze, Frank

    2016-03-01

    The development of technology infrastructure for EUV Lithography (EUVL) still requires higher levels of technology readiness in many fields. A large number of new materials will need to be introduced. For example, development of EUV compatible pellicles to adopt an approved method from optical lithography for EUVL needs completely new thin membranes which have not been available before. To support these developments, PTB with its decades of experience [1] in EUV metrology [2] provides a wide range of actinic and non actinic measurements at in-band EUV wavelengths as well as out of band. Two dedicated, complimentary EUV beamlines [3] are available for radiometric [4,5] characterizations benefiting from small divergence or from adjustable spot size respectively. The wavelength range covered reaches from below 1 nm to 45 nm [6] for the EUV beamlines [7] to longer wavelengths if in addition the VUV beamline is employed. The standard spot size is 1 mm by 1 mm with an option to go as low as 0.1 mm to 0.1 mm. A separate beamline offers an exposure setup. Exposure power levels of 20 W/cm2 have been employed in the past, lower fluencies are available by attenuation or out of focus exposure. Owing to a differential pumping stage, the sample can be held under defined gas conditions during exposure. We present an updated overview on our instrumentation and analysis capabilities for EUV metrology and provide data for illustration.

  1. EUV polarimetry for thin film and surface characterization and EUV phase retarder reflector development.

    Science.gov (United States)

    Gaballah, A E H; Nicolosi, P; Ahmed, Nadeem; Jimenez, K; Pettinari, G; Gerardino, A; Zuppella, P

    2018-01-01

    The knowledge and the manipulation of light polarization state in the vacuum ultraviolet and extreme ultraviolet (EUV) spectral regions play a crucial role from materials science analysis to optical component improvements. In this paper, we present an EUV spectroscopic ellipsometer facility for polarimetry in the 90-160 nm spectral range. A single layer aluminum mirror to be used as a quarter wave retarder has been fully characterized by deriving the optical and structural properties from the amplitude component and phase difference δ measurements. The system can be suitable to investigate the properties of thin films and optical coatings and optics in the EUV region.

  2. Characteristics of equatorial gravity waves derived from mesospheric airglow imaging observations

    Directory of Open Access Journals (Sweden)

    S. Suzuki

    2009-04-01

    Full Text Available We present the characteristics of small-scale (<100 km gravity waves in the equatorial mesopause region derived from OH airglow imaging observations at Kototabang (100.3° E, 0.2° S, Indonesia, from 2002 to 2005. We adopted a method that could automatically detect gravity waves in the airglow images using two-dimensional cross power spectra of gravity waves. The propagation directions of the waves were likely controlled by zonal filtering due to stratospheric mean winds that show a quasi-biennial oscillation (QBO and the presence of many wave sources in the troposphere.

  3. Ionospheric Change and Solar EUV Irradiance

    Science.gov (United States)

    Sojka, J. J.; David, M.; Jensen, J. B.; Schunk, R. W.

    2011-12-01

    The ionosphere has been quantitatively monitored for the past six solar cycles. The past few years of observations are showing trends that differ from the prior cycles! Our good statistical relationships between the solar radio flux index at 10.7 cm, the solar EUV Irradiance, and the ionospheric F-layer peak density are showing indications of divergence! Present day discussion of the Sun-Earth entering a Dalton Minimum would suggest change is occurring in the Sun, as the driver, followed by the Earth, as the receptor. The dayside ionosphere is driven by the solar EUV Irradiance. But different components of this spectrum affect the ionospheric layers differently. For a first time the continuous high cadence EUV spectra from the SDO EVE instrument enable ionospheric scientists the opportunity to evaluate solar EUV variability as a driver of ionospheric variability. A definitive understanding of which spectral components are responsible for the E- and F-layers of the ionosphere will enable assessments of how over 50 years of ionospheric observations, the solar EUV Irradiance has changed. If indeed the evidence suggesting the Sun-Earth system is entering a Dalton Minimum periods is correct, then the comprehensive EVE solar EUV Irradiance data base combined with the ongoing ionospheric data bases will provide a most fortuitous fiduciary reference baseline for Sun-Earth dependencies. Using the EVE EUV Irradiances, a physics based ionospheric model (TDIM), and 50 plus years of ionospheric observation from Wallops Island (Virginia) the above Sun-Earth ionospheric relationship will be reported on.

  4. Analytical techniques for mechanistic characterization of EUV photoresists

    Science.gov (United States)

    Grzeskowiak, Steven; Narasimhan, Amrit; Murphy, Michael; Ackerman, Christian; Kaminsky, Jake; Brainard, Robert L.; Denbeaux, Greg

    2017-03-01

    Extreme ultraviolet (EUV, 13.5 nm) lithography is the prospective technology for high volume manufacturing by the microelectronics industry. Significant strides towards achieving adequate EUV source power and availability have been made recently, but a limited rate of improvement in photoresist performance still delays the implementation of EUV. Many fundamental questions remain to be answered about the exposure mechanisms of even the relatively well understood chemically amplified EUV photoresists. Moreover, several groups around the world are developing revolutionary metal-based resists whose EUV exposure mechanisms are even less understood. Here, we describe several evaluation techniques to help elucidate mechanistic details of EUV exposure mechanisms of chemically amplified and metal-based resists. EUV absorption coefficients are determined experimentally by measuring the transmission through a resist coated on a silicon nitride membrane. Photochemistry can be evaluated by monitoring small outgassing reaction products to provide insight into photoacid generator or metal-based resist reactivity. Spectroscopic techniques such as thin-film Fourier transform infrared (FTIR) spectroscopy can measure the chemical state of a photoresist system pre- and post-EUV exposure. Additionally, electrolysis can be used to study the interaction between photoresist components and low energy electrons. Collectively, these techniques improve our current understanding of photomechanisms for several EUV photoresist systems, which is needed to develop new, better performing materials needed for high volume manufacturing.

  5. Simultaneous Rayleigh lidar and airglow measurements of middle atmospheric waves over low latitudes in India

    Science.gov (United States)

    Taori, A.; Kamalakar, V.; Raghunath, K.; Rao, S. V. B.; Russell, J. M.

    2012-04-01

    We utilize simultaneous Rayleigh lidar and mesospheric OH and O2 airglow measurements to identify the dominant and propagating waves within 40-95 km altitude regions over a low latitude station Gadanki (13.8° N, 79.2 °E). It is found that waves with 0.4-0.6 h periodicity are common throughout the altitude range of 40-95 km with significant amplitudes. The ground based temperature measurements with lidar and airglow monitoring are found to compare well with SABER data. With simultaneous Rayleigh lidar (temperature) and mesospheric airglow (emission intensity and temperature) measurements, we estimate the amplitude growth and Krassovsky parameters to characterize the propagation and dissipation of these upward propagating waves.

  6. Solar EUV irradiance for space weather applications

    Science.gov (United States)

    Viereck, R. A.

    2015-12-01

    Solar EUV irradiance is an important driver of space weather models. Large changes in EUV and x-ray irradiances create large variability in the ionosphere and thermosphere. Proxies such as the F10.7 cm radio flux, have provided reasonable estimates of the EUV flux but as the space weather models become more accurate and the demands of the customers become more stringent, proxies are no longer adequate. Furthermore, proxies are often provided only on a daily basis and shorter time scales are becoming important. Also, there is a growing need for multi-day forecasts of solar EUV irradiance to drive space weather forecast models. In this presentation we will describe the needs and requirements for solar EUV irradiance information from the space weather modeler's perspective. We will then translate these requirements into solar observational requirements such as spectral resolution and irradiance accuracy. We will also describe the activities at NOAA to provide long-term solar EUV irradiance observations and derived products that are needed for real-time space weather modeling.

  7. Surface roughness control by extreme ultraviolet (EUV) radiation

    Science.gov (United States)

    Ahad, Inam Ul; Obeidi, Muhannad Ahmed; Budner, Bogusław; Bartnik, Andrzej; Fiedorowicz, Henryk; Brabazon, Dermot

    2017-10-01

    Surface roughness control of polymeric materials is often desirable in various biomedical engineering applications related to biocompatibility control, separation science and surface wettability control. In this study, Polyethylene terephthalate (PET) polymer films were irradiated with Extreme ultraviolet (EUV) photons in nitrogen environment and investigations were performed on surface roughness modification via EUV exposure. The samples were irradiated at 3 mm and 4 mm distance from the focal spot to investigate the effect of EUV fluence on topography. The topography of the EUV treated PET samples were studied by AFM. The detailed scanning was also performed on the sample irradiated at 3 mm. It was observed that the average surface roughness of PET samples was increased from 9 nm (pristine sample) to 280 nm and 253 nm for EUV irradiated samples. Detailed AFM studies confirmed the presence of 1.8 mm wide period U-shaped channels in EUV exposed PET samples. The walls of the channels were having FWHM of about 0.4 mm. The channels were created due to translatory movements of the sample in horizontal and transverse directions during the EUV exposure. The increased surface roughness is useful for many applications. The nanoscale channels fabricated by EUV exposure could be interesting for microfluidic applications based on lab-on-a-chip (LOC) devices.

  8. Design and fabrication of advanced EUV diffractive elements

    Energy Technology Data Exchange (ETDEWEB)

    Naulleau, Patrick P.; Liddle, J. Alexander; Salmassi, Farhad; Anderson, Erik H.; Gullikson, Eric M.

    2003-11-16

    As extreme ultraviolet (EUV) lithography approaches commercial reality, the development of EUV-compatible diffractive structures becomes increasingly important. Such devices are relevant to many aspects of EUV technology including interferometry, illumination, and spectral filtering. Moreover, the current scarcity of high power EUV sources makes the optical efficiency of these diffractive structures a paramount concern. This fact has led to a strong interest in phase-enhanced diffractive structures. Here we describe recent advancements made in the fabrication of such devices.

  9. EUV mask process specifics and development challenges

    Science.gov (United States)

    Nesladek, Pavel

    2014-07-01

    EUV lithography is currently the favorite and most promising candidate among the next generation lithography (NGL) technologies. Decade ago the NGL was supposed to be used for 45 nm technology node. Due to introduction of immersion 193nm lithography, double/triple patterning and further techniques, the 193 nm lithography capabilities was greatly improved, so it is expected to be used successfully depending on business decision of the end user down to 10 nm logic. Subsequent technology node will require EUV or DSA alternative technology. Manufacturing and especially process development for EUV technology requires significant number of unique processes, in several cases performed at dedicated tools. Currently several of these tools as e.g. EUV AIMS or actinic reflectometer are not available on site yet. The process development is done using external services /tools with impact on the single unit process development timeline and the uncertainty of the process performance estimation, therefore compromises in process development, caused by assumption about similarities between optical and EUV mask made in experiment planning and omitting of tests are further reasons for challenges to unit process development. Increased defect risk and uncertainty in process qualification are just two examples, which can impact mask quality / process development. The aim of this paper is to identify critical aspects of the EUV mask manufacturing with respect to defects on the mask with focus on mask cleaning and defect repair and discuss the impact of the EUV specific requirements on the experiments needed.

  10. Hydroxyl (6−2 airglow emission intensity ratios for rotational temperature determination

    Directory of Open Access Journals (Sweden)

    R. P. Lowe

    Full Text Available OH(6–2 Q1/P1 and R1/P1 airglow emission intensity ratios, for rotational states up to j' = 4.5, are measured to be lower than implied by transition probabilities published by various authors including Mies, Langhoff et al. and Turnbull and Lowe. Experimentally determined relative values of j' transitions yield OH(6–2 rotational temperatures 2 K lower than Langhoff et al., 7 K lower than Mies and 13 K lower than Turnbull and Lowe.Key words: Atmospheric composition and structure (airglow and aurora; pressure, density and temperature

  11. Hemispheric Asymmetry in Transition from Equatorial Plasma Bubble to Blob as Deduced from 630.0 nm Airglow Observations at Low Latitudes

    Science.gov (United States)

    Park, Jaeheung; Martinis, Carlos R.; Luehr, Hermann; Pfaff, Robert F.; Kwak, Young-Sil

    2016-01-01

    Transitions from depletions to enhancements of 630.0 nm nighttime airglow have been observed at Arecibo. Numerical simulations by Krall et al. (2009) predicted that they should occur only in one hemisphere, which has not yet been confirmed observationally. In this study we investigate the hemispheric conjugacy of the depletion-to-enhancement transition using multiple instruments. We focus on one event observed in the American longitude sector on 22 December 2014: 630.0 nm airglow depletions evolved into enhancements in the Northern Hemisphere while the evolution did not occur in the conjugate location in the Southern Hemisphere. Concurrent plasma density measured by low Earth orbit (LEO) satellites and 777.4 nm airglow images support that the depletions and enhancements of 630.0 nm night time airglow reflect plasma density decreases and increases (blobs), respectively. Characteristics of the airglow depletions, in the context of the LEO satellite data, further suggest that the plasma density depletion deduced from the airglow data represents equatorial plasma bubbles (EPBs) rather than medium-scale traveling ionospheric disturbances from midlatitudes. Hence, the event in this study can be interpreted as EPB-to-blob transition.

  12. EUV laser produced and induced plasmas for nanolithography

    Science.gov (United States)

    Sizyuk, Tatyana; Hassanein, Ahmed

    2017-10-01

    EUV produced plasma sources are being extensively studied for the development of new technology for computer chips production. Challenging tasks include optimization of EUV source efficiency, producing powerful source in 2 percentage bandwidth around 13.5 nm for high volume manufacture (HVM), and increasing the lifetime of collecting optics. Mass-limited targets, such as small droplet, allow to reduce contamination of chamber environment and mirror surface damage. However, reducing droplet size limits EUV power output. Our analysis showed the requirement for the target parameters and chamber conditions to achieve 500 W EUV output for HVM. The HEIGHTS package was used for the simulations of laser produced plasma evolution starting from laser interaction with solid target, development and expansion of vapor/plasma plume with accurate optical data calculation, especially in narrow EUV region. Detailed 3D modeling of mix environment including evolution and interplay of plasma produced by lasers from Sn target and plasma produced by in-band and out-of-band EUV radiation in ambient gas, used for the collecting optics protection and cleaning, allowed predicting conditions in entire LPP system. Effect of these conditions on EUV photon absorption and collection was analyzed. This work is supported by the National Science Foundation, PIRE project.

  13. First OH Airglow Observation of Mesospheric Gravity Waves Over European Russia Region

    Science.gov (United States)

    Li, Qinzeng; Yusupov, Kamil; Akchurin, Adel; Yuan, Wei; Liu, Xiao; Xu, Jiyao

    2018-03-01

    For the first time, we perform a study of mesospheric gravity waves (GWs) for four different seasons of 1 year in the latitudinal band from 45°N to 75°N using an OH all-sky airglow imager over Kazan (55.8°N, 49.2°E), Russia, during the period of August 2015 to July 2016. Our observational study fills a huge airglow imaging observation gap in Europe and Russia region. In total, 125 GW events and 28 ripple events were determined by OH airglow images in 98 clear nights. The observed GWs showed a strong preference of propagation toward northeast in all seasons, which was significantly different from airglow imager observations at other latitudes that the propagation directions were seasonal dependent. The middle atmosphere wind field is used to explain the lack of low phase speed GWs since these GWs were falling into the blocking region due to the filtering effects. Deep tropospheric convections derived from the European Centre for Medium-Range Weather Forecasts reanalysis data are determined near Caucasus Mountains region, which suggests that the convections are the dominant source of the GWs in spring, summer, and autumn seasons. This finding extends our knowledge that convection might also be an important source of GWs in the higher latitudes. In winter the generation mechanism of the GWs are considered to be jet stream systems. In addition, the occurrence frequency of ripple is much lower than other stations. This study provides some constraints on the range of GW parameters in GW parameterization in general circulation models in Europe and Russia region.

  14. First environmental data from the EUV engineering test stand

    Science.gov (United States)

    Klebanoff, Leonard E.; Malinowski, Michael E.; Grunow, Philip A.; Clift, W. Miles; Steinhaus, Chip; Leung, Alvin H.; Haney, Steven J.

    2001-08-01

    The first environmental data from the Engineering Test Stand (ETS) has been collected. Excellent control of high-mass hydrocarbons has been observed. This control is a result of extensive outgas testing of components and materials, vacuum compatible design of the ETS, careful cleaning of parts and pre-baking of cables and sub assemblies where possible, and clean assembly procedures. As a result of the hydrocarbon control, the residual ETS vacuum environment is rich in water vapor. Analysis of witness plate data indicates that the ETS environment does not pose a contamination risk to the optics in the absence of EUV irradiation. However, with EUV exposure, the water rich environment can lead to EUV- induced water oxidation of the Si-terminated Mo/Si optics. Added ethanol can prevent optic oxidation, allowing carbon growth via EUV cracking of low-level residual hydrocarbons to occur. The EUV environmental issues are understood, mitigation approaches have been validated, and EUV optic contamination appears to be manageable.

  15. The radioactive inventory of a Berkeley heat exchanger

    International Nuclear Information System (INIS)

    Hancock, R.

    1988-10-01

    The Central Electricity Generating Board has announced a date for the final shutdown of the first of the Magnox power stations at Berkeley (March 1989), and is in the process of preparing Pre-Decommissioning Safety Reports (PDSR) for the decommissioning of Berkeley and Bradwell. This report supports these PDSR studies and reports work carried out within the Research Division at Berkeley Nuclear Laboratories on the radioactive inventories of the heat exchangers at Berkeley Power Station. At Berkeley, the heat exchangers will be included in stage two decommissioning to which they will contribute the largest mass of contaminated material. The purpose of this report is to bring together all of the available data on the contamination in the heat exchangers at Berkeley Power Station, and to recommend a database from which the options for disposal of the heat exchangers may be formulated. (author)

  16. Environmental research at Berkeley

    CERN Multimedia

    1973-01-01

    The information concerning the Energy and Environment Programme at the Lawrence Berkeley Laboratory is based on a talk given at CERN by A.M. Sessler, one of the initiators of the Programme. (Dr. Sessler has been appointed Director of the Lawrence Berkeley Laboratory, in succession to Prof. E. M. McMillan, from 1 November.) Many of the topics mentioned merit an extended story in themselves but the purpose of this article is simply to give a sketch of what is happening.

  17. Novel EUV photoresist for sub-7nm node (Conference Presentation)

    Science.gov (United States)

    Furukawa, Tsuyoshi; Naruoka, Takehiko; Nakagawa, Hisashi; Miyata, Hiromu; Shiratani, Motohiro; Hori, Masafumi; Dei, Satoshi; Ayothi, Ramakrishnan; Hishiro, Yoshi; Nagai, Tomoki

    2017-04-01

    Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

  18. Diversity, Equity, & Inclusion at Berkeley Lab

    Science.gov (United States)

    Berkeley Lab A-Z Index Directory Search Diversity, Equity, & Inclusion at Berkeley Lab Home Diversity & Inclusion Council Women Scientists & Engineers Council Employee Resource Groups -and culture of inclusion are key to attracting and engaging the brightest minds and furthering our

  19. EUV mask manufacturing readiness in the merchant mask industry

    Science.gov (United States)

    Green, Michael; Choi, Yohan; Ham, Young; Kamberian, Henry; Progler, Chris; Tseng, Shih-En; Chiou, Tsann-Bim; Miyazaki, Junji; Lammers, Ad; Chen, Alek

    2017-10-01

    As nodes progress into the 7nm and below regime, extreme ultraviolet lithography (EUVL) becomes critical for all industry participants interested in remaining at the leading edge. One key cost driver for EUV in the supply chain is the reflective EUV mask. As of today, the relatively few end users of EUV consist primarily of integrated device manufactures (IDMs) and foundries that have internal (captive) mask manufacturing capability. At the same time, strong and early participation in EUV by the merchant mask industry should bring value to these chip makers, aiding the wide-scale adoption of EUV in the future. For this, merchants need access to high quality, representative test vehicles to develop and validate their own processes. This business circumstance provides the motivation for merchants to form Joint Development Partnerships (JDPs) with IDMs, foundries, Original Equipment Manufacturers (OEMs) and other members of the EUV supplier ecosystem that leverage complementary strengths. In this paper, we will show how, through a collaborative supplier JDP model between a merchant and OEM, a novel, test chip driven strategy is applied to guide and validate mask level process development. We demonstrate how an EUV test vehicle (TV) is generated for mask process characterization in advance of receiving chip maker-specific designs. We utilize the TV to carry out mask process "stress testing" to define process boundary conditions which can be used to create Mask Rule Check (MRC) rules as well as serve as baseline conditions for future process improvement. We utilize Advanced Mask Characterization (AMC) techniques to understand process capability on designs of varying complexity that include EUV OPC models with and without sub-resolution assist features (SRAFs). Through these collaborations, we demonstrate ways to develop EUV processes and reduce implementation risks for eventual mass production. By reducing these risks, we hope to expand access to EUV mask capability for

  20. e-beam induced EUV photomask repair: a perfect match

    Science.gov (United States)

    Waiblinger, M.; Kornilov, K.; Hofmann, T.; Edinger, K.

    2010-05-01

    Due to the updated ITRS roadmap EUV might enter the market as a productive solution for the 32 nm node1. Since the EUV-photomask is used as mirror and no longer as transitive device the severity of different defect types has changed significantly. Furthermore the EUV-photomask material stack is much more complex than the conventional 193nm photomask materials which expand the field of critical defect types even further. In this paper we will show, that "classical" 193 mask repair processes cannot be applied to EUV material. We will show the performance of a new repair process based on the novel ebeam repair tool MeRiT® HR 32. Furthermore this process will be applied on real EUV mask defects and the success of these repairs confirmed by wafer prints.

  1. Mask-induced aberration in EUV lithography

    Science.gov (United States)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  2. Issues in Quantitative Analysis of Ultraviolet Imager (UV) Data: Airglow

    Science.gov (United States)

    Germany, G. A.; Richards, P. G.; Spann, J. F.; Brittnacher, M. J.; Parks, G. K.

    1999-01-01

    The GGS Ultraviolet Imager (UVI) has proven to be especially valuable in correlative substorm, auroral morphology, and extended statistical studies of the auroral regions. Such studies are based on knowledge of the location, spatial, and temporal behavior of auroral emissions. More quantitative studies, based on absolute radiometric intensities from UVI images, require a more intimate knowledge of the instrument behavior and data processing requirements and are inherently more difficult than studies based on relative knowledge of the oval location. In this study, UVI airglow observations are analyzed and compared with model predictions to illustrate issues that arise in quantitative analysis of UVI images. These issues include instrument calibration, long term changes in sensitivity, and imager flat field response as well as proper background correction. Airglow emissions are chosen for this study because of their relatively straightforward modeling requirements and because of their implications for thermospheric compositional studies. The analysis issues discussed here, however, are identical to those faced in quantitative auroral studies.

  3. Imaging and Patterning on Nanometer Scale Using Coherent EUV Light

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Fiedorowicz, H.; Bartnik, A.; Marconi, M.C.; Menoni, C.S.; Rocca, J.J.

    2010-01-01

    Extreme ultraviolet (EUV) covers wavelength range from about 5 nm to 50 nm. That is why EUV is especially applicable for imaging and patterning on nanometer scale length. In the paper periodic nanopatterning realized by interference lithography and high resolution holographic nanoimaging performed in a Gabor in-line scheme are presented. In the experiments a compact table top EUV laser was used. Preliminary studies on using a laser plasma EUV source for nanoimaging are presented as well. (author)

  4. Treatment of Berkeley boilers in Studsvik. Project description and experiences - Berkeley Boilers Project

    International Nuclear Information System (INIS)

    Saul, Dave; Davidson, Gavin; Wirendal, Bo

    2014-01-01

    In November 2011 Studsvik was awarded a contract to transport five decommissioned boilers from the Berkeley Nuclear Licensed Site in the UK to the Studsvik Nuclear Site in Sweden for metal treatment and recycling. A key objective of the project was to remove the boilers from the site by 31 March 2012 and this was successfully achieved with all boilers off site by 22 March and delivered to Studsvik on 6 April. In November 2012 Studsvik was awarded a further contract for the remaining ten Berkeley Boilers with the requirement to remove all boilers from the Berkeley site by 31 March 2013. Again this was successfully achieved ahead of programme with all boilers in Sweden by 1 April 2013. A total of nine boilers have now been processed and all remaining boilers will be completed by end of September 2014. The projects have had many challenges including a very tight timescale and both have been successfully delivered to cost and ahead of the baseline programme. This paper describes the project and the experience gained from treatment of the boilers to date. (authors)

  5. Extreme Ultraviolet (EUV) induced surface chemistry on Ru

    NARCIS (Netherlands)

    Liu, Feng; Sturm, Jacobus Marinus; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    EUV photon induced surface chemistry can damage multilayer mirrors causing reflectivity loss and faster degradation. EUV photo chemistry involves complex processes including direct photon induced surface chemistry and secondary electron radiation chemistry. Current cleaning techniques include dry

  6. Detection of large-scale concentric gravity waves from a Chinese airglow imager network

    Science.gov (United States)

    Lai, Chang; Yue, Jia; Xu, Jiyao; Yuan, Wei; Li, Qinzeng; Liu, Xiao

    2018-06-01

    Concentric gravity waves (CGWs) contain a broad spectrum of horizontal wavelengths and periods due to their instantaneous localized sources (e.g., deep convection, volcanic eruptions, or earthquake, etc.). However, it is difficult to observe large-scale gravity waves of >100 km wavelength from the ground for the limited field of view of a single camera and local bad weather. Previously, complete large-scale CGW imagery could only be captured by satellite observations. In the present study, we developed a novel method that uses assembling separate images and applying low-pass filtering to obtain temporal and spatial information about complete large-scale CGWs from a network of all-sky airglow imagers. Coordinated observations from five all-sky airglow imagers in Northern China were assembled and processed to study large-scale CGWs over a wide area (1800 km × 1 400 km), focusing on the same two CGW events as Xu et al. (2015). Our algorithms yielded images of large-scale CGWs by filtering out the small-scale CGWs. The wavelengths, wave speeds, and periods of CGWs were measured from a sequence of consecutive assembled images. Overall, the assembling and low-pass filtering algorithms can expand the airglow imager network to its full capacity regarding the detection of large-scale gravity waves.

  7. The first coordinated observations of mid-latitude E-region quasi-periodic radar echoes and lower thermospheric 557.7-nm airglow

    Directory of Open Access Journals (Sweden)

    T. Ogawa

    2005-10-01

    Full Text Available We present the first coordinated observations of quasi-periodic (QP radar echoes from sporadic-E (Es field-aligned irregularities (FAIs, OI 557.7-nm airglow, and neutral winds in a common volume over Shigaraki, Japan (34.9° N, 136.1° E on the night of 5 August 2002 during the SEEK-2 campaign. QP echo altitudes of 90-110 km were lower than usual by 10 km, enabling us to make a detailed comparison among QP echoes, airglow intensity, and neutral wind at around 96 km altitude. Eastward movement of the QP echo regions is consistent with the motions of neutral winds, airglow structures, and FAIs, suggesting that the electrodynamics of Es-layers is fundamentally controlled by the neutral atmospheric dynamics. During the QP echo event, the echo altitudes clearly went up (down in harmony with an airglow enhancement (subsidence that also moved to the east. This fact suggests that the eastward-moving enhanced airglow region included an upward (downward component of neutral winds to raise (lower the altitude of the wind-shear node responsible for the Es formation. The airglow intensity, echo intensity, and Doppler velocity of FAIs at around 96 km altitude fluctuated with periods from 10 min to 1h, indicating that these parameters were modulated with short-period atmospheric disturbances. Some QP echo regions below 100km altitude contained small-scale QP structures in which very strong neutral winds exceeding 100 m/s existed. The results are compared with recent observations, theories, and simulations of QP echoes. Keywords. Ionosphere (Ionosphere-atmosphere interactions; Ionospheric irregularities; Mid-latitude ionosphere

  8. EUV tools: hydrogen gas purification and recovery strategies

    Science.gov (United States)

    Landoni, Cristian; Succi, Marco; Applegarth, Chuck; Riddle Vogt, Sarah

    2015-03-01

    The technological challenges that have been overcome to make extreme ultraviolet lithography (EUV) a reality have been enormous1. This vacuum driven technology poses significant purity challenges for the gases employed for purging and cleaning the scanner EUV chamber and source. Hydrogen, nitrogen, argon and ultra-high purity compressed dry air (UHPCDA) are the most common gases utilized at the scanner and source level. Purity requirements are tighter than for previous technology node tools. In addition, specifically for hydrogen, EUV tool users are facing not only gas purity challenges but also the need for safe disposal of the hydrogen at the tool outlet. Recovery, reuse or recycling strategies could mitigate the disposal process and reduce the overall tool cost of operation. This paper will review the types of purification technologies that are currently available to generate high purity hydrogen suitable for EUV applications. Advantages and disadvantages of each purification technology will be presented. Guidelines on how to select the most appropriate technology for each application and experimental conditions will be presented. A discussion of the most common approaches utilized at the facility level to operate EUV tools along with possible hydrogen recovery strategies will also be reported.

  9. Tidal and gravity waves study from the airglow measurements at ...

    Indian Academy of Sciences (India)

    E) during the period 2004–2007 are analyzed to study the dominant waves present in the 80–100 km altitude region of the atmosphere. The nocturnal intensity variations of different airglow emissions are observed using scanning temperature controlled filter photometers. Waves having period lying between 2 and 12 hours ...

  10. From powerful research platform for industrial EUV photoresist development, to world record resolution by photolithography: EUV interference lithography at the Paul Scherrer Institute

    Science.gov (United States)

    Buitrago, Elizabeth; Fallica, Roberto; Fan, Daniel; Karim, Waiz; Vockenhuber, Michaela; van Bokhoven, Jeroen A.; Ekinci, Yasin

    2016-09-01

    Extreme ultraviolet interference lithography (EUV-IL, λ = 13.5 nm) has been shown to be a powerful technique not only for academic, but also for industrial research and development of EUV materials due to its relative simplicity yet record high-resolution patterning capabilities. With EUV-IL, it is possible to pattern high-resolution periodic images to create highly ordered nanostructures that are difficult or time consuming to pattern by electron beam lithography (EBL) yet interesting for a wide range of applications such as catalysis, electronic and photonic devices, and fundamental materials analysis, among others. Here, we will show state-of the-art research performed using the EUV-IL tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). For example, using a grating period doubling method, a diffraction mask capable of patterning a world record in photolithography of 6 nm half-pitch (HP), was produced. In addition to the description of the method, we will give a few examples of applications of the technique. Well-ordered arrays of suspended silicon nanowires down to 6.5 nm linewidths have been fabricated and are to be studied as field effect transistors (FETs) or biosensors, for instance. EUV achromatic Talbot lithography (ATL), another interference scheme that utilizes a single grating, was shown to yield well-defined nanoparticles over large-areas with high uniformity presenting great opportunities in the field of nanocatalysis. EUV-IL is in addition, playing a key role in the future introduction of EUV lithography into high volume manufacturing (HVM) of semiconductor devices for the 7 and 5 nm logic node (16 nm and 13 nm HP, respectively) and beyond while the availability of commercial EUV-tools is still very much limited for research.

  11. Berkeley Low Background Facility

    International Nuclear Information System (INIS)

    Thomas, K. J.; Norman, E. B.; Smith, A. R.; Poon, A. W. P.; Chan, Y. D.; Lesko, K. T.

    2015-01-01

    The Berkeley Low Background Facility (BLBF) at Lawrence Berkeley National Laboratory (LBNL) in Berkeley, California provides low background gamma spectroscopy services to a wide array of experiments and projects. The analysis of samples takes place within two unique facilities; locally within a carefully-constructed, low background laboratory on the surface at LBNL and at the Sanford Underground Research Facility (SURF) in Lead, SD. These facilities provide a variety of gamma spectroscopy services to low background experiments primarily in the form of passive material screening for primordial radioisotopes (U, Th, K) or common cosmogenic/anthropogenic products; active screening via neutron activation analysis for U,Th, and K as well as a variety of stable isotopes; and neutron flux/beam characterization measurements through the use of monitors. A general overview of the facilities, services, and sensitivities will be presented. Recent activities and upgrades will also be described including an overview of the recently installed counting system at SURF (recently relocated from Oroville, CA in 2014), the installation of a second underground counting station at SURF in 2015, and future plans. The BLBF is open to any users for counting services or collaboration on a wide variety of experiments and projects

  12. Optimizing hydroxyl airglow retrievals from long-slit astronomical spectroscopic observations

    Directory of Open Access Journals (Sweden)

    C. Franzen

    2017-08-01

    Full Text Available Astronomical spectroscopic observations from ground-based telescopes contain background emission lines from the terrestrial atmosphere's airglow. In the near infrared, this background is composed mainly of emission from Meinel bands of hydroxyl (OH, which is produced in highly excited vibrational states by reduction of ozone near 90 km. This emission contains a wealth of information on the chemical and dynamical state of the Earth's atmosphere. However, observation strategies and data reduction processes are usually optimized to minimize the influence of these features on the astronomical spectrum. Here we discuss a measurement technique to optimize the extraction of the OH airglow signal itself from routine J-, H-, and K-band long-slit astronomical spectroscopic observations. As an example, we use data recorded from a point-source observation by the Nordic Optical Telescope's intermediate-resolution spectrograph, which has a spatial resolution of approximately 100 m at the airglow layer. Emission spectra from the OH vibrational manifold from v′  =  9 down to v′  =  3, with signal-to-noise ratios up to 280, have been extracted from 10.8 s integrations. Rotational temperatures representative of the background atmospheric temperature near 90 km, the mesosphere and lower thermosphere region, can be fitted to the OH rotational lines with an accuracy of around 0.7 K. Using this measurement and analysis technique, we derive a rotational temperature distribution with v′ that agrees with atmospheric model conditions and the preponderance of previous work. We discuss the derived rotational temperatures from the different vibrational bands and highlight the potential for both the archived and future observations, which are at unprecedented spatial and temporal resolutions, to contribute toward the resolution of long-standing problems in atmospheric physics.

  13. Small-Scale Dynamical Structures Using OH Airglow From Astronomical Observations

    Science.gov (United States)

    Franzen, C.; Espy, P. J.; Hibbins, R. E.; Djupvik, A. A.

    2017-12-01

    Remote sensing of perturbations in the hydroxyl (OH) Meinel airglow has often been used to observe gravity, tidal and planetary waves travelling through the 80-90 km region. While large scale (>1 km) gravity waves and the winds caused by their breaking are widely documented, information on the highest frequency waves and instabilities occurring during the breaking process is often limited by the temporal and spatial resolution of the available observations. In an effort to better quantify the full range of wave scales present near the mesopause, we present a series of observations of the OH Meinel (9,7) transition that were executed with the Nordic Optical Telescope on La Palma (18°W, 29°N). These measurements have a 24 s repetition rate and horizontal spatial resolutions at 87 km as small as 10 cm, allowing us to quantify the transition in the mesospheric wave domains as the gravity waves break. Temporal scales from hours to minutes, as well as sub-100 m coherent structures in the OH airglow have been observed and will be presented.

  14. Oxide Nanoparticle EUV (ONE) Photoresists: Current Understanding of the Unusual Patterning Mechanism

    KAUST Repository

    Jiang, Jing; Zhang, Ben; Yu, Mufei; Li, Li; Neisser, Mark; Sung Chun, Jun; Giannelis, Emmanuel P.; Ober, Christopher K.

    2015-01-01

    © 2015 SPST. In the past few years, industry has made significant progress to deliver a stable high power EUV scanner and a 100 W light source is now being tested on the manufacuring scale. The success of a high power EUV source demands a fast and high resolution EUV resist. However, chemcially amplied resists encounter unprecedented challenges beyond the 22 nm node due to resolution, roughness and sensitivity tradeoffs. Unless novel solutions for EUV resists are proposed and further optimzed, breakthroughs can hardly be achieved. Oxide nanoparticle EUV (ONE) resists stablized by organic ligands were originally proposed by Ober et al. Recently this work attracts more and more attention due to its extraordinanry EUV sensitivity. This new class of photoresist utilizes ligand cleavage with a ligand exchange mechanism to switch its solubilty for dual-tone patterning. Therefore, ligand selection of the nanoparticles is extremely important to its EUV performance.

  15. Thin film interference optics for imaging the O II 834-A airglow

    Science.gov (United States)

    Seely, John F.; Hunter, William R.

    1991-01-01

    Normal incidence thin film interference mirrors and filters have been designed to image the O II 834-A airglow. It is shown that MgF2 is a useful spacer material for this wavelength region. The mirrors consist of thin layers of MgF2 in combination with other materials that are chosen to reflect efficiently in a narrow band centered at 834 A. Peak reflectance of 60 percent can be obtained with a passband 200 A wide. Al/MgF2/Si and Al/MgF2/SiC interference coatings have been designed to reflect 834 A and to absorb the intense H I 1216 A airglow. An In/MgF2/In interference filter is designed to transmit 834 A and attenuate 1216 A radiation. Interference photocathode coatings for rejecting 1216 A radiation are also discussed.

  16. Classification and printability of EUV mask defects from SEM images

    Science.gov (United States)

    Cho, Wonil; Price, Daniel; Morgan, Paul A.; Rost, Daniel; Satake, Masaki; Tolani, Vikram L.

    2017-10-01

    Classification and Printability of EUV Mask Defects from SEM images EUV lithography is starting to show more promise for patterning some critical layers at 5nm technology node and beyond. However, there still are many key technical obstacles to overcome before bringing EUV Lithography into high volume manufacturing (HVM). One of the greatest obstacles is manufacturing defect-free masks. For pattern defect inspections in the mask-shop, cutting-edge 193nm optical inspection tools have been used so far due to lacking any e-beam mask inspection (EBMI) or EUV actinic pattern inspection (API) tools. The main issue with current 193nm inspection tools is the limited resolution for mask dimensions targeted for EUV patterning. The theoretical resolution limit for 193nm mask inspection tools is about 60nm HP on masks, which means that main feature sizes on EUV masks will be well beyond the practical resolution of 193nm inspection tools. Nevertheless, 193nm inspection tools with various illumination conditions that maximize defect sensitivity and/or main-pattern modulation are being explored for initial EUV defect detection. Due to the generally low signal-to-noise in the 193nm inspection imaging at EUV patterning dimensions, these inspections often result in hundreds and thousands of defects which then need to be accurately reviewed and dispositioned. Manually reviewing each defect is difficult due to poor resolution. In addition, the lack of a reliable aerial dispositioning system makes it very challenging to disposition for printability. In this paper, we present the use of SEM images of EUV masks for higher resolution review and disposition of defects. In this approach, most of the defects detected by the 193nm inspection tools are first imaged on a mask SEM tool. These images together with the corresponding post-OPC design clips are provided to KLA-Tencor's Reticle Decision Center (RDC) platform which provides ADC (Automated Defect Classification) and S2A (SEM

  17. STAR FORMATION NEAR BERKELEY 59: EMBEDDED PROTOSTARS

    Energy Technology Data Exchange (ETDEWEB)

    Rosvick, J. M. [Department of Physical Sciences, Thompson Rivers University, 900 McGill Road, Kamloops, BC V2C 0C8 (Canada); Majaess, D. [Department of Astronomy and Physics, Saint Mary' s University, Halifax, NS B3H 3C3 (Canada)

    2013-12-01

    A group of suspected protostars in a dark cloud northwest of the young (∼2 Myr) cluster Berkeley 59 and two sources in a pillar south of the cluster have been studied in order to determine their evolutionary stages and ascertain whether their formation was triggered by Berkeley 59. Narrowband near-infrared observations from the Observatoire du Mont Mégantic, {sup 12}CO (J = 3-2) and SCUBA-2 (450 and 850 μm) observations from the JCMT, 2MASS, and WISE images, and data extracted from the IPHAS survey catalog were used. Of 12 sources studied, two are Class I objects, while three others are flat/Class II, one of which is a T Tauri candidate. A weak CO outflow and two potential starless cores are present in the cloud, while the pillar possesses substructure at different velocities, with no outflows present. The CO spectra of both regions show peaks in the range v {sub LSR} = –15 to –17 km s{sup –1}, which agrees with the velocity adopted for Berkeley 59 (–15.7 km s{sup –1}), while spectral energy distribution models yield an average interstellar extinction A{sub V} and distance of 15 ± 2 mag and 830 ± 120 pc, respectively, for the cloud, and 6.9 mag and 912 pc for the pillar, indicating that the regions are in the same vicinity as Berkeley 59. The formation of the pillar source appears to have been triggered by Berkeley 59. It is unclear whether Berkeley 59 triggered the association's formation.

  18. What Made Berkeley Great? The Sources of Berkeley's Sustained Academic Excellence. Research & Occasional Paper Series CSHE.3.11

    Science.gov (United States)

    Breslauer, George W.

    2011-01-01

    University of California (UC) Berkeley's chief academic officer explores the historical sources of Berkeley' academic excellence. He identifies five key factors: (1) wealth from many sources; (2) supportive and skilled governors; (3) leadership from key UC presidents; (4) the pioneering ethos within the State of California; and (5) a process of…

  19. Modular EUV Source for the next generation lithography

    International Nuclear Information System (INIS)

    Sublemontier, O.; Rosset-Kos, M.; Ceccotti, T.; Hergott, J.F.; Auguste, Th.; Normand, D.; Schmidt, M.; Beaumont, F.; Farcage, D.; Cheymol, G.; Le Caro, J.M.; Cormont, Ph.; Mauchien, P.; Thro, P.Y.; Skrzypczak, J.; Muller, S.; Marquis, E.; Barthod, B.; Gaurand, I.; Davenet, M.; Bernard, R.

    2011-01-01

    The present work, performed in the frame of the EXULITE project, was dedicated to the design and characterization of a laser-plasma-produced extreme ultraviolet (EUV) source prototype at 13.5 nm for the next generation lithography. It was conducted in cooperation with two laboratories from CEA, ALCATEL and THALES. One of our approach originalities was the laser scheme modularity. Six Nd:YAG laser beams were focused at the same time on a xenon filament jet to generate the EUV emitting plasma. Multiplexing has important industrial advantages and led to interesting source performances in terms of in-band power, stability and angular emission properties with the filament jet target. A maximum conversion efficiency (CE) value of 0.44% in 2π sr and 2% bandwidth was measured, which corresponds to a maximum in band EUV mean power of 7.7 W at a repetition rate of 6 kHz. The EUV emission was found to be stable and isotropic in these conditions. (authors)

  20. ILT optimization of EUV masks for sub-7nm lithography

    Science.gov (United States)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  1. Characterization of laser-produced plasma EUV light

    International Nuclear Information System (INIS)

    Mizoguchi, Hakaru; Endo, Akira; Takabayashi, Yuichi; Sasaki, Akira; Komori, Hiroshi; Suganuma, Takashi

    2005-01-01

    Resolution of optical microlithography process becomes smaller and smaller. Wavelength of the light source for these optical lithography reduced from KrF, ArF to F2 to meet the resolution requirement. Recently EUV is spotlighted as promising candidate for next generation lithography light source. This paper summarizes the requirement and studies of experiments and simulation to improve the convention efficiency of EUV light source. (author)

  2. Compact laser-produced plasma EUV sources for processing polymers and nanoimaging

    International Nuclear Information System (INIS)

    Fiedorowicz, H.; Bartnik, A.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Wachulak, P.

    2010-01-01

    Complete text of publication follows. Extreme ultraviolet (EUV) can be produced form a high-temperature plasma generated by interaction of high power laser pulses with matter. Laser plasma EUV sources are considered to be used in various applications in physics, material science, biomedicine, and technology. In the paper new compact laser plasma EUV sources developed for processing polymers and imaging are presented. The sources are based on a gas puff target formed by pulsed injection of a small amount of gas under high-pressure into a laser focus region. The use of the gas puff target instead of a solid target allows for efficient generation of EUV radiation without debris production. The compact laser plasma EUV source based on a gas puff target was developed for metrology applications. The EUV source developed for processing polymers is equipped with a grazing incidence axisymmetrical ellipsoidal mirror to focus EUV radiation in the relatively broad spectral range with the strong maximum near 10 nm. The size of the focal spot is about 1.3 mm in diameter with the maximum fluence up to 70 mJ/cm 2 . EUV radiation in the wavelength range of about 5 to 50 nm is produced by irradiation of xenon or krypton gas puff target with a Nd:YAG laser operating at 10 Hz and delivering 4 ns pulses of energy up to 0.8 J per pulse. The experiments on EUV irradiation of various polymers have been performed. Modification of polymer surfaces was achieved, primarily due to direct photo-etching with EUV photons and formation of micro- and nanostructures onto the surface. The mechanism of the interaction is similar to the UV laser ablation where energetic photons cause chemical bonds of the polymer chain to be broken. However, because of very low penetration depth of EUV radiation, the interaction region is limited to a very thin surface layer (<100 nm). This makes it possible to avoid degradation of bulk material caused by deeply penetrating UV radiation. The results of the studies

  3. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have achieved high resolution (∼22 nm) at a very high EUV sensitivity (4.2 mJ/cm2). Further investigations into the patterning process suggests a ligand displacement mechanism, wherein, any combination of a metal oxide with the correct ligand could generate patterns in the presence of the suitable photoactive compound. The current investigation extends this study by developing new nanoparticle compositions with transdimethylacrylic acid and o-toluic acid ligands. This study describes their synthesis and patterning performance under 248 nm KrF laser (DUV) and also under 13.5 nm EUV exposures (dimethylacrylate nanoparticles) for the new resist compositions.

  4. Lithographic measurement of EUV flare in the 0.3-NA Micro Exposure Tool optic at the Advanced Light Source

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    The level of flare present in a 0.3-NA EUV optic (the MET optic) at the Advanced Light Source at Lawrence Berkeley National Laboratory is measured using a lithographic method. Photoresist behavior at high exposure doses makes analysis difficult. Flare measurement analysis under scanning electron microscopy (SEM) and optical microscopy is compared, and optical microscopy is found to be a more reliable technique. In addition, the measured results are compared with predictions based on surface roughness measurement of the MET optical elements. When the fields in the exposure matrix are spaced far enough apart to avoid influence from surrounding fields and the data is corrected for imperfect mask contrast and aerial image proximity effects, the results match predicted values quite well. The amount of flare present in this optic ranges from 4.7% for 2 (micro)m features to 6.8% for 500 nm features

  5. Ni-Al Alloys as Alternative EUV Mask Absorber

    Directory of Open Access Journals (Sweden)

    Vu Luong

    2018-03-01

    Full Text Available Extreme ultraviolet (EUV lithography is being industrialized as the next candidate printing technique for high-volume manufacturing of scaled down integrated circuits. At mask level, the combination of EUV light at oblique incidence, absorber thickness, and non-uniform mirror reflectance through incidence angle, creates photomask-induced imaging aberrations, known as mask 3D (M3D effects. A possible mitigation for the M3D effects in the EUV binary intensity mask (BIM, is to use mask absorber materials with high extinction coefficient κ and refractive coefficient n close to unity. We propose nickel aluminide alloys as a candidate BIM absorber material, and characterize them versus a set of specifications that a novel EUV mask absorber must meet. The nickel aluminide samples have reduced crystallinity as compared to metallic nickel, and form a passivating surface oxide layer in neutral solutions. Composition and density profile are investigated to estimate the optical constants, which are then validated with EUV reflectometry. An oxidation-induced Al L2 absorption edge shift is observed, which significantly impacts the value of n at 13.5 nm wavelength and moves it closer to unity. The measured optical constants are incorporated in an accurate mask model for rigorous simulations. The M3D imaging impact of the nickel aluminide alloy mask absorbers, which predict significant M3D reduction in comparison to reference absorber materials. In this paper, we present an extensive experimental methodology flow to evaluate candidate mask absorber materials.

  6. Berkeley mini-collider

    International Nuclear Information System (INIS)

    Schroeder, L.S.

    1984-06-01

    The Berkeley Mini-Collider, a heavy-ion collider being planned to provide uranium-uranium collisions at T/sub cm/ less than or equal to 4 GeV/nucleon, is described. The central physics to be studied at these energies and our early ideas for a collider detector are presented

  7. Development of a EUV Test Facility at the Marshall Space Flight Center

    Science.gov (United States)

    West, Edward; Pavelitz, Steve; Kobayashi, Ken; Robinson, Brian; Cirtain, Johnathan; Gaskin, Jessica; Winebarger, Amy

    2011-01-01

    This paper will describe a new EUV test facility that is being developed at the Marshall Space Flight Center (MSFC) to test EUV telescopes. Two flight programs, HiC - high resolution coronal imager (sounding rocket) and SUVI - Solar Ultraviolet Imager (GOES-R), set the requirements for this new facility. This paper will discuss those requirements, the EUV source characteristics, the wavelength resolution that is expected and the vacuum chambers (Stray Light Facility, Xray Calibration Facility and the EUV test chamber) where this facility will be used.

  8. Extreme ultraviolet spectral irradiance measurements since 1946

    Science.gov (United States)

    Schmidtke, G.

    2015-03-01

    In the physics of the upper atmosphere the solar extreme ultraviolet (EUV) radiation plays a dominant role controlling most of the thermospheric/ionospheric (T/I) processes. Since this part of the solar spectrum is absorbed in the thermosphere, platforms to measure the EUV fluxes became only available with the development of rockets reaching altitude levels exceeding 80 km. With the availability of V2 rockets used in space research, recording of EUV spectra started in 1946 using photographic films. The development of pointing devices to accurately orient the spectrographs toward the sun initiated intense activities in solar-terrestrial research. The application of photoelectric recording technology enabled the scientists placing EUV spectrometers aboard satellites observing qualitatively strong variability of the solar EUV irradiance on short-, medium-, and long-term scales. However, as more measurements were performed more radiometric EUV data diverged due to the inherent degradation of the EUV instruments with time. Also, continuous recording of the EUV energy input to the T/I system was not achieved. It is only at the end of the last century that there was progress made in solving the serious problem of degradation enabling to monitore solar EUV fluxes with sufficient radiometric accuracy. The data sets available allow composing the data available to the first set of EUV data covering a period of 11 years for the first time. Based on the sophisticated instrumentation verified in space, future EUV measurements of the solar spectral irradiance (SSI) are promising accuracy levels of about 5% and less. With added low-cost equipment, real-time measurements will allow providing data needed in ionospheric modeling, e.g., for correcting propagation delays of navigation signals from space to earth. Adding EUV airglow and auroral emission monitoring by airglow cameras, the impact of space weather on the terrestrial T/I system can be studied with a spectral terrestrial

  9. Surface Inhomogeneities of the White Dwarf in the Binary EUVE J2013+400

    Science.gov (United States)

    Vennes, Stephane

    We propose to study the white dwarf in the binary EUVE J2013+400. The object is paired with a dMe star and new extreme ultraviolet (EUV) observations will offer critical insights into the properties of the white dwarf. The binary behaves, in every other aspects, like its siblings EUVE J0720-317 and EUVE J1016-053 and new EUV observations will help establish their class properties; in particular, EUV photometric variations in 0720-317 and 1016-053 over a period of 11 hours and 57 minutes, respectively, are indicative of surface abundance inhomogeneities coupled with the white dwarfs rotation period. These variations and their large photospheric helium abundance are best explained by a diffusion-accretion model in which time-variable accretion and possible coupling to magnetic poles contribute to abundance variations across the surface and possibly as a function of depth. EUV spectroscopy will also enable a study of the helium abundance as a function of depth and a detailed comparison with theoretical diffusion profile.

  10. Long-term ozone decline and its effect on night airglow intensity of Li ...

    Indian Academy of Sciences (India)

    effect of O3 depletion on night airglow emission of Li 6708 Е line at Varanasi and Halley ... to their ground levels, they emit radiation in the ... region can also be predicted indirectly from the ... variations in neutral wind, ionization and neutral.

  11. Prospects of DUV OoB suppression techniques in EUV lithography

    Science.gov (United States)

    Park, Chang-Min; Kim, Insung; Kim, Sang-Hyun; Kim, Dong-Wan; Hwang, Myung-Soo; Kang, Soon-Nam; Park, Cheolhong; Kim, Hyun-Woo; Yeo, Jeong-Ho; Kim, Seong-Sue

    2014-04-01

    Though scaling of source power is still the biggest challenge in EUV lithography (EUVL) technology era, CD and overlay controls for transistor's requirement are also precondition of adopting EUVL in mass production. Two kinds of contributors are identified as risks for CDU and Overlay: Infrared (IR) and deep ultraviolet (DUV) out of band (OOB) radiations from laser produced plasma (LPP) EUV source. IR from plasma generating CO2 laser that causes optics heating and wafer overlay error is well suppressed by introducing grating on collector to diffract IR off the optical axis and is the effect has been confirmed by operation of pre-production tool (NXE3100). EUV and DUV OOB which are reflected from mask black boarder (BB) are root causes of EUV-specific CD error at the boundaries of exposed shots which would result in the problem of CDU out of spec unless sufficiently suppressed. Therefore, control of DUV OOB reflection from the mask BB is one of the key technologies that must be developed prior to EUV mass production. In this paper, quantitative assessment on the advantage and the disadvantage of potential OOB solutions will be discussed. EUV and DUV OOB impacts on wafer CDs are measured from NXE3100 & NXE3300 experiments. Significant increase of DUV OOB impact on CD from NXE3300 compared with NXE3100 is observed. There are three ways of technology being developed to suppress DUV OOB: spectral purity filter (SPF) as a scanner solution, multi-layer etching as a solution on mask, and resist top-coating as a process solution. PROs and CONs of on-scanner, on-mask, and on-resist solution for the mass production of EUV lithography will be discussed.

  12. EUV and Magnetic Activities Associated with Type-I Solar Radio Bursts

    Science.gov (United States)

    Li, C. Y.; Chen, Y.; Wang, B.; Ruan, G. P.; Feng, S. W.; Du, G. H.; Kong, X. L.

    2017-06-01

    Type-I bursts ( i.e. noise storms) are the earliest-known type of solar radio emission at the meter wavelength. They are believed to be excited by non-thermal energetic electrons accelerated in the corona. The underlying dynamic process and exact emission mechanism still remain unresolved. Here, with a combined analysis of extreme ultraviolet (EUV), radio and photospheric magnetic field data of unprecedented quality recorded during a type-I storm on 30 July 2011, we identify a good correlation between the radio bursts and the co-spatial EUV and magnetic activities. The EUV activities manifest themselves as three major brightening stripes above a region adjacent to a compact sunspot, while the magnetic field there presents multiple moving magnetic features (MMFs) with persistent coalescence or cancelation and a morphologically similar three-part distribution. We find that the type-I intensities are correlated with those of the EUV emissions at various wavelengths with a correlation coefficient of 0.7 - 0.8. In addition, in the region between the brightening EUV stripes and the radio sources there appear consistent dynamic motions with a series of bi-directional flows, suggesting ongoing small-scale reconnection there. Mainly based on the induced connection between the magnetic motion at the photosphere and the EUV and radio activities in the corona, we suggest that the observed type-I noise storms and the EUV brightening activities are the consequence of small-scale magnetic reconnection driven by MMFs. This is in support of the original proposal made by Bentley et al. ( Solar Phys. 193, 227, 2000).

  13. Performance of 100-W HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-08-01

    At Gigaphoton Inc., we have developed unique and original technologies for a carbon dioxide laser-produced tin plasma extreme ultraviolet (CO2-Sn-LPP EUV) light source, which is the most promising solution for high-power high-volume manufacturing (HVM) EUV lithography at 13.5 nm. Our unique technologies include the combination of a pulsed CO2 laser with Sn droplets, the application of dual-wavelength laser pulses for Sn droplet conditioning, and subsequent EUV generation and magnetic field mitigation. Theoretical and experimental data have clearly shown the advantage of our proposed strategy. Currently, we are developing the first HVM light source, `GL200E'. This HVM light source will provide 250-W EUV power based on a 20-kW level pulsed CO2 laser. The preparation of a high average-power CO2 laser (more than 20 kW output power) has been completed in cooperation with Mitsubishi Electric Corporation. Recently, we achieved 140 W at 50 kHz and 50% duty cycle operation as well as 2 h of operation at 100 W of power level. Further improvements are ongoing. We will report the latest status and the challenge to reach stable system operation of more than 100 W at about 4% conversion efficiency with 20-μm droplets and magnetic mitigation.

  14. City of Berkeley, California Municipal Tree Resource Analysis

    Science.gov (United States)

    S.E. Maco; E.G. McPherson; J.R. Simpson; P.J. Peper; Q. Xiao

    2005-01-01

    Vibrant, renowned for its livability and cultural wealth, the city of Berkeley maintains trees as an integral component of the urban infrastructure. Research indicates that healthy trees can mitigate impacts associated with the built environment by reducing stormwater runoff, energy consumption, and air pollutants. Put simply, trees improve urban life, making Berkeley...

  15. Contrast matching of line gratings obtained with NXE3XXX and EUV- interference lithography

    Science.gov (United States)

    Tasdemir, Zuhal; Mochi, Iacopo; Olvera, Karen Garrido; Meeuwissen, Marieke; Yildirim, Oktay; Custers, Rolf; Hoefnagels, Rik; Rispens, Gijsbert; Fallica, Roberto; Vockenhuber, Michaela; Ekinci, Yasin

    2017-10-01

    Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a

  16. First mesospheric wind images using the Michelson interferometer for airglow dynamics imaging.

    Science.gov (United States)

    Langille, J A; Ward, W E; Nakamura, T

    2016-12-10

    The Michelson interferometer for airglow dynamics imaging (MIADI) is a ground-based instrument that combines an imaging capability with the Doppler Michelson interferometry in order to remotely detect motions in the mesopause region using spectrally isolated airglow emissions: the O(S1) emission at 557.73 nm and the OH (6, 2) P1 (2) at 839.918 nm. A measurement and analysis approach has been developed that allows simultaneous images of the line-of-sight Doppler wind field and irradiance field to be obtained. A working field instrument was installed and tested at a field site outside Fredericton, NB (45.96 N, 66.65 W) during the summer of 2014. Successful measurements over a 6 h period were obtained on 31 July 2014. This paper describes the MIADI measurement and analysis approach and presents the work that has been done to extract images of the line-of-sight Doppler wind field and irradiances from these observations. The imaging capability is validated by identifying the presence of large-scale and small-scale geophysical perturbations in the images.

  17. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  18. Environmental Survey preliminary report, Lawrence Berkeley Laboratory, Berkeley, California

    International Nuclear Information System (INIS)

    1988-07-01

    The purpose of this report is to present the preliminary findings made during the Environmental Survey, February 22--29, 1988, at the US Department of Energy (DOE) Lawrence Berkeley Laboratory (LBL) in Berkeley, California. The University of California operates the LBL facility for DOE. The LBL Survey is part of the larger DOE-wide Environmental Survey announced by Secretary John S. Herrington on September 18, 1985. The purpose of this effort is to identify, via ''no fault'' baseline Surveys, existing environmental problems and areas of environmental risk at DOE facilities, and to rank them on a DOE wide basis. This ranking will enable DOE to more effectively establish priorities for addressing environmental problems and allocate the resources necessary to correct them. Because the Survey is ''no fault'' and is not an ''audit,'' it is not designed to identify specific isolated incidents of noncompliance or to analyze environmental management practices. Such incidents and/or management practices will, however, be used in the Survey as a means of identifying existing and potential environmental problems. The LBL Survey was conducted by a multidisciplinary team of technical specialists headed and managed by a Team Leader and Assistant Team Leader from DOE's Office of Environmental Audit. A complete list of the LBL Survey participants and their affiliations is provided in Appendix A. 80 refs., 27 figs., 37 tabs

  19. Environmental Survey preliminary report, Lawrence Berkeley Laboratory, Berkeley, California

    Energy Technology Data Exchange (ETDEWEB)

    1988-07-01

    The purpose of this report is to present the preliminary findings made during the Environmental Survey, February 22--29, 1988, at the US Department of Energy (DOE) Lawrence Berkeley Laboratory (LBL) in Berkeley, California. The University of California operates the LBL facility for DOE. The LBL Survey is part of the larger DOE-wide Environmental Survey announced by Secretary John S. Herrington on September 18, 1985. The purpose of this effort is to identify, via no fault'' baseline Surveys, existing environmental problems and areas of environmental risk at DOE facilities, and to rank them on a DOE wide basis. This ranking will enable DOE to more effectively establish priorities for addressing environmental problems and allocate the resources necessary to correct them. Because the Survey is no fault'' and is not an audit,'' it is not designed to identify specific isolated incidents of noncompliance or to analyze environmental management practices. Such incidents and/or management practices will, however, be used in the Survey as a means of identifying existing and potential environmental problems. The LBL Survey was conducted by a multidisciplinary team of technical specialists headed and managed by a Team Leader and Assistant Team Leader from DOE's Office of Environmental Audit. A complete list of the LBL Survey participants and their affiliations is provided in Appendix A. 80 refs., 27 figs., 37 tabs.

  20. Mix-and-match considerations for EUV insertion in N7 HVM

    Science.gov (United States)

    Chen, Xuemei; Gabor, Allen; Samudrala, Pavan; Meyers, Sheldon; Hosler, Erik; Johnson, Richard; Felix, Nelson

    2017-03-01

    An optimal mix-match control strategy for EUV and 193i scanners is crucial for the insertion of EUV lithography at 7nm technology node. The systematic differences between these exposure systems introduce additional cross-platform mixmatch overlay errors. In this paper, we quantify the EUV specific contributions to mix-match overlay, and explore the effectiveness of higher-order interfield and intrafield corrections on minimizing the on-product mix-match overlay errors. We also analyze the impact of intra-field sampling plans in terms of model accuracy and adequacy in capturing EUV specific intra-field signatures. Our analysis suggests that more intra-field measurements and appropriate placement of the metrology targets within the field are required to achieve the on-product overlay control goals for N7 HVM.

  1. Integrated approach to improving local CD uniformity in EUV patterning

    Science.gov (United States)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination

  2. Plasma-based EUV light source

    Science.gov (United States)

    Shumlak, Uri; Golingo, Raymond; Nelson, Brian A.

    2010-11-02

    Various mechanisms are provided relating to plasma-based light source that may be used for lithography as well as other applications. For example, a device is disclosed for producing extreme ultraviolet (EUV) light based on a sheared plasma flow. The device can produce a plasma pinch that can last several orders of magnitude longer than what is typically sustained in a Z-pinch, thus enabling the device to provide more power output than what has been hitherto predicted in theory or attained in practice. Such power output may be used in a lithography system for manufacturing integrated circuits, enabling the use of EUV wavelengths on the order of about 13.5 nm. Lastly, the process of manufacturing such a plasma pinch is discussed, where the process includes providing a sheared flow of plasma in order to stabilize it for long periods of time.

  3. Evaluating the Impact of Open Access at Berkeley: Results from the 2015 Survey of Berkeley Research Impact Initiative (BRII) Funding Recipients

    Science.gov (United States)

    Teplitzky, Samantha; Phillips, Margaret

    2016-01-01

    The Berkeley Research Impact Initiative (BRII) was one of the first campus-based open access (OA) funds to be established in North America and one of the most active, distributing more than $244,000 to support University of California (UC) Berkeley authors. In April 2015, we conducted a qualitative study of 138 individuals who had received BRII…

  4. Relationship between resist outgassing and EUV witness sample contamination in NXE outgas qualification using electrons and EUV photons

    Science.gov (United States)

    Pollentier, I.; Tirumala Venkata, A.; Gronheid, R.

    2014-04-01

    EUV photoresists are considered as a potential source of optics contamination, since they introduce irradiation-induced outgassing in the EUV vacuum environment. Therefore, before these resists can be used on e.g. ASML NXE:3100 or NXE:3300, they need to be tested in dedicated equipment according to a well-defined procedure, which is based on exposing a witness sample (WS) in the vicinity of a simultaneously exposed resist as it outgasses. Different system infrastructures are used at multiple sites (e.g. NIST, CNSE, Sematech, EIDEC, and imec) and were calibrated to each other by a detailed test plan. Despite this detailed tool qualifications, a first round robin comparison of identical materials showed inconsistent outgas test results, and required further investigation by a second round robin. Since the resist exposure mode is different at the various locations (some sites are using EUV photons while others use E-gun electrons), this difference has always a point of concern for variability of test results. In this work we compare the outgas test results from EUV photon and electron exposure using the resist materials of the second round robin. Since the imec outgas tester allows both exposure methods on the resist, a within-system comparison is possible and showed limited variation between photon and electron exposure mode. Therefore the system-to-system variability amongst the different outgas test sites is expected to be related to other parameters than the electron/photon exposure mode. Initial work showed that the variability might be related to temperature, E-gun emission excursion, and/or residual outgassing scaled by different wafer areas at the different sites.

  5. C. Judson King of UC Berkeley

    Energy Technology Data Exchange (ETDEWEB)

    Prausnitz, John

    2005-06-01

    In the middle of the UC Berkeley campus, next to the Main Library, South Hall is the last surviving building from the original campus, founded about 135 years ago. A tiny tree-shaded appendix to this venerated classical building houses Berkeley's Center for Studies in Higher Education, directed by C. Judson King, former Provost and Senior Vice President--Academic Affairs of the ten-campus University of California and long-time Professor of Chemical Engineering at Berkeley. Jud came to Berkeley in 1963 as assistant professor of chemical engineering, following receipt of a doctor's degree from MIT and a subsequent short appointment as director of the MIT chemical engineering practice school station at what was then Esso (now Exxon) in New Jersey. His undergraduate degree is from Yale. Starting with his MIT doctoral dissertation on gas absorption, Jud has devoted much of his professional career to separation processes. His teaching and research activities have been primarily concerned with separation of mixtures with emphasis on liquid-liquid extraction and drying. As a consultant to Procter and Gamble, he contributed to the technology of making instant coffee. His life-long activities in hiking and camping stimulated Jud's interest in the manufacture of freeze-dried foods (e.g. turkey meat) to minimize the weight of his hiking back-pack. Jud is internationally known not only for his many research publications but even more, for his acclaimed textbook ''Separation Processses'' (McGraw-Hill, second edition 1980) that is used in standard chemical engineering courses in the US and abroad.

  6. Nanoimaging using soft X-ray and EUV laser-plasma sources

    Science.gov (United States)

    Wachulak, Przemyslaw; Torrisi, Alfio; Ayele, Mesfin; Bartnik, Andrzej; Czwartos, Joanna; Węgrzyński, Łukasz; Fok, Tomasz; Fiedorowicz, Henryk

    2018-01-01

    In this work we present three experimental, compact desk-top imaging systems: SXR and EUV full field microscopes and the SXR contact microscope. The systems are based on laser-plasma EUV and SXR sources based on a double stream gas puff target. The EUV and SXR full field microscopes, operating at 13.8 nm and 2.88 nm wavelengths are capable of imaging nanostructures with a sub-50 nm spatial resolution and short (seconds) exposure times. The SXR contact microscope operates in the "water-window" spectral range and produces an imprint of the internal structure of the imaged sample in a thin layer of SXR sensitive photoresist. Applications of such desk-top EUV and SXR microscopes, mostly for biological samples (CT26 fibroblast cells and Keratinocytes) are also presented. Details about the sources, the microscopes as well as the imaging results for various objects will be presented and discussed. The development of such compact imaging systems may be important to the new research related to biological, material science and nanotechnology applications.

  7. Response of the mesopause airglow to solar activity inferred from measurements at Zvenigorod, Russia

    Directory of Open Access Journals (Sweden)

    N. Pertsev

    2008-05-01

    Full Text Available Ground-based spectrographical observations of infrared emissions of the mesopause region have been made at Zvenigorod Observatory (56 N, 37 E, located near Moscow, Russia, for 670 nights of 2000–2006. The characteristics of the hydroxyl and molecular oxygen (865 nm airglow, heights of which correspond to 87 and 94 km, are analyzed for finding their response to solar activity. The measured data exhibit a response to the F10.7 solar radio flux change, which is 30%–40%/100 sfu in intensities of the emissions and about 4.5 K/100 sfu in hydroxyl temperature. Seasonal variations of the airglow response to solar activity are observed. In winter it is more significant than in summer. Mechanisms that may provide an explanation of the solar influence on intensities of the emissions and temperature are considered. Radiative processes not involving atmospheric dynamics appear insufficient to explain the observed effect.

  8. Response of the mesopause airglow to solar activity inferred from measurements at Zvenigorod, Russia

    Directory of Open Access Journals (Sweden)

    N. Pertsev

    2008-05-01

    Full Text Available Ground-based spectrographical observations of infrared emissions of the mesopause region have been made at Zvenigorod Observatory (56 N, 37 E, located near Moscow, Russia, for 670 nights of 2000–2006. The characteristics of the hydroxyl and molecular oxygen (865 nm airglow, heights of which correspond to 87 and 94 km, are analyzed for finding their response to solar activity. The measured data exhibit a response to the F10.7 solar radio flux change, which is 30%–40%/100 sfu in intensities of the emissions and about 4.5 K/100 sfu in hydroxyl temperature. Seasonal variations of the airglow response to solar activity are observed. In winter it is more significant than in summer. Mechanisms that may provide an explanation of the solar influence on intensities of the emissions and temperature are considered. Radiative processes not involving atmospheric dynamics appear insufficient to explain the observed effect.

  9. Atomic hydrogen cleaning of EUV multilayer optics

    Science.gov (United States)

    Graham, Samuel, Jr.; Steinhaus, Charles A.; Clift, W. Miles; Klebanoff, Leonard E.; Bajt, Sasa

    2003-06-01

    Recent studies have been conducted to investigate the use of atomic hydrogen as an in-situ contamination removal method for EUV optics. In these experiments, a commercial source was used to produce atomic hydrogen by thermal dissociation of molecular hydrogen using a hot filament. Samples for these experiments consisted of silicon wafers coated with sputtered carbon, Mo/Si optics with EUV-induced carbon, and bare Si-capped and Ru-B4C-capped Mo/Si optics. Samples were exposed to an atomic hydrogen source at a distance of 200 - 500 mm downstream and angles between 0-90° with respect to the source. Carbon removal rates and optic oxidation rates were measured using Auger electron spectroscopy depth profiling. In addition, at-wavelength peak reflectance (13.4 nm) was measured using the EUV reflectometer at the Advanced Light Source. Data from these experiments show carbon removal rates up to 20 Å/hr for sputtered carbon and 40 Å/hr for EUV deposited carbon at a distance of 200 mm downstream. The cleaning rate was also observed to be a strong function of distance and angular position. Experiments have also shown that the carbon etch rate can be increased by a factor of 4 by channeling atomic hydrogen through quartz tubes in order to direct the atomic hydrogen to the optic surface. Atomic hydrogen exposures of bare optic samples show a small risk in reflectivity degradation after extended periods. Extended exposures (up to 20 hours) of bare Si-capped Mo/Si optics show a 1.2% loss (absolute) in reflectivity while the Ru-B4C-capped Mo/Si optics show a loss on the order of 0.5%. In order to investigate the source of this reflectivity degradation, optic samples were exposed to atomic deuterium and analyzed using low energy ion scattering direct recoil spectroscopy to determine any reactions of the hydrogen with the multilayer stack. Overall, the results show that the risk of over-etching with atomic hydrogen is much less than previous studies using RF discharge cleaning

  10. FIRST SIMULTANEOUS OBSERVATION OF AN H{alpha} MORETON WAVE, EUV WAVE, AND FILAMENT/PROMINENCE OSCILLATIONS

    Energy Technology Data Exchange (ETDEWEB)

    Asai, Ayumi; Isobe, Hiroaki [Unit of Synergetic Studies for Space, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Ishii, Takako T.; Kitai, Reizaburo; Ichimoto, Kiyoshi; UeNo, Satoru; Nagata, Shin' ichi; Morita, Satoshi; Nishida, Keisuke; Shibata, Kazunari [Kwasan and Hida Observatories, Kyoto University, Yamashina, Kyoto 607-8471 (Japan); Shiota, Daikou [Advanced Science Institute, RIKEN, Wako, Saitama 351-0198 (Japan); Oi, Akihito [College of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Akioka, Maki, E-mail: asai@kwasan.kyoto-u.ac.jp [Hiraiso Solar Observatory, National Institute of Information and Communications Technology, Hitachinaka, Ibaraki 311-1202 (Japan)

    2012-02-15

    We report on the first simultaneous observation of an H{alpha} Moreton wave, the corresponding EUV fast coronal waves, and a slow and bright EUV wave (typical EIT wave). We observed a Moreton wave, associated with an X6.9 flare that occurred on 2011 August 9 at the active region NOAA 11263, in the H{alpha} images taken by the Solar Magnetic Activity Research Telescope at Hida Observatory of Kyoto University. In the EUV images obtained by the Atmospheric Imaging Assembly on board the Solar Dynamic Observatory we found not only the corresponding EUV fast 'bright' coronal wave, but also the EUV fast 'faint' wave that is not associated with the H{alpha} Moreton wave. We also found a slow EUV wave, which corresponds to a typical EIT wave. Furthermore, we observed, for the first time, the oscillations of a prominence and a filament, simultaneously, both in the H{alpha} and EUV images. To trigger the oscillations by the flare-associated coronal disturbance, we expect a coronal wave as fast as the fast-mode MHD wave with the velocity of about 570-800 km s{sup -1}. These velocities are consistent with those of the observed Moreton wave and the EUV fast coronal wave.

  11. Well-defined EUV wave associated with a CME-driven shock

    Science.gov (United States)

    Cunha-Silva, R. D.; Selhorst, C. L.; Fernandes, F. C. R.; Oliveira e Silva, A. J.

    2018-05-01

    Aims: We report on a well-defined EUV wave observed by the Extreme Ultraviolet Imager (EUVI) on board the Solar Terrestrial Relations Observatory (STEREO) and the Atmospheric Imaging Assembly (AIA) on board the Solar Dynamics Observatory (SDO). The event was accompanied by a shock wave driven by a halo CME observed by the Large Angle and Spectrometric Coronagraph (LASCO-C2/C3) on board the Solar and Heliospheric Observatory (SOHO), as evidenced by the occurrence of type II bursts in the metric and dekameter-hectometric wavelength ranges. We investigated the kinematics of the EUV wave front and the radio source with the purpose of verifying the association between the EUV wave and the shock wave. Methods: The EUV wave fronts were determined from the SDO/AIA images by means of two appropriate directions (slices). The heights (radial propagation) of the EUV wave observed by STEREO/EUVI and of the radio source associated with the shock wave were compared considering the whole bandwidth of the harmonic lane of the radio emission, whereas the speed of the shock was estimated using the lowest frequencies of the harmonic lane associated with the undisturbed corona, using an appropriate multiple of the Newkirk (1961, ApJ, 133, 983) density model and taking into account the H/F frequency ratio fH/fF = 2. The speed of the radio source associated with the interplanetary shock was determined using the Mann et al. (1999, A&A, 348, 614) density model. Results: The EUV wave fronts determined from the SDO/AIA images revealed the coexistence of two types of EUV waves, a fast one with a speed of 560 km s-1, and a slower one with a speed of 250 km s-1, which corresponds approximately to one-third of the average speed of the radio source ( 680 km s-1). The radio signature of the interplanetary shock revealed an almost constant speed of 930 km s-1, consistent with the linear speed of the halo CME (950 km s-1) and with the values found for the accelerating coronal shock ( 535-823 km s-1

  12. EUV-angle resolved scatter (EUV-ARS): a new tool for the characterization of nanometre structures

    Science.gov (United States)

    Fernández Herrero, Analía.; Mentzel, Heiko; Soltwisch, Victor; Jaroslawzew, Sina; Laubis, Christian; Scholze, Frank

    2018-03-01

    The advance of the semiconductor industry requires new metrology methods, which can deal with smaller and more complex nanostructures. Particularly for inline metrology a rapid, sensitive and non destructive method is needed. Small angle X-ray scattering under grazing incidence has already been investigated for this application and delivers significant statistical information which tracks the profile parameters as well as their variations, i.e. roughness. However, it suffers from the elongated footprint at the sample. The advantage of EUV radiation, with its longer wavelengths, is that larger incidence angles can be used, resulting in a significant reduction of the beam footprint. Targets with field sizes of 100 μm and smaller are accessible with our experimental set-up. We present a new experimental tool for the measurement of small structures based on the capabilities of soft X-ray and EUV scatterometry at the PTB soft X-ray beamline at the electron storage ring BESSY II. PTB's soft X-ray radiometry beamline uses a plane grating monochromator, which covers the spectral range from 0.7 nm to 25 nm and was especially designed to provide highly collimated radiation. An area detector covers the scattered radiation from a grazing exit angle up to an angle of 30° above the sample horizon and the fluorescence emission can be detected with an energy dispersive X-ray silicon drift detector. In addition, the sample can be rotated and linearly moved in vacuum. This new set-up will be used to explore the capabilities of EUV-scatterometry for the characterization of nanometre-sized structures.

  13. EUV sources for the alpha-tools

    Science.gov (United States)

    Pankert, Joseph; Apetz, Rolf; Bergmann, Klaus; Damen, Marcel; Derra, Günther; Franken, Oliver; Janssen, Maurice; Jonkers, Jeroen; Klein, Jürgen; Kraus, Helmar; Krücken, Thomas; List, Andreas; Loeken, Micheal; Mader, Arnaud; Metzmacher, Christof; Neff, Willi; Probst, Sven; Prümmer, Ralph; Rosier, Oliver; Schwabe, Stefan; Seiwert, Stefan; Siemons, Guido; Vaudrevange, Dominik; Wagemann, Dirk; Weber, Achim; Zink, Peter; Zitzen, Oliver

    2006-03-01

    In this paper, we report on the recent progress of the Philips Extreme UV source. The Philips source concept is based on a discharge plasma ignited in a Sn vapor plume that is ablated by a laser pulse. Using rotating electrodes covered with a regenerating tin surface, the problems of electrode erosion and power scaling are fundamentally solved. Most of the work of the past year has been dedicated to develop a lamp system which is operating very reliably and stable under full scanner remote control. Topics addressed were the development of the scanner interface, a dose control system, thermo-mechanical design, positional stability of the source, tin handling, and many more. The resulting EUV source-the Philips NovaTin(R) source-can operate at more than 10kW electrical input power and delivers 200W in-band EUV into 2π continuously. The source is very small, so nearly 100% of the EUV radiation can be collected within etendue limits. The lamp system is fully automated and can operate unattended under full scanner remote control. 500 Million shots of continuous operation without interruption have been realized, electrode lifetime is at least 2 Billion shots. Three sources are currently being prepared, two of them will be integrated into the first EUV Alpha Demonstration tools of ASML. The debris problem was reduced to a level which is well acceptable for scanner operation. First, a considerable reduction of the Sn emission of the source has been realized. The debris mitigation system is based on a two-step concept using a foil trap based stage and a chemical cleaning stage. Both steps were improved considerably. A collector lifetime of 1 Billion shots is achieved, after this operating time a cleaning would be applied. The cleaning step has been verified to work with tolerable Sn residues. From the experimental results, a total collector lifetime of more than 10 Billion shots can be expected.

  14. EB and EUV lithography using inedible cellulose-based biomass resist material

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  15. Berkeley Lab Laser Accelerator (BELLA) facility

    Data.gov (United States)

    Federal Laboratory Consortium — The Berkeley Lab Laser Accelerator (BELLA) facility (formerly LOASIS) develops advanced accelerators and radiation sources. High gradient (1-100 GV/m) laser-plasma...

  16. PROJECTION EFFECTS IN CORONAL DIMMINGS AND ASSOCIATED EUV WAVE EVENT

    Energy Technology Data Exchange (ETDEWEB)

    Dissauer, K.; Temmer, M.; Veronig, A. M.; Vanninathan, K. [IGAM/Institute of Physics, University of Graz, Universitätsplatz 5/II, A-8010 Graz (Austria); Magdalenić, J., E-mail: karin.dissauer@uni-graz.at [Solar-Terrestrial Center of Excellence-SIDC, Royal Observatory of Belgium, Av. Circulaire 3, B-1180 Brussels (Belgium)

    2016-10-20

    We investigate the high-speed ( v > 1000 km s{sup −1}) extreme-ultraviolet (EUV) wave associated with an X1.2 flare and coronal mass ejection (CME) from NOAA active region 11283 on 2011 September 6 (SOL2011-09-06T22:12). This EUV wave features peculiar on-disk signatures; in particular, we observe an intermittent “disappearance” of the front for 120 s in Solar Dynamics Observatory ( SDO )/AIA 171, 193, 211 Å data, whereas the 335 Å filter, sensitive to hotter plasmas ( T ∼ 2.5 MK), shows a continuous evolution of the wave front. The eruption was also accompanied by localized coronal dimming regions. We exploit the multi-point quadrature position of SDO and STEREO-A , to make a thorough analysis of the EUV wave evolution, with respect to its kinematics and amplitude evolution and reconstruct the SDO line-of-sight (LOS) direction of the identified coronal dimming regions in STEREO-A . We show that the observed intensities of the dimming regions in SDO /AIA depend on the structures that are lying along their LOS and are the combination of their individual intensities, e.g., the expanding CME body, the enhanced EUV wave, and the CME front. In this context, we conclude that the intermittent disappearance of the EUV wave in the AIA 171, 193, and 211 Å filters, which are channels sensitive to plasma with temperatures below ∼2 MK is also caused by such LOS integration effects. These observations clearly demonstrate that single-view image data provide us with limited insight to correctly interpret coronal features.

  17. Long-term ozone decline and its effect on night airglow intensity of Li ...

    Indian Academy of Sciences (India)

    A critical analysis has been made on the long-term yearly and seasonal variations of ozone concentration at Varanasi (25°N, 83°E), India and Halley Bay (76°S, 27°W), a British Antarctic Service Station. The effect of O3 depletion on night airglow emission of Li 6708 Å line at Varanasi and Halley Bay has been studied.

  18. THE YOUNG OPEN CLUSTER BERKELEY 55

    Energy Technology Data Exchange (ETDEWEB)

    Negueruela, Ignacio; Marco, Amparo, E-mail: ignacio.negueruela@ua.es, E-mail: amparo.marco@ua.es [Departamento de Fisica, Ingenieria de Sistemas y Teoria de la Senal, Universidad de Alicante, Apdo. 99, E-03080 Alicante (Spain)

    2012-02-15

    We present UBV photometry of the highly reddened and poorly studied open cluster Berkeley 55, revealing an important population of B-type stars and several evolved stars of high luminosity. Intermediate-resolution far-red spectra of several candidate members confirm the presence of one F-type supergiant and six late supergiants or bright giants. The brightest blue stars are mid-B giants. Spectroscopic and photometric analyses indicate an age 50 {+-} 10 Myr. The cluster is located at a distance d Almost-Equal-To 4 kpc, consistent with other tracers of the Perseus Arm in this direction. Berkeley 55 is thus a moderately young open cluster with a sizable population of candidate red (super)giant members, which can provide valuable information about the evolution of intermediate-mass stars.

  19. BERKELEY/STANFORD: B factory plans

    International Nuclear Information System (INIS)

    Anon.

    1991-01-01

    For the past several years, accelerator physicists at Lawrence Berkeley Laboratory (LBL) and the Stanford Linear Accelerator Center (SLAC) have been involved in the design of an Asymmetric B Factory to be sited in the tunnel of the PEP electron-positron collider at SLAC

  20. High performance EUV multilayer structures insensitive to capping layer optical parameters.

    Science.gov (United States)

    Pelizzo, Maria Guglielmina; Suman, Michele; Monaco, Gianni; Nicolosi, Piergiorgio; Windt, David L

    2008-09-15

    We have designed and tested a-periodic multilayer structures containing protective capping layers in order to obtain improved stability with respect to any possible changes of the capping layer optical properties (due to oxidation and contamination, for example)-while simultaneously maximizing the EUV reflection efficiency for specific applications, and in particular for EUV lithography. Such coatings may be particularly useful in EUV lithographic apparatus, because they provide both high integrated photon flux and higher stability to the harsh operating environment, which can affect seriously the performance of the multilayer-coated projector system optics. In this work, an evolutive algorithm has been developed in order to design these a-periodic structures, which have been proven to have also the property of stable performance with respect to random layer thickness errors that might occur during coating deposition. Prototypes have been fabricated, and tested with EUV and X-ray reflectometry, and secondary electron spectroscopy. The experimental results clearly show improved performance of our new a-periodic coatings design compared with standard periodic multilayer structures.

  1. MANGO Imager Network Observations of Geomagnetic Storm Impact on Midlatitude 630 nm Airglow Emissions

    Science.gov (United States)

    Kendall, E. A.; Bhatt, A.

    2017-12-01

    The Midlatitude Allsky-imaging Network for GeoSpace Observations (MANGO) is a network of imagers filtered at 630 nm spread across the continental United States. MANGO is used to image large-scale airglow and aurora features and observes the generation, propagation, and dissipation of medium and large-scale wave activity in the subauroral, mid and low-latitude thermosphere. This network consists of seven all-sky imagers providing continuous coverage over the United States and extending south into Mexico. This network sees high levels of medium and large scale wave activity due to both neutral and geomagnetic storm forcing. The geomagnetic storm observations largely fall into two categories: Stable Auroral Red (SAR) arcs and Large-scale traveling ionospheric disturbances (LSTIDs). In addition, less-often observed effects include anomalous airglow brightening, bright swirls, and frozen-in traveling structures. We will present an analysis of multiple events observed over four years of MANGO network operation. We will provide both statistics on the cumulative observations and a case study of the "Memorial Day Storm" on May 27, 2017.

  2. Characterization of EUV induced carbon films using laser-generated surface acoustic waves

    NARCIS (Netherlands)

    Chen, Juequan; Lee, Christopher James; Louis, Eric; Bijkerk, Frederik; Kunze, Reinhard; Schmidt, Hagen; Schneider, Dieter; Moors, Roel

    2009-01-01

    The deposition of carbon layers on the surfaces of optics exposed to extreme ultraviolet (EUV) radiation has been observed in EUV lithography. It has become of critical importance to detect the presence of the carbon layer in the order of nanometer thickness due to carbon's extremely strong

  3. EUV lithography for 22nm half pitch and beyond: exploring resolution, LWR, and sensitivity tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Leeson, Michael; Caudillo, Roman; Bacuita, Terence; Shah, Uday; Chandhok, Manish

    2011-04-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 22nm half pitch node and beyond. According to recent assessments made at the 2010 EUVL Symposium, the readiness of EUV materials remains one of the top risk items for EUV adoption. The main development issue regarding EUV resists has been how to simultaneously achieve high resolution, high sensitivity, and low line width roughness (LWR). This paper describes our strategy, the current status of EUV materials, and the integrated post-development LWR reduction efforts made at Intel Corporation. Data collected utilizing Intel's Micro- Exposure Tool (MET) is presented in order to examine the feasibility of establishing a resist process that simultaneously exhibits <=22nm half-pitch (HP) L/S resolution at <=11.3mJ/cm2 with <=3nm LWR.

  4. High-Resolution EUV Spectroscopy of White Dwarfs

    Science.gov (United States)

    Kowalski, Michael P.; Wood, K. S.; Barstow, M. A.

    2014-01-01

    We compare results of high-resolution EUV spectroscopic measurements of the isolated white dwarf G191-B2B and the binary system Feige 24 obtained with the J-PEX (Joint Plasmadynamic Experiment), which was sponsored jointly by the U.S. Naval Research Laboratory and NASA. J-PEX delivers the world's highest resolution in EUV and does so at high effective area (e.g., more effective area in a sounding rocket than is available with Chandra at adjacent energies, but in a waveband Chandra cannot reach). The capability J-PEX represents is applicable to the astrophysics of hot plasmas in stellar coronae, white dwarfs and the ISM. G191-B2B and Feige 24 are quite distinct hot white dwarf systems having in common that they are bright in the portion of the EUV where He emission features and edges occur, hence they can be exploited to probe both the stellar atmosphere and the ISM, separating those components by model-fitting that sums over all relevant (He) spectral features in the band. There is evidence from these fits that atmospheric He is being detected but the result is more conservatively cast as a pair of upper limits. We discuss how longer duration satellite observations with the same instrumentation could increase exposure to detect atmospheric He in these and other nearby hot white dwarfs.

  5. Mask characterization for CDU budget breakdown in advanced EUV lithography

    Science.gov (United States)

    Nikolsky, Peter; Strolenberg, Chris; Nielsen, Rasmus; Nooitgedacht, Tjitte; Davydova, Natalia; Yang, Greg; Lee, Shawn; Park, Chang-Min; Kim, Insung; Yeo, Jeong-Ho

    2012-11-01

    As the ITRS Critical Dimension Uniformity (CDU) specification shrinks, semiconductor companies need to maintain a high yield of good wafers per day and a high performance (and hence market value) of finished products. This cannot be achieved without continuous analysis and improvement of on-product CDU as one of the main drivers for process control and optimization with better understanding of main contributors from the litho cluster: mask, process, metrology and scanner. In this paper we will demonstrate a study of mask CDU characterization and its impact on CDU Budget Breakdown (CDU BB) performed for an advanced EUV lithography with 1D and 2D feature cases. We will show that this CDU contributor is one of the main differentiators between well-known ArFi and new EUV CDU budgeting principles. We found that reticle contribution to intrafield CDU should be characterized in a specific way: mask absorber thickness fingerprints play a role comparable with reticle CDU in the total reticle part of the CDU budget. Wafer CD fingerprints, introduced by this contributor, may or may not compensate variations of mask CD's and hence influence on total mask impact on intrafield CDU at the wafer level. This will be shown on 1D and 2D feature examples in this paper. Also mask stack reflectivity variations should be taken into account: these fingerprints have visible impact on intrafield CDs at the wafer level and should be considered as another contributor to the reticle part of EUV CDU budget. We observed also MEEF-through-field fingerprints in the studied EUV cases. Variations of MEEF may also play a role for the total intrafield CDU and may be taken into account for EUV Lithography. We characterized MEEF-through-field for the reviewed features, the results to be discussed in our paper, but further analysis of this phenomenon is required. This comprehensive approach to characterization of the mask part of EUV CDU characterization delivers an accurate and integral CDU Budget

  6. Negative-tone imaging with EUV exposure toward 13nm hp

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Yamamoto, Kei; Goto, Takahiro

    2016-03-01

    Negative-tone imaging (NTI) with EUV exposure has major advantages with respect to line-width roughness (LWR) and resolution due in part to polymer swelling and favorable dissolution mechanics. In NTI process, both resist and organic solvents play important roles in determining lithography performances. The present study describes novel chemically amplified resist materials based on NTI technology with EUV using a specific organic solvents. Lithographic performances of NTI process were described in this paper under exposures using ASML NXE:3300 EUV scanner at imec. It is emphasized that 14 nm hp was nicely resolved under exposure dose of 37 mJ/cm2 without any bridge and collapse, which are attributed to the low swelling character of NTI process. Although 13 nm hp resolution was potentially obtained, a pattern collapse still restricts its resolution in case coating resist film thickness is 40 nm. Dark mask limitation due mainly to mask defectivity issue makes NTI with EUV favorable approach for printing block mask to produce logic circuit. A good resolution of CD-X 21 nm/CD-Y 32 nm was obtained for block mask pattern using NTI with usable process window and dose of 49 mJ/cm2. Minimum resolution now reaches CD-X 17 nm / CD-Y 23 nm for the block. A 21 nm block mask resolution was not affected by exposure dose and explored toward low dose down to 18 mJ/cm2 by reducing quencher loading. In addition, there was a negligible amount of increase in LCDU for isolated dot pattern when decreasing exposure dose from 66 mJ/cm2 to 24 mJ/cm2. On the other hand, there appeared tradeoff relationship between LCDU and dose for dense dot pattern, indicating photon-shot noise restriction, but strong dependency on patterning features. Design to improve acid generation efficiency was described based on acid generation mechanism in traditional chemically amplified materials which contains photo-acid generator (PAG) and polymer. Conventional EUV absorber comprises of organic compounds is

  7. Physical processes in EUV sources for microlithography

    International Nuclear Information System (INIS)

    Banine, V Y; Swinkels, G H P M; Koshelev, K N

    2011-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil very high demands both technical and cost oriented. The EUVL tool operates at a wavelength of 13.5 nm, which requires the following new developments. - The light production mechanism changes from conventional lamps and lasers to relatively high-temperature emitting plasmas. - The light transport, mainly refractive for deep ultraviolet (DUV), should be reflective for EUV. - The source specifications as derived from the customer requirements on wafer throughput mean that the output EUV source power has to be hundreds of watts. This in its turn means that tens to hundreds of kilowatts of dissipated power has to be managed in a relatively small volume. - In order to keep lithography costs as low as possible, the lifetime of the components should be as long as possible and at least of the order of thousands of hours. This poses a challenge for the sources, namely how to design and manufacture components robust enough to withstand the intense environment of high heat dissipation, flows of several keV ions as well as the atomic and particular debris within the source vessel. - As with all lithography tools, the imaging requirements demand a narrow illumination bandwidth. Absorption of materials at EUV wavelengths is extreme with extinguishing lengths of the order of tens of nanometres, so the balance between high transmission and spectral purity requires careful engineering. All together, EUV lithography sources present technological challenges in various fields of physics such as plasma, optics and material science. These challenges are being tackled by the source manufacturers and investigated extensively in the research facilities around the world. An overview of the published results on the topic as well as the analyses of the physical processes behind the proposed solutions will be presented in this paper. (topical review)

  8. Ozone decline and its effect on night airglow intensity of Na 5893°A ...

    Indian Academy of Sciences (India)

    Home; Journals; Journal of Earth System Science; Volume 115; Issue 5. Ozone decline and its effect on night airglow intensity of Na 5893°A at Dumdum (22.5°N, 88.5° E) and Halley Bay (76°S, 27°W). P K Jana S C Nandi. Volume 115 Issue 5 October 2006 pp 607-613 ...

  9. George Berkeley e a tradição platônica

    Directory of Open Access Journals (Sweden)

    Costica Bradatan

    2009-12-01

    Full Text Available Existe já uma grande quantidade de literatura dedicada à presença na filosofia inicial de Berkeley de alguns assuntos tipicamente platônicos (arquétipos, o problema da mente de Deus, a relação entre ideias e coisas, etc.. Baseados em alguns desses escritos, nas próprias palavras de Berkeley, assim como no exame de alguns elementos da tradição platônica num amplo sentido, sugiro que, longe de serem apenas tópicos isolados, livremente espalhados nos primeiros escritos de Berkeley, eles formam uma perfeita rede de aspectos, atitudes e modos de pensar platônicos, e que, por mais alusivos ou ambíguos que esses elementos platônicos possam parecer, eles constituem um todo coerente e complexo, desempenhando um papel importante na formação da própria essência do pensamento de Berkeley. Em outras palavras, sugiro que, dadas algumas das ideias apresentadas em suas primeiras obras, foi de certo modo inevitável para George Berkeley, em virtude da lógica interna do desenvolvimento de seu pensamento, chegar a uma obra tão abertamente platônica e especulativa como Siris (1744.

  10. Lawrence Berkeley National Laboratory 2015 Annual Financial Report

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Kim, P

    2017-08-11

    FY2015 financial results reflect a year of significant scientific, operational and financial achievement for Lawrence Berkeley National Laboratory. Complementing many scientific accomplishments, Berkeley Lab completed construction of four new research facilities: the General Purpose Laboratory, Chu Hall, Wang Hall and the Flexlab Building Efficiency Testbed. These state-of-the-art facilities allow for program growth and enhanced collaboration, in part by enabling programs to return to the Lab’s Hill Campus from offsite locations. Detailed planning began for the new Integrative Genomics Building (IGB) that will house another major program currently located offsite. Existing site infrastructure was another key focus area. The Lab prioritized and increased investments in deferred maintenance in alignment with the Berkeley Lab Infrastructure Plan, which was developed under the leadership of the DOE Office of Science. With the expiration of American Recovery and Reinvestment Act (ARRA) funds, we completed the close-out of all of our 134 ARRA projects, recording total costs of $331M over the FY2009-2015 period. Download the report to read more.

  11. TESIS experiment on EUV imaging spectroscopy of the Sun

    Science.gov (United States)

    Kuzin, S. V.; Bogachev, S. A.; Zhitnik, I. A.; Pertsov, A. A.; Ignatiev, A. P.; Mitrofanov, A. M.; Slemzin, V. A.; Shestov, S. V.; Sukhodrev, N. K.; Bugaenko, O. I.

    2009-03-01

    TESIS is a set of solar imaging instruments in development by the Lebedev Physical Institute of the Russian Academy of Science, to be launched aboard the Russian spacecraft CORONAS-PHOTON in December 2008. The main goal of TESIS is to provide complex observations of solar active phenomena from the transition region to the inner and outer solar corona with high spatial, spectral and temporal resolution in the EUV and Soft X-ray spectral bands. TESIS includes five unique space instruments: the MgXII Imaging Spectroheliometer (MISH) with spherical bent crystal mirror, for observations of the Sun in the monochromatic MgXII 8.42 Å line; the EUV Spectoheliometer (EUSH) with grazing incidence difraction grating, for the registration of the full solar disc in monochromatic lines of the spectral band 280-330 Å; two Full-disk EUV Telescopes (FET) with multilayer mirrors covering the band 130-136 and 290-320 Å; and the Solar EUV Coronagraph (SEC), based on the Ritchey-Chretien scheme, to observe the inner and outer solar corona from 0.2 to 4 solar radii in spectral band 290-320 Å. TESIS experiment will start at the rising phase of the 24th cycle of solar activity. With the advanced capabilities of its instruments, TESIS will help better understand the physics of solar flares and high-energy phenomena and provide new data on parameters of solar plasma in the temperature range 10-10K. This paper gives a brief description of the experiment, its equipment, and its scientific objectives.

  12. EUV spectrum of highly charged tungsten ions in electron beam ion trap

    International Nuclear Information System (INIS)

    Sakaue, H.A.; Kato, D.; Murakami, I.; Nakamura, N.

    2016-01-01

    We present spectra of highly charged tungsten ions in the extreme ultra-violet (EUV) by using electron beam ion traps. The electron energy dependence of spectra was investigated for electron energy from 540 to 1370 eV. Previously unreported lines were presented in the EUV range, and comparing the wavelengths with theoretical calculations identified them. (author)

  13. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  14. Studies of EUV contamination mitigation

    Science.gov (United States)

    Graham, Samual, Jr.; Malinowski, Michael E.; Steinhaus, Chip; Grunow, Philip A.; Klebanoff, Leonard E.

    2002-07-01

    Carbon contamination removal was investigated using remote RF-O2, RF-H2, and atomic hydrogen experiments. Samples consisted of silicon wafers coated with 100 Angstrom sputtered carbon, as well as bare Si-capped Mo/Si optics. Samples were exposed to atomic hydrogen or RF plasma discharges at 100 W, 200 W, and 300 W. Carbon removal rate, optic oxidation rate, at-wavelength (13.4 nm) peak reflectance, and optic surface roughness were characterized. Data show that RF- O2 removes carbon at a rate approximately 6 times faster RF- H2 for a given discharge power. However, both cleaning techniques induce Mo/Si optic degradation through the loss of reflectivity associated with surface oxide growth for RF-O2 and an unknown mechanism with hydrogen cleaning. Atomic hydrogen cleaning shows carbon removal rates sufficient for use as an in-situ cleaning strategy for EUVoptics with less risk of optic degradation from overexposures than RF-discharge cleaning. While hydrogen cleaning (RF and atomic) of EUV optics has proven effective in carbon removal, attempts to dissociate hydrogen in co-exposures with EUV radiation have resulted in no detectable removal of carbon contamination.

  15. Highly Stable, Large Format EUV Imager, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Higher detection efficiency and better radiation tolerance imagers are needed for the next generation of EUV instruments. Previously, CCD technology has demonstrated...

  16. A New Relationship Between Soft X-Rays and EUV Flare Light Curves

    Science.gov (United States)

    Thiemann, Edward

    2016-05-01

    Solar flares are the result of magnetic reconnection in the solar corona which converts magnetic energy into kinetic energy resulting in the rapid heating of solar plasma. As this plasma cools, it emits radiation at different EUV wavelengths when the dropping temperature passes a line’s temperature of formation. This results in a delay in the emissions from cooler EUV lines relative to hotter EUV lines. Therefore, characterizing how this hot plasma cools is important for understanding how the corresponding geo-effective extreme ultraviolet (EUV) irradiance evolves in time. I present a simple new framework in which to study flare cooling by using a Lumped Element Thermal Model (LETM). LETM is frequently used in science and engineering to simplify a complex multi-dimensional thermal system by reducing it to a 0-D thermal circuit. For example, a structure that conducts heat out of a system is simplified with a resistive element and a structure that allows a system to store heat is simplified with a capacitive element. A major advantage of LETM is that the specific geometry of a system can be ignored, allowing for an intuitive analysis of the major thermal processes. I show that LETM is able to accurately reproduce the temporal evolution of cooler flare emission lines based on hotter emission line evolution. In particular, it can be used to predict the evolution of EUV flare light curves using the NOAA X-Ray Sensor (XRS).

  17. EUV-VUV photochemistry in the upper atmospheres of Titan and the early Earth

    Science.gov (United States)

    Imanaka, H.; Smith, M. A.

    2010-12-01

    Titan, the organic-rich moon of Saturn, possesses a thick atmosphere of nitrogen, globally covered with organic haze layers. The recent Cassini’s INMS and CAPS observations clearly demonstrate the importance of complex organic chemistry in the ionosphere. EUV photon radiation is the major driving energy source there. Our previous laboratory study of the EUV-VUV photolysis of N2/CH4 gas mixtures demonstrates a unique role of nitrogen photoionization in the catalytic formation of complex hydrocarbons in Titan’s upper atmosphere (Imanaka and Smith, 2007, 2009). Such EUV photochemistry could also have played important roles in the formation of complex organic molecules in the ionosphere of the early Earth. It has been suggested that the early Earth atmosphere may have contained significant amount of reduced species (CH4, H2, and CO) (Kasting, 1990, Pavlov et al., 2001, Tian et al., 2005). Recent experimental study, using photon radiation at wavelengths longer than 110 nm, demonstrates that photochemical organic haze could have been generated from N2/CO2 atmospheres with trace amounts of CH4 or H2 (Trainer et al., 2006, Dewitt et al., 2009). However, possible EUV photochemical processes in the ionosphere are not well understood. We have investigated the effect of CO2 in the possible EUV photochemical processes in simulated reduced early Earth atmospheres. The EUV-VUV photochemistry using wavelength-tunable synchrotron light between 50 - 150 nm was investigated for gas mixtures of 13CO2/CH4 (= 96.7/3.3) and N2/13CO2/CH4 (= 90/6.7/3.3). The onsets of unsaturated hydrocarbon formation were observed at wavelengths shorter than the ionization potentials of CO2 and N2, respectively. This correlation indicates that CO2 can play a similar catalytic role to N2 in the formation of heavy organic species, which implies that EUV photochemistry might have significant impact on the photochemical generation of organic haze layers in the upper atmosphere of the early Earth.

  18. Political-social reactor problems at Berkeley

    International Nuclear Information System (INIS)

    Little, G.A.

    1980-01-01

    For better than ten years there was little public notice of the TRIGA reactor at UC-Berkeley. Then: a) A non-student persuaded the Student and Senate to pass a resolution to request Campus Administration to stop operation of the reactor and remove it from campus. b) Presence of the reactor became a campaign-issue in a City Mayoral election. c) Two local residents reported adverse physical reactions before, during, and after a routine tour of the reactor facility. d) The Berkeley City Council began a study of problems associated with radioactive material within the city. e) Friends Of The Earth formally petitioned the NRC to terminate the reactor's license. Campus personnel have expended many man-hours and many pounds of paper in responding to these happenings. Some of the details are of interest, and may be of use to other reactor facilities. (author)

  19. The EUV Helium Spectrum in the Quiet Sun: A By-Product of Coronal Emission?

    Science.gov (United States)

    Andretta, Vincenzo; DelZanna, Giulio; Jordan, Stuart D.; Oegerle, William (Technical Monitor)

    2002-01-01

    In this paper we test one of the mechanisms proposed to explain the intensities and other observed properties of the solar helium spectrum, and in particular of its Extreme-Ultraviolet (EUV) resonance lines. The so-called Photoionisation-Recombination (P-R) mechanism involves photoionisation of helium atoms and ions by EUV coronal radiation, followed by recombination cascades. We present calibrated measurements of EUV flux obtained with the two CDS spectrometers on board SOHO, in quiescent solar regions. We were able to obtain an essentially complete estimate of the total photoionizing flux in the wavelength range below 504 A (the photoionisation threshold for He(I)), as well as simultaneous measurements with the same instruments of the intensities of the strongest EUV helium lines: He(II) lambda304, He(I) lambda584, and He(I) lambda537. We find that there are not enough EUV photons to account for the observed helium line intensities. More specifically, we conclude that He(II) intensities cannot be explained by the P-R mechanism. Our results, however, leave open the possibility that the He(I) spectrum could be formed by the P-R mechanism, with the He(II) lambda304 line as a significant photoionizating source.

  20. EPE fundamentals and impact of EUV: Will traditional design-rule calculations work in the era of EUV?

    Science.gov (United States)

    Gabor, Allen H.; Brendler, Andrew C.; Brunner, Timothy A.; Chen, Xuemei; Culp, James A.; Levinson, Harry J.

    2018-03-01

    The relationship between edge placement error, semiconductor design-rule determination and predicted yield in the era of EUV lithography is examined. This paper starts with the basics of edge placement error and then builds up to design-rule calculations. We show that edge placement error (EPE) definitions can be used as the building blocks for design-rule equations but that in the last several years the term "EPE" has been used in the literature to refer to many patterning errors that are not EPE. We then explore the concept of "Good Fields"1 and use it predict the n-sigma value needed for design-rule determination. Specifically, fundamental yield calculations based on the failure opportunities per chip are used to determine at what n-sigma "value" design-rules need to be tested to ensure high yield. The "value" can be a space between two features, an intersect area between two features, a minimum area of a feature, etc. It is shown that across chip variation of design-rule important values needs to be tested at sigma values between seven and eight which is much higher than the four-sigma values traditionally used for design-rule determination. After recommending new statistics be used for design-rule calculations the paper examines the impact of EUV lithography on sources of variation important for design-rule calculations. We show that stochastics can be treated as an effective dose variation that is fully sampled across every chip. Combining the increased within chip variation from EUV with the understanding that across chip variation of design-rule important values needs to not cause a yield loss at significantly higher sigma values than have traditionally been looked at, the conclusion is reached that across-wafer, wafer-to-wafer and lot-to-lot variation will have to overscale for any technology introducing EUV lithography where stochastic noise is a significant fraction of the effective dose variation. We will emphasize stochastic effects on edge placement

  1. Metal Oxide Nanoparticle Photoresists for EUV Patterning

    KAUST Repository

    Jiang, Jing; Chakrabarty, Souvik; Yu, Mufei; Ober, Christopher K.

    2014-01-01

    © 2014SPST. Previous studies of methacrylate based nanoparticle have demonstrated the excellent pattern forming capability of these hybrid materials when used as photoresists under 13.5 nm EUV exposure. HfO2 and ZrO2 methacrylate resists have

  2. EUV lithography for 30nm half pitch and beyond: exploring resolution, sensitivity, and LWR tradeoffs

    Science.gov (United States)

    Putna, E. Steve; Younkin, Todd R.; Chandhok, Manish; Frasure, Kent

    2009-03-01

    The International Technology Roadmap for Semiconductors (ITRS) denotes Extreme Ultraviolet (EUV) lithography as a leading technology option for realizing the 32nm half-pitch node and beyond. Readiness of EUV materials is currently one high risk area according to assessments made at the 2008 EUVL Symposium. The main development issue regarding EUV resist has been how to simultaneously achieve high sensitivity, high resolution, and low line width roughness (LWR). This paper describes the strategy and current status of EUV resist development at Intel Corporation. Data is presented utilizing Intel's Micro-Exposure Tool (MET) examining the feasibility of establishing a resist process that simultaneously exhibits <=30nm half-pitch (HP) L/S resolution at <=10mJ/cm2 with <=4nm LWR.

  3. Design decisions from the history of the EUVE science payload

    Science.gov (United States)

    Marchant, W.

    1993-01-01

    Some of the design issues that arose during the development of the EUVE science payload and solutions to the problems involved are examined. In particular, attention is given to the use of parallel and serial busses, the selection of the the ROM approach for software storage and execution, implementation of memory error detection and correction, and the selection of command structures. The early design decisions paid off in the timely delivery of the scientific payload and in the successful completion of the survey phase of the EUVE science mission.

  4. The inner-relationship of hard X-ray and EUV bursts during solar flares

    International Nuclear Information System (INIS)

    Emslie, A.G.; Brown, J.C.; Donnelly, R.F.

    1978-01-01

    A comparison is made between the flux-versus-time profile in the EUV band and the thick target electron flux profile as inferred from hard X-rays for a number of moderately large solar flares. This complements Kane and Donnelly's (1971) study of small flares. The hard X-ray data are from ESRO TD-1A and the EUV inferred from SFD observations. Use of a chi 2 minimising method shows that the best overall fit between the profile fine structures obtains for synchronism to < approximately 5 s which is within the timing accuracy. This suggests that neither conduction nor convection is fast enough as the primary mechanism of energy transport into the EUV flare and rather favours heating by the electrons themselves or by some MHD wave process much faster than acoustic waves. The electron power deposited, for a thick target model, is however far greater than the EUV luminosity for any reasonable assumptions about the area and depth over which EUV is emitted. This means that either most of the power deposited is conducted away to the optical flare or that only a fraction < approximately 1-10% of the X-ray emitting electrons are injected downwards. Recent work on Hα flare heating strongly favours the latter alternative - i.e. that electrons are mostly confined in the corona. (Auth.)

  5. EUV multilayer mirrors with enhanced stability

    Science.gov (United States)

    Benoit, Nicolas; Yulin, Sergiy; Feigl, Torsten; Kaiser, Norbert

    2006-08-01

    The application of multilayer optics in EUV lithography requires not only the highest possible normal-incidence reflectivity but also a long-term thermal and radiation stability at operating temperatures. This requirement is most important in the case of the collector mirror of the illumination system close to the EUV source where a short-time decrease in reflectivity is most likely. Mo/Si multilayer mirrors, designed for high normal reflectivity at the wavelength of 13.5 nm and deposited by dc magnetron sputtering, were directly exposed to EUV radiation without mitigation system. They presented a loss of reflectivity of more than 18% after only 8 hours of irradiation by a Xe-discharge source. Another problem of Mo/Si multilayers is the instability of reflectivity and peak wavelength under high heat load. It becomes especially critical at temperatures above 200°C, where interdiffusion between the molybdenum and the silicon layers is observed. The development of high-temperature multilayers was focused on two alternative Si-based systems: MoSi II/Si and interface engineered Mo/C/Si/C multilayer mirrors. The multilayer designs as well as the deposition parameters of all systems were optimized in terms of high peak reflectivity (>= 60 %) at a wavelength of 13.5 nm and high thermal stability. Small thermally induced changes of the MoSi II/Si multilayer properties were found but they were independent of the annealing time at all temperatures examined. A wavelength shift of -1.7% and a reflectivity drop of 1.0% have been found after annealing at 500°C for 100 hours. The total degradation of optical properties above 650°C can be explained by a recrystallization process of MoSi II layers.

  6. Nanoparticle Photoresists: Ligand Exchange as a New, Sensitive EUV Patterning Mechanism

    KAUST Repository

    Kryask, Marie

    2013-01-01

    Hybrid nanoparticle photoresists and their patterning using DUV, EUV, 193 nm lithography and e-beam lithography has been investigated and reported earlier. The nanoparticles have demonstrated very high EUV sensitivity and significant etch resistance compared to other standard photoresists. The current study aims at investigating and establishing the underlying mechanism for dual tone patterning of these nanoparticle photoresist systems. Infrared spectroscopy and UV absorbance studies supported by mass loss and dissolution studies support the current model. © 2013SPST.

  7. Power Management Controls, Ernest Orlando Lawrence Berkeley National Laboratory; Power Management Controls, Ernest Orlando Lawrence Berkeley National Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Westerberg, Emil [Dalarna Univ., Borlaenge (Sweden). Graphic Art Technology

    2002-12-01

    This report describes the work that is being conducted on power management controls at Berkeley National Laboratory. We can see a significant increasing amount of electronic equipment in our work places and in our every day life. Today's modern society depends on a constant energy flow. The future's increasing need of energy will burden our economy as well as our environment. The project group at Berkeley National Laboratory is working with leading manufacturers of office equipment. The goal is to agree on how interfaces for power management should be presented on office equipment. User friendliness and a more consistent power management interface is the project focus. The project group's role is to analyze data that is relevant to power management, as well as to coordinate communication and discussions among the involved parties.

  8. Guidelines for the segregation characterization management of dry waste at Berkeley Lab

    International Nuclear Information System (INIS)

    1997-05-01

    Managing and disposing of dry low level radioactive waste at Berkeley Lab. is problematic. The Waste Management Group must assure off site treatment, storage, and disposal facilities that dry waste from Berkeley Lab. is free of liquids and regulated metals (such as lead and mercury). RTR (Real Time Radioagraphy) used for waste to be rejected. This pamphlet helps to clarify dry waste management requirements that will ensure that Berkeley Lab. dry waste will be accepted for off site shipment. These issues are critical if we are to have an off site disposal option for your dry radioactive waste

  9. Plasma sources for EUV lithography exposure tools

    International Nuclear Information System (INIS)

    Banine, Vadim; Moors, Roel

    2004-01-01

    The source is an integral part of an extreme ultraviolet lithography (EUVL) tool. Such a source, as well as the EUVL tool, has to fulfil extremely high demands both technical and cost oriented. The EUVL tool operates at a wavelength in the range 13-14 nm, which requires a major re-thinking of state-of-the-art lithography systems operating in the DUV range. The light production mechanism changes from conventional lamps and lasers to relatively high temperature emitting plasmas. The light transport, mainly refractive for DUV, should become reflective for EUV. The source specifications are derived from the customer requirements for the complete tool, which are: throughput, cost of ownership (CoO) and imaging quality. The EUVL system is considered as a follow up of the existing DUV based lithography technology and, while improving the feature resolution, it has to maintain high wafer throughput performance, which is driven by the overall CoO picture. This in turn puts quite high requirements on the collectable in-band power produced by an EUV source. Increased, due to improved feature resolution, critical dimension (CD) control requirements, together with reflective optics restrictions, necessitate pulse-to-pulse repeatability, spatial stability control and repetition rates, which are substantially better than those of current optical systems. All together the following aspects of the source specification will be addressed: the operating wavelength, the EUV power, the hot spot size, the collectable angle, the repetition rate, the pulse-to-pulse repeatability and the debris induced lifetime of components

  10. BERKELEY: Light Source anniversary

    International Nuclear Information System (INIS)

    Anon.

    1994-01-01

    The staff of the Advanced Light Source (ALS) at the Lawrence Berkeley Laboratory has been too busy to celebrate the first anniversary of the facility's transition from a US Department of Energy construction project to operating third-generation synchrotron radiation source. Based on a 1.5-GeV, low-emittance electron storage ring that accommodates up to ten insertion-device radiation sources optimized primarily for the soft X-ray and vacuum ultra-violet regions of the spectrum, the ALS has completed

  11. BERKELEY: Light Source anniversary

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1994-10-15

    The staff of the Advanced Light Source (ALS) at the Lawrence Berkeley Laboratory has been too busy to celebrate the first anniversary of the facility's transition from a US Department of Energy construction project to operating third-generation synchrotron radiation source. Based on a 1.5-GeV, low-emittance electron storage ring that accommodates up to ten insertion-device radiation sources optimized primarily for the soft X-ray and vacuum ultra-violet regions of the spectrum, the ALS has completed.

  12. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    Science.gov (United States)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  13. EUV source development for high-volume chip manufacturing tools

    Science.gov (United States)

    Stamm, Uwe; Yoshioka, Masaki; Kleinschmidt, Jürgen; Ziener, Christian; Schriever, Guido; Schürmann, Max C.; Hergenhan, Guido; Borisov, Vladimir M.

    2007-03-01

    Xenon-fueled gas discharge produced plasma (DPP) sources were integrated into Micro Exposure Tools already in 2004. Operation of these tools in a research environment gave early learning for the development of EUV sources for Alpha and Beta-Tools. Further experiments with these sources were performed for basic understanding on EUV source technology and limits, especially the achievable power and reliability. The intermediate focus power of Alpha-Tool sources under development is measured to values above 10 W. Debris mitigation schemes were successfully integrated into the sources leading to reasonable collector mirror lifetimes with target of 10 billion pulses due to the effective debris flux reduction. Source collector mirrors, which withstand the radiation and temperature load of Xenon-fueled sources, have been developed in cooperation with MediaLario Technologies to support intermediate focus power well above 10 W. To fulfill the requirements for High Volume chip Manufacturing (HVM) applications, a new concept for HVM EUV sources with higher efficiency has been developed at XTREME technologies. The discharge produced plasma (DPP) source concept combines the use of rotating disk electrodes (RDE) with laser exited droplet targets. The source concept is called laser assisted droplet RDE source. The fuel of these sources has been selected to be Tin. The conversion efficiency achieved with the laser assisted droplet RDE source is 2-3x higher compared to Xenon. Very high pulse energies well above 200 mJ / 2π sr have been measured with first prototypes of the laser assisted droplet RDE source. If it is possible to maintain these high pulse energies at higher repetition rates a 10 kHz EUV source could deliver 2000 W / 2π sr. According to the first experimental data the new concept is expected to be scalable to an intermediate focus power on the 300 W level.

  14. UC Berkeley's Celebration of the International Year of Astronomy 2009

    Science.gov (United States)

    Cobb, B. E.; Croft, S.; Silverman, J. M.; Klein, C.; Modjaz, M.

    2010-08-01

    We present the astronomy outreach efforts undertaken for the International Year of Astronomy 2009 at the University of California, Berkeley. Our department-wide endeavors included a monthly public lecture series by UC Berkeley astronomers and a major astronomy outreach event during a campus-wide university "open house," which included solar observing and a Starlab Planetarium. In addition to sharing our outreach techniques and outcomes, we discuss some of our unique strategies for advertising our events to the local community.

  15. Particle excitation, airglow and H2 vibrational disequilibrium in the atmosphere of Jupiter

    International Nuclear Information System (INIS)

    Shemansky, D.E.

    1984-09-01

    The extreme ultraviolet EUV emission produced by particle excitation of the hydrogen atmospheres of Jupiter and Saturn is examined using model calculations to determine the nature of the energy deposition process and the effect of such processes on atmospheric structure. Tasks ranging from examination of phenomenologically related processes on Saturn and Titan to analysis of experimental laboratory data required to allow accurate modeling of emissions from hydrogenic atmospheres are investigated. An explanation of the hydrogen H Ly(alpha) bulge in Jupiter's emission from the equatorial region is presented. It is proposed that Saturn, rather than Titan is the major source of the extended hydrogen cloud. The atomic hydrogen detected at the rings of Saturn may originate predominantly from the same source. A cross calibration is obtained between the Pioneer 10 EUV photometer and the Voyager EUV spectrometers, thus providing a direct measure of the temporal morphology of Jupiter between a minimum and a maximum in solar activity. Atomic and molecular data required for the research program are analyzed. An extrapolation of conditions in the upper atmospheres of Jupiter and Saturn produces a predicted condition at Uranus in terms of excitation and hydrogen escape rates that may be observed at Voyager-Uranus encounter

  16. Oxidation and metal contamination of EUV optics

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Liu, Feng; Pachecka, Malgorzata; Lee, Christopher James; Bijkerk, Frederik

    2013-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) for printing smaller features on chips. One of the hallenges is to optimally control the contamination of the multilayer mirrors used in the imaging system. The aim of this project is generating fundamental understanding

  17. Reconstruction of the solar EUV irradiance from 1996 to 2010 based on SOHO/EIT images

    Directory of Open Access Journals (Sweden)

    Haberreiter Margit

    2014-01-01

    Full Text Available The solar Extreme UltraViolet (EUV spectrum has important effects on the Earth’s upper atmosphere. For a detailed investigation of these effects it is important to have a consistent data series of the EUV spectral irradiance available. We present a reconstruction of the solar EUV irradiance based on SOHO/EIT images, along with synthetic spectra calculated using different coronal features which represent the brightness variation of the solar atmosphere. The EIT images are segmented with the SPoCA2 tool which separates the features based on a fixed brightness classification scheme. With the SOLMOD code we then calculate intensity spectra for the 10–100 nm wavelength range and each of the coronal features. Weighting the intensity spectra with the area covered by each of the features yields the temporal variation of the EUV spectrum. The reconstructed spectrum is then validated against the spectral irradiance as observed with SOHO/SEM. Our approach leads to good agreement between the reconstructed and the observed spectral irradiance. This study is an important step toward understanding variations in the solar EUV spectrum and ultimately its effect on the Earth’s upper atmosphere.

  18. Characterizing dusty argon-acetylene plasmas as a first step to understand dusty EUV environments

    NARCIS (Netherlands)

    Wetering, van de F.M.J.H.; Nijdam, S.; Kroesen, G.M.W.

    2012-01-01

    In extreme ultraviolet (EUV) lithography, ionic and particulate debris coming from the plasma source plays an important role. We started up a project looking at the principles of particle formation in plasmas and the interaction with EUV radiation. To this end, we study a low-pressure (10 Pa)

  19. Ernest Orlando Lawrence Berkeley National Laboratory Institutional Plan FY 2000-2004

    Energy Technology Data Exchange (ETDEWEB)

    Chartock, Mike (ed.); Hansen, Todd (ed.)

    1999-08-01

    The FY 2000-2004 Institutional Plan provides an overview of the Ernest Orlando Lawrence Berkeley National Laboratory (Berkeley Lab, the Laboratory) mission, strategic plan, initiatives, and the resources required to fulfill its role in support of national needs in fundamental science and technology, energy resources, and environmental quality. To advance the Department of Energy's ongoing efforts to define the Integrated Laboratory System, the Berkeley Lab Institutional Plan reflects the strategic elements of our planning efforts. The Institutional Plan is a management report that supports the Department of Energy's mission and programs and is an element of the Department of Energy's strategic management planning activities, developed through an annual planning process. The Plan supports the Government Performance and Results Act of 1993 and complements the performance-based contract between the Department of Energy and the Regents of the University of California. It identifies technical and administrative directions in the context of the national energy policy and research needs and the Department of Energy's program planning initiatives. Preparation of the plan is coordinated by the Office of Planning and Communications from information contributed by Berkeley Lab's scientific and support divisions.

  20. Coronal magnetic fields inferred from IR wavelength and comparison with EUV observations

    Directory of Open Access Journals (Sweden)

    Y. Liu

    2009-07-01

    Full Text Available Spectropolarimetry using IR wavelength of 1075 nm has been proved to be a powerful tool for directly mapping solar coronal magnetic fields including transverse component directions and line-of-sight component intensities. Solar tomography, or stereoscopy based on EUV observations, can supply 3-D information for some magnetic field lines in bright EUV loops. In a previous paper \\citep{liu08} the locations of the IR emission sources in the 3-D coordinate system were inferred from the comparison between the polarization data and the potential-field-source-surface (PFSS model, for one of five west limb regions in the corona (Lin et al., 2004. The paper shows that the region with the loop system in the active region over the photospheric area with strong magnetic field intensity is the region with a dominant contribution to the observed Stokes signals. So, the inversion of the measured Stokes parameters could be done assuming that most of the signals come from a relatively thin layer over the area with a large photospheric magnetic field strength. Here, the five limb coronal regions are studied together in order to study the spatial correlation between the bright EUV loop features and the inferred IR emission sources. It is found that, for the coronal regions above the stronger photospheric magnetic fields, the locations of the IR emission sources are closer to or more consistent with the bright EUV loop locations than those above weaker photospheric fields. This result suggests that the structures of the coronal magnetic fields observed at IR and EUV wavelengths may be different when weak magnetic fields present there.

  1. Capability of simultaneous Rayleigh LiDAR and O2 airglow measurements in exploring the short period wave characteristics

    Science.gov (United States)

    Taori, Alok; Raghunath, Karnam; Jayaraman, Achuthan

    We use combination of simultaneous measurements made with Rayleigh lidar and O2 airglow monitoring to improve lidar investigation capability to cover a higher altitude range. We feed instantaneous O2 airglow temperatures instead the model values at the top altitude for subsequent integration method of temperature retrieval using Rayleigh lidar back scattered signals. Using this method, errors in the lidar temperature estimates converges at higher altitudes indicating better altitude coverage compared to regular methods where model temperatures are used instead of real-time measurements. This improvement enables the measurements of short period waves at upper mesospheric altitudes (~90 km). With two case studies, we show that above 60 km the few short period wave amplitude drastically increases while, some of the short period wave show either damping or saturation. We claim that by using such combined measurements, a significant and cost effective progress can be made in the understanding of short period wave processes which are important for the coupling across the different atmospheric regions.

  2. Nuclear Medicine at Berkeley Lab: From Pioneering Beginnings to Today (LBNL Summer Lecture Series)

    International Nuclear Information System (INIS)

    Budinger, Thomas

    2006-01-01

    Summer Lecture Series 2006: Thomas Budinger, head of Berkeley Lab's Center for Functional Imaging, discusses Berkeley Lab's rich history pioneering the field of nuclear medicine, from radioisotopes to medical imaging.

  3. Critical parameters influencing the EUV-induced damage of Ru-capped multilayer mirrors

    International Nuclear Information System (INIS)

    Hill, S B; Ermanoski, I; Tarrio, C; Lucatorto, T B; Madey, T E; Bajt, S; Fang, M; Chandhok, M

    2007-01-01

    Ongoing endurance testing of Ru-capped multilayer mirrors (MLMs) at the NIST synchrotron facility has revealed that the damage resulting from EUV irradiation does not always depend on the exposure conditions in an intuitive way. Previous exposures of Ru-capped MLMs to EUV radiation in the presence of water vapor demonstrated that the mirror damage rate actually decreases with increasing water pressure. We will present results of recent exposures showing that the reduction in damage for partial pressures of water up to 5 x 10 -6 Torr is not the result of a spatially uniform decrease in damage across the Gaussian intensity distribution of the incident EUV beam. Instead we observe a drop in the damage rate in the center of the exposure spot where the intensity is greatest, while the reflectivity loss in the wings of the intensity distribution appears to be independent of water partial pressure. (See Fig. 1.) We will discuss how the overall damage rate and spatial profile can be influenced by admixtures of carbon-containing species (e.g., CO, CO 2 , C 6 H 6 ) at partial pressures one-to-two orders of magnitude lower than the water vapor partial pressure. An investigation is underway to find the cause of the non-Gaussian damage profile. Preliminary results and hypotheses will be discussed. In addition to high-resolution reflectometry of the EUV-exposure sites, the results of surface analysis such as XPS will be presented. We will also discuss how the bandwidth and time structure of incident EUV radiation may affect the rate of reflectivity degradation. Although the observations presented here are based on exposures of Ru-capped MLMs, unless novel capping layers are similarly characterized, direct application of accelerated testing results could significantly overestimate mirror lifetime in the production environment

  4. Sensitivity enhancement of chemically amplified resists and performance study using EUV interference lithography

    Science.gov (United States)

    Buitrago, Elizabeth; Nagahara, Seiji; Yildirim, Oktay; Nakagawa, Hisashi; Tagawa, Seiichi; Meeuwissen, Marieke; Nagai, Tomoki; Naruoka, Takehiko; Verspaget, Coen; Hoefnagels, Rik; Rispens, Gijsbert; Shiraishi, Gosuke; Terashita, Yuichi; Minekawa, Yukie; Yoshihara, Kosuke; Oshima, Akihiro; Vockenhuber, Michaela; Ekinci, Yasin

    2016-03-01

    Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity (S or best energy BE) and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (LRS trade-off) among these parameters for chemically amplified resists (CARs). Here we present early proof-of-principle results for a multi-exposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a Photosensitized Chemically Amplified Resist (PSCAR). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV flood exposure (λ = 365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR and EL high performance requirements with the aim of resolving line space (L/S) features for the 7 and 5 nm logic node (16 nm and 13 nm half-pitch HP, respectively) for HVM. Several CARs were additionally found to be well resolved down to 12 nm and 11 nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and

  5. Electron Microscope Center Opens at Berkeley.

    Science.gov (United States)

    Robinson, Arthur L.

    1981-01-01

    A 1.5-MeV High Voltage Electron Microscope has been installed at the Lawrence Berkeley Laboratory which will help materials scientists and biologists study samples in more true-to-life situations. A 1-MeV Atomic Resolution Microscope will be installed at the same location in two years which will allow scientists to distinguish atoms. (DS)

  6. Direct EUV/X-Ray Modulation of the Ionosphere During the August 2017 Total Solar Eclipse

    Science.gov (United States)

    Mrak, Sebastijan; Semeter, Joshua; Drob, Douglas; Huba, J. D.

    2018-05-01

    The great American total solar eclipse of 21 August 2017 offered a fortuitous opportunity to study the response of the atmosphere and ionosphere using a myriad of ground instruments. We have used the network of U.S. Global Positioning System receivers to examine perturbations in maps of ionospheric total electron content (TEC). Coherent large-scale variations in TEC have been interpreted by others as gravity wave-induced traveling ionospheric disturbances. However, the solar disk had two active regions at that time, one near the center of the disk and one at the edge, which resulted in an irregular illumination pattern in the extreme ultraviolet (EUV)/X-ray bands. Using detailed EUV occultation maps calculated from the National Aeronautics and Space Administration Solar Dynamics Observatory Atmospheric Imaging Assembly images, we show excellent agreement between TEC perturbations and computed gradients in EUV illumination. The results strongly suggest that prominent large-scale TEC disturbances were consequences of direct EUV modulation, rather than gravity wave-induced traveling ionospheric disturbances.

  7. Superbends expand the scope of Berkeley's ALS

    CERN Document Server

    Robin, D S; Tamura, L S

    2002-01-01

    The first-ever retrofit of superconducting bend magnets into the storage ring of an operating synchrotron radiation source extends the spectrum of Lawrence Berkeley National Laboratory's Advanced Light Source into the hard-X-ray region without compromising soft X-ray availability, or performance. (4 refs).

  8. High-NA EUV lithography enabling Moore's law in the next decade

    Science.gov (United States)

    van Schoot, Jan; Troost, Kars; Bornebroek, Frank; van Ballegoij, Rob; Lok, Sjoerd; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos P.; Finders, Jo; Meiling, Hans; van Setten, Eelco; Kneer, Bernhard; Kuerz, Peter; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha; Neumann, Jens Timo

    2017-10-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their activities on a EUV exposure tool with Numerical Aperture of 0.55. The purpose of this scanner, targeting an ultimate resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, capable of providing the required Numerical Aperture has been investigated; This lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the target specifications, key technology innovations and imaging simulations demonstrating the advantages as compared to 0.33NA and showing the capabilities of the next generation EUV systems.

  9. Estimation and control of large-scale systems with an application to adaptive optics for EUV lithography

    NARCIS (Netherlands)

    Haber, A.

    2014-01-01

    Extreme UltraViolet (EUV) lithography is a new technology for production of integrated circuits. In EUV lithographic machines, optical elements are heated by absorption of exposure energy. Heating induces thermoelastic deformations of optical elements and consequently, it creates wavefront

  10. Seasonal and local time variability of ripples from airglow imager observations in US and Japan

    Directory of Open Access Journals (Sweden)

    J. Yue

    2010-07-01

    Full Text Available Ripples as seen in airglow imagers are small wavy structures with short horizontal wavelengths (<15 km. Ripples are thought to form as the result of local instabilities, which are believed to occur when the amplitude of gravity waves becomes large enough. We have investigated ripple formation based on years of airglow imager observations located at Fort Collins, Colorado (41° N, 105° W and Misato Observatory, Japan (34° N, 135° E/Shigaraki MU Observatory (35° N, 136° E. Na temperature-wind lidar observations are employed to detect convective and dynamic instabilities in the mesosphere and lower thermosphere (MLT region over Fort Collins, Colorado. Seasonal variation of the ripple occurrence in Colorado is compared to that of the lidar-measured instability. The occurrence frequency of ripples varies semiannually, with maxima occurring during solstices and minima during equinoxes in both Colorado and Japan. However, the probability of convective and dynamic instabilities varies annually with a peak in Colorado winter. The seasonal variation of the occurrence frequency of ripples correlates with that of the gravity wave variances in the MLT. Ripple occurrence over Colorado also shows strong local time dependence, but it bears little resemblance to the local time dependence of instability probability.

  11. Performance of one hundred watt HVM LPP-EUV source

    Science.gov (United States)

    Mizoguchi, Hakaru; Nakarai, Hiroaki; Abe, Tamotsu; Nowak, Krzysztof M.; Kawasuji, Yasufumi; Tanaka, Hiroshi; Watanabe, Yukio; Hori, Tsukasa; Kodama, Takeshi; Shiraishi, Yutaka; Yanagida, Tatsuya; Soumagne, Georg; Yamada, Tsuyoshi; Yamazaki, Taku; Okazaki, Shinji; Saitou, Takashi

    2015-03-01

    We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as: combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting, and mitigation with magnetic field, have been developed in Gigaphoton Inc. The theoretical and experimental data have clearly showed the advantage of our proposed strategy. Based on these data we are developing first practical source for HVM - "GL200E". This data means 250W EUV power will be able to realize around 20kW level pulsed CO2 laser. We have reported engineering data from our recent test such around 43W average clean power, CE=2.0%, with 100kHz operation and other data 19). We have already finished preparation of higher average power CO2 laser more than 20kW at output power cooperate with Mitsubishi Electric Corporation 14). Recently we achieved 92W with 50kHz, 50% duty cycle operation 20). We have reported component technology progress of EUV light source system. We report promising experimental data and result of simulation of magnetic mitigation system in Proto #1 system. We demonstrated several data with Proto #2 system: (1) emission data of 140W in burst under 70kHz 50% duty cycle during 10 minutes. (2) emission data of 118W in burst under 60kHz 70% duty cycle during 10 minutes. (3) emission data of 42W in burst under 20kHz 50% duty cycle (10000pls/0.5ms OFF) during 3 hours (110Mpls). Also we report construction of Pilot #1 system. Final target is week level operation with 250W EUV power with CE=4%, more than 27kW CO2 laser power by the end of Q2 of 2015.

  12. Disintegration of the Aged Open Cluster Berkeley 17

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, Souradeep; Vaidya, Kaushar [Department of Physics, Birla Institute of Technology and Science, Pilani 333031, Rajasthan (India); Mishra, Ishan [Indian Institute of Technology Guwahati, Guwahati 781039, Assam (India); Chen, W. P., E-mail: f2012553@pilani.bits-pilani.ac.in [Graduate Institute of Astronomy, National Central University, 300 Jhongda Road, Jhongli 32001, Taiwan (China)

    2017-10-01

    We present the analysis of the morphological shape of Berkeley 17, the oldest known open cluster (∼10 Gyr), using the probabilistic star counting of Pan-STARRS point sources, and confirm its core-tail shape, plus an antitail, previously detected with the 2MASS data. The stellar population, as diagnosed by the color–magnitude diagram and theoretical isochrones, shows many massive members in the clusters core, whereas there is a paucity of such members in both of the tails. This manifests mass segregation in this aged star cluster with the low-mass members being stripped away from the system. It has been claimed that Berkeley 17 is associated with an excessive number of blue straggler candidates. A comparison of nearby reference fields indicates that about half of these may be field contamination.

  13. Berkeley Lab's ALS generates femtosecond synchrotron radiation

    CERN Document Server

    Robinson, A L

    2000-01-01

    A team at Berkeley's Advanced Light Source has shown how a laser time-slicing technique provides a path to experiments with ultrafast time resolution. A Lawrence Berkeley National Laboratory team has succeeded in generating 300 fs pulses of synchrotron radiation at the ALS synchrotron radiation machine. The team's members come from the Materials Sciences Division (MSD), the Center for Beam Physics in the Accelerator and Fusion Research Division and the Advanced Light Source (ALS). Although this proof-of principle experiment made use of visible light on a borrowed beamline, the laser "time-slicing" technique at the heart of the demonstration will soon be applied in a new bend magnet beamline that was designed specially for the production of femtosecond pulses of X-rays to study long-range and local order in condensed matter with ultrafast time resolution. An undulator beamline based on the same technique has been proposed that will dramatically increase the flux and brightness. The use of X-rays to study the c...

  14. EUV lithographic radiation grafting of thermo-responsive hydrogel nanostructures

    International Nuclear Information System (INIS)

    Farquet, Patrick; Padeste, Celestino; Solak, Harun H.; Guersel, Selmiye Alkan; Scherer, Guenther G.; Wokaun, Alexander

    2007-01-01

    Nanostructures of the thermoresponsive poly(N-isopropyl acrylamide) (PNIPAAm) and of PNIPAAm-block-poly(acrylic acid) copolymers were produced on poly(tetrafluoroethylene-co-ethyelene) (ETFE) films using extreme ultraviolet (EUV) lithographic exposure with subsequent graft-polymerization. The phase transition of PNIPAAm nanostructures at the low critical solution temperature (LCST) at 32 deg. C was imaged by atomic force microscopy (AFM) phase contrast measurements in pure water. Results show a higher phase contrast for samples measured below the LCST temperature than for samples above the LCST, proving that the soft PNIPAAm hydrogel transforms into a much more compact conformation above the LCST. EUV lithographic exposures were combined with the reversible addition-fragment chain transfer (RAFT)-mediated polymerization using cyanoisopropyl dithiobenzoate (CPDB) as chain transfer agent to synthesize PNIPAAm block-copolymer nanostructures

  15. Review on the solar spectral variability in the EUV for space weather purposes

    Directory of Open Access Journals (Sweden)

    J. Lilensten

    2008-02-01

    Full Text Available The solar XUV-EUV flux is the main energy source in the terrestrial diurnal thermosphere: it produces ionization, dissociation, excitation and heating. Accurate knowledge of this flux is of prime importance for space weather. We first list the space weather applications that require nowcasting and forecasting of the solar XUV-EUV flux. We then review present models and discuss how they account for the variability of the solar spectrum. We show why the measurement of the full spectrum is difficult, and why it is illusory to retrieve it from its atmospheric effects. We then address the problem of determining a set of observations that are adapted for space weather purposes, in the frame of ionospheric studies. Finally, we review the existing and future space experiments that are devoted to the observation of the solar XUV-EUV spectrum.

  16. Plans for the extreme ultraviolet explorer data base

    Science.gov (United States)

    Marshall, Herman L.; Dobson, Carl A.; Malina, Roger F.; Bowyer, Stuart

    1988-01-01

    The paper presents an approach for storage and fast access to data that will be obtained by the Extreme Ultraviolet Explorer (EUVE), a satellite payload scheduled for launch in 1991. The EUVE telescopes will be operated remotely from the EUVE Science Operation Center (SOC) located at the University of California, Berkeley. The EUVE science payload consists of three scanning telescope carrying out an all-sky survey in the 80-800 A spectral region and a Deep Survey/Spectrometer telescope performing a deep survey in the 80-250 A spectral region. Guest Observers will remotely access the EUVE spectrometer database at the SOC. The EUVE database will consist of about 2 X 10 to the 10th bytes of information in a very compact form, very similar to the raw telemetry data. A history file will be built concurrently giving telescope parameters, command history, attitude summaries, engineering summaries, anomalous events, and ephemeris summaries.

  17. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  18. Multiple Landslide-Hazard Scenarios Modeled for the Oakland-Berkeley Area, Northern California

    Science.gov (United States)

    Pike, Richard J.; Graymer, Russell W.

    2008-01-01

    With the exception of Los Angeles, perhaps no urban area in the United States is more at risk from landsliding, triggered by either precipitation or earthquake, than the San Francisco Bay region of northern California. By January each year, seasonal winter storms usually bring moisture levels of San Francisco Bay region hillsides to the point of saturation, after which additional heavy rainfall may induce landslides of various types and levels of severity. In addition, movement at any time along one of several active faults in the area may generate an earthquake large enough to trigger landslides. The danger to life and property rises each year as local populations continue to expand and more hillsides are graded for development of residential housing and its supporting infrastructure. The chapters in the text consist of: *Introduction by Russell W. Graymer *Chapter 1 Rainfall Thresholds for Landslide Activity, San Francisco Bay Region, Northern California by Raymond C. Wilson *Chapter 2 Susceptibility to Deep-Seated Landsliding Modeled for the Oakland-Berkeley Area, Northern California by Richard J. Pike and Steven Sobieszczyk *Chapter 3 Susceptibility to Shallow Landsliding Modeled for the Oakland-Berkeley Area, Northern California by Kevin M. Schmidt and Steven Sobieszczyk *Chapter 4 Landslide Hazard Modeled for the Cities of Oakland, Piedmont, and Berkeley, Northern California, from a M=7.1 Scenario Earthquake on the Hayward Fault Zone by Scott B. Miles and David K. Keefer *Chapter 5 Synthesis of Landslide-Hazard Scenarios Modeled for the Oakland-Berkeley Area, Northern California by Richard J. Pike The plates consist of: *Plate 1 Susceptibility to Deep-Seated Landsliding Modeled for the Oakland-Berkeley Area, Northern California by Richard J. Pike, Russell W. Graymer, Sebastian Roberts, Naomi B. Kalman, and Steven Sobieszczyk *Plate 2 Susceptibility to Shallow Landsliding Modeled for the Oakland-Berkeley Area, Northern California by Kevin M. Schmidt and Steven

  19. INTERACTION OF LASER RADIATION WITH MATTER. LASER PLASMA High-power EUV (13.5 nm) light source

    Science.gov (United States)

    Borisov, Vladimir M.; Borisova, Galina N.; Vinokhodov, Aleksandr Yu; Zakharov, S. V.; Ivanov, Aleksandr S.; Kiryukhin, Yurii B.; Mishchenko, Valentin A.; Prokof'ev, Aleksandr V.; Khristoforov, Oleg B.

    2010-10-01

    Characteristics of a discharge-produced plasma (DPP) light source in the spectral band 13.5±0.135 nm, developed for Extreme Ultra Violet (EUV) lithography, are presented. EUV light is generated by DPP in tin vapour formed between rotating disk electrodes. The discharge is ignited by a focused laser beam. The EUV power 1000 W/(2π sr) in the spectral band 13.5±0.135 nm was achieved with input power about of ~63 kW to the plasma at a pulse repetition rate ~7 kHz . The results of numerical simulation are compared with the experimental data.

  20. Novel EUV resist materials design for 14nm half pitch and below

    Science.gov (United States)

    Tsubaki, Hideaki; Tarutani, Shinji; Fujimori, Toru; Takizawa, Hiroo; Goto, Takahiro

    2014-04-01

    Polymers with a different Tg and activation energy were prepared to clarify influences of acid diffusion on resolution at 15 nm half-pitch (hp) and 14 nm hp using a EUV micro-field exposure tool (MET) at LBNL. Resolution on such a narrow pattern was limited by collapse and pinching. Clear relationship between pinching numbers and polymer Tg indicates that acid diffusion is one of major contributors on the pinching. In addition, polymers with a low thermal activation energy (Ea) on deprotection were effective for reducing pinching. This is probably originated from its high chemically amplification character even in low post-exposure bake (PEB) temperature to obtain both large chemical contrast and short acid diffusion. On the other hand, a good correlation between a cleanable outgassing amount and Ea indicates trade-off relationship between outgassing and resolution. Advantages of n-butyl acetate (nBA) developer have been investigated in viewpoint of dissolution uniformity. Surface roughness of a non-patterned resist film at half-exposed area, which was well correlated with LWR, was measured by AFM as indicator of uniformity in development process. To avoid any differences in resist chemistry other than development process, cross linking negative tone resist was applied for this study. The surface roughness obtained by nBA, which is conventional negative-tone imaging (NTI) developer, was 32 % lower than that obtained by 2.38 % TMAH solution. NTI resist system with a nBA developer and optimized resist reduced LWR from 4.8 nm to 3.0 nm in comparison with conventional positive tone resist with a 2.38 % TMAH developer. In addition, advantage on semi-dense trench patterning was well defined. New EUV sensitizer with 1.15 times higher EUV absorption resulted in 1.15 times higher acid yield by EUV exposure. Lithography performance of the new EUV sensitizer has been investigated by MET at SEMATECH Albany. Sensitivity was indeed improved from 20 mJ/cm2 to 17 mJ/cm2 according

  1. Latest developments on EUV reticle and pellicle research and technology at TNO

    Science.gov (United States)

    Verberk, Rogier; Koster, Norbert; te Sligte, Edwin; Staring, Wilbert

    2017-06-01

    At TNO an extensive EUV optics life time program has been running for over 15 years together with our partners ASML and Carl Zeiss. This has contributed to the upcoming introduction of EUV High Volume Manufacturing (HVM). To further help the industry with the introduction of EUV, TNO has worked on extending their facilities with a number of reticle and pellicle research infrastructure facilities. In this paper we will show some of the facilities that are available at TNO and shortly introduce their capabilities. Recently we have opened our EBL2 facility, which is an EUV Beam Line (EBL2) meant for studying the effects of high power EUV illumination on optics, reticles and pellicles up to the power roadmap of 500 W at intermediate Focus (IF). This facility is open to users from all over the world and is beneficial for the industry in helping developing alternative capping layers and contamination control strategies for optics lifetime, new absorber materials, pellicles and resists. The EBL2 system has seen first light in December 2016 and is now in the final stage of acceptance testing and qualification. It is expected that the system will be fully operational in the third quarter of 2017, and available for users. It is possible to transfer reticles to and from the EBL2 by means of the reticle handler using the dual pod interface. This secures backside cleanliness to NXE standards and thus enables wafer printing on a NXE tool in a later stage after the exposures and inspection at EBL2. Besides EBL2, a high performance and ultra-clean reticle handler is available at TNO. This handler incorporates our particle scanner Rapid Nano 4 for front side inspection of reticle blanks with a detection limit down to 20 nm particles. Attached to the handler is also an Optical Coherence Tomography (OCT) inspection tool for back-side reticle or pellicle inspection with a resolution down to 1 micron.

  2. Performance improvement of two-dimensional EUV spectroscopy based on high frame rate CCD and signal normalization method

    International Nuclear Information System (INIS)

    Zhang, H.M.; Morita, S.; Ohishi, T.; Goto, M.; Huang, X.L.

    2014-01-01

    In the Large Helical Device (LHD), the performance of two-dimensional (2-D) extreme ultraviolet (EUV) spectroscopy with wavelength range of 30-650A has been improved by installing a high frame rate CCD and applying a signal intensity normalization method. With upgraded 2-D space-resolved EUV spectrometer, measurement of 2-D impurity emission profiles with high horizontal resolution is possible in high-density NBI discharges. The variation in intensities of EUV emission among a few discharges is significantly reduced by normalizing the signal to the spectral intensity from EUV_—Long spectrometer which works as an impurity monitor with high-time resolution. As a result, high resolution 2-D intensity distribution has been obtained from CIV (384.176A), CV(2x40.27A), CVI(2x33.73A) and HeII(303.78A). (author)

  3. Response of the upper atmosphere to variations in the solar soft x-ray irradiance. Ph.D. Thesis

    Science.gov (United States)

    Bailey, Scott Martin

    1995-01-01

    Terrestrial far ultraviolet (FUV) airglow emissions have been suggested as a means for remote sensing the structure of the upper atmosphere. The energy which leads to the excitation of FUV airglow emissions is solar irradiance at extreme ultraviolet (EUV) and soft x-ray wavelengths. Solar irradiance at these wavelengths is known to be highly variable; studies of nitric oxide (NO) in the lower thermosphere have suggested a variability of more than an order of magnitude in the solar soft x-ray irradiance. To properly interpret the FUV airflow, the magnitude of the solar energy deposition must be known. Previous analyses have used the electron impact excited Lyman-Birge-Hopfield (LBH) bands of N2 to infer the flux of photoelectrons in the atmosphere and thus to infer the magnitude of the solar irradiance. This dissertation presents the first simultaneous measurements of the FUV airglow, the major atmospheric constituent densities, and the solar EUV and soft x-ray irradiances. The measurements were made on three flights of an identical sounding rocket payload at different levels of solar activity. The linear response in brightness of the LBH bands to variations in solar irradiance is demonstrated. In addition to the N2 LBH bands, atomic oxygen lines at 135.6 and 130.4 nm are also studied. Unlike the LBH bands, these emissions undergo radiative transfer effects in the atmosphere. The OI emission at 135.6 nm is found to be well modeled using a radiative transfer calculation and the known excitation processes. Unfortunately, the assumed processes leading to OI 130.4 nm excitation are found to be insufficient to reproduce the observed variability of this emission. Production of NO in the atmosphere is examined; it is shown that a lower than previously reported variability in the solar soft x-ray irradiance is required to explain the variability of NO.

  4. Time Variabilities of Solar Wind Ion Fluxes and of X-ray and EUV Emissions from Comet Hyakutake

    Science.gov (United States)

    Neugebauer, M.; Cravens, T.; Lisse, C.; Ipavich, F.; von Steiger, R.; Shah, P.; Armstrong, T.

    1999-01-01

    Observations of X-ray and extreme ultraviolet (EUV) emissions from comet C/Hyakutake 1996 B2 made by the Rontgen X-ray satellite (ROSAT) and the Extreme Ultraviolet Explorer (EUVE) revealed a total X-ray luminosity of about 500 MW.

  5. The future of EUV lithography: enabling Moore's Law in the next decade

    Science.gov (United States)

    Pirati, Alberto; van Schoot, Jan; Troost, Kars; van Ballegoij, Rob; Krabbendam, Peter; Stoeldraijer, Judon; Loopstra, Erik; Benschop, Jos; Finders, Jo; Meiling, Hans; van Setten, Eelco; Mika, Niclas; Dredonx, Jeannot; Stamm, Uwe; Kneer, Bernhard; Thuering, Bernd; Kaiser, Winfried; Heil, Tilmann; Migura, Sascha

    2017-03-01

    While EUV systems equipped with a 0.33 Numerical Aperture lenses are readying to start volume manufacturing, ASML and Zeiss are ramping up their development activities on a EUV exposure tool with Numerical Aperture greater than 0.5. The purpose of this scanner, targeting a resolution of 8nm, is to extend Moore's law throughout the next decade. A novel, anamorphic lens design, has been developed to provide the required Numerical Aperture; this lens will be paired with new, faster stages and more accurate sensors enabling Moore's law economical requirements, as well as the tight focus and overlay control needed for future process nodes. The tighter focus and overlay control budgets, as well as the anamorphic optics, will drive innovations in the imaging and OPC modelling, and possibly in the metrology concepts. Furthermore, advances in resist and mask technology will be required to image lithography features with less than 10nm resolution. This paper presents an overview of the key technology innovations and infrastructure requirements for the next generation EUV systems.

  6. Diagnostic system for EUV radiation measurements from dense xenon plasma generated by MPC

    International Nuclear Information System (INIS)

    Petrov, Yu.V.; Garkusha, I.E.; Solyakov, D.G.; Marchenko, A.K.; Chebotarev, V.V.; Ladygina, M.S.; Staltsov, V.V.; Yelisyeyev, D.V.; Hassanein, A.

    2011-01-01

    Magnetoplasma compressor (MPC) of compact geometry has been designed and tested as a source of EUV radiation. In present paper diagnostic system for registration of EUV radiation is described. It was applied for radiation measurements in different operation modes of MPC. The registration system was designed on the base of combination of different types of AXUV photodiodes. Possibility to minimize the influence of electrons and ions flows from dense plasma stream on AXUV detector performance and results of the measurements has been discussed.

  7. New Cepheid variables in the young open clusters Berkeley 51 and Berkeley 55

    Science.gov (United States)

    Lohr, M. E.; Negueruela, I.; Tabernero, H. M.; Clark, J. S.; Lewis, F.; Roche, P.

    2018-05-01

    As part of a wider investigation of evolved massive stars in Galactic open clusters, we have spectroscopically identified three candidate classical Cepheids in the little-studied clusters Berkeley 51, Berkeley 55 and NGC 6603. Using new multi-epoch photometry, we confirm that Be 51 #162 and Be 55 #107 are bona fide Cepheids, with pulsation periods of 9.83±0.01 d and 5.850±0.005 d respectively, while NGC 6603 star W2249 does not show significant photometric variability. Using the period-luminosity relationship for Cepheid variables, we determine a distance to Be 51 of 5.3^{+1.0}_{-0.8} kpc and an age of 44^{+9}_{-8} Myr, placing it in a sparsely-attested region of the Perseus arm. For Be 55, we find a distance of 2.2±0.3 kpc and age of 63^{+12}_{-11} Myr, locating the cluster in the Local arm. Taken together with our recent discovery of a long-period Cepheid in the starburst cluster VdBH222, these represent an important increase in the number of young, massive Cepheids known in Galactic open clusters. We also consider new Gaia (data release 2) parallaxes and proper motions for members of Be 51 and Be 55; the uncertainties on the parallaxes do not allow us to refine our distance estimates to these clusters, but the well-constrained proper motion measurements furnish further confirmation of cluster membership. However, future final Gaia parallaxes for such objects should provide valuable independent distance measurements, improving the calibration of the period-luminosity relationship, with implications for the distance ladder out to cosmological scales.

  8. EUV multilayer mirror, optical system including a multilayer mirror and method of manufacturing a multilayer mirror

    NARCIS (Netherlands)

    Huang, Qiushi; Louis, Eric; Bijkerk, Frederik; de Boer, Meint J.; von Blanckenhagen, G.

    2016-01-01

    A multilayer mirror (M) reflecting extreme ultraviolet (EUV) radiation from a first wave-length range in a EUV spectral region comprises a substrate (SUB) and a stack of layers (SL) on the substrate, the stack of layers comprising layers comprising a low index material and a high index material, the

  9. Uncertainties in (E)UV model atmosphere fluxes

    Science.gov (United States)

    Rauch, T.

    2008-04-01

    Context: During the comparison of synthetic spectra calculated with two NLTE model atmosphere codes, namely TMAP and TLUSTY, we encounter systematic differences in the EUV fluxes due to the treatment of level dissolution by pressure ionization. Aims: In the case of Sirius B, we demonstrate an uncertainty in modeling the EUV flux reliably in order to challenge theoreticians to improve the theory of level dissolution. Methods: We calculated synthetic spectra for hot, compact stars using state-of-the-art NLTE model-atmosphere techniques. Results: Systematic differences may occur due to a code-specific cutoff frequency of the H I Lyman bound-free opacity. This is the case for TMAP and TLUSTY. Both codes predict the same flux level at wavelengths lower than about 1500 Å for stars with effective temperatures (T_eff) below about 30 000 K only, if the same cutoff frequency is chosen. Conclusions: The theory of level dissolution in high-density plasmas, which is available for hydrogen only should be generalized to all species. Especially, the cutoff frequencies for the bound-free opacities should be defined in order to make predictions of UV fluxes more reliable.

  10. Negating HIO-induced metal and carbide EUV surface contamination

    NARCIS (Netherlands)

    Sturm, Jacobus Marinus; Gleeson, Michael; van de Kruijs, Robbert Wilhelmus Elisabeth; Lee, Christopher James; Kleyn, A.W.; Bijkerk, Frederik

    2011-01-01

    The next generation photolithography will use 13.5 nm Extreme Ultraviolet (EUV) light in order to reduce feature sizes in semiconductor manufactoring. Lens materials for this wavelength do not exist: image projection requires multilayer mirrors that act as an artificial Bragg crystal.

  11. Microwave, EUV, and X-ray observations of active region loops and filaments

    International Nuclear Information System (INIS)

    Schmahl, E.

    1980-01-01

    Until the advent of X-ray and EUV observations of coronal structures, radio observers were forced to rely on eclipse and coronagraph observations in white light and forbidden coronal lines for additional diagnostics of the high temperature microwave sources. While these data provided enough material for theoretical insight into the physics of active regions, there was no way to make direct, simultaneous comparison of coronal structures on the disk as seen at microwave and optical wavelengths. This is now possible, and therefore the author summarizes the EUV and X-ray observations indicating at each point the relevance to microwaves. (Auth.)

  12. Berkeley Experiments on Superfluid Macroscopic Quantum Effects

    International Nuclear Information System (INIS)

    Packard, Richard

    2006-01-01

    This paper provides a brief history of the evolution of the Berkeley experiments on macroscopic quantum effects in superfluid helium. The narrative follows the evolution of the experiments proceeding from the detection of single vortex lines to vortex photography to quantized circulation in 3He to Josephson effects and superfluid gyroscopes in both 4He and 3He

  13. Productino of KSR-III Airglow Photometers to Measure MUV Airglows of the Upper Atmosphere Above the Korean Peninsular

    Directory of Open Access Journals (Sweden)

    T. H. Oh

    2002-12-01

    Full Text Available We have constructed two flight models of airglow photometer system (AGP to be onboard Korea Sounding Rocket-III (KSR-III for detection of MUV dayglow above the Korean peninsular. The AGP system is designed to detect dayglow emissions of OI 2972 Å, N_2 VK (0,6 2780Å, N_2 2PG 3150Å and background 3070Å toward the horizon at altitudes between 100 km and 300 km. The AGP system consists of a photometer body, a baffle, an electronic control unit and a battery unit. The MUV dayglow emissions enter through a narrow band interference filter and focusing lens of the photometer, which contains an ultraviolet sensitive photomultiplier tube. The photometer is equipped with an in-flight calibration light source on a circular plane that will rotate at the rocket's apogee. A baffle tube is installed at the entry of the photometer in order to block strong scattering lights from the lower atmosphere. We have carried out laboratory measurements of sensitivity and in-flight calibration light source for the AGP flight models. Although absolute sensitivities of the AGP flight models could not be determined in the country, relative sensitivities among channels are well measured so that observation data during rocket flight in the future can be analyzed with confidence.

  14. Berkeley Lab Sheds Light on Improving Solar Cell Efficiency

    International Nuclear Information System (INIS)

    Lawrence Berkeley National Laboratory

    2007-01-01

    Typical manufacturing methods produce solar cells with an efficiency of 12-15%; and 14% efficiency is the bare minimum for achieving a profit. In work performed at the Ernest Orlando Lawrence Berkeley National Laboratory (Berkeley, CA, 5 10-486-577 1)--a US Department of Energy national laboratory that conducts unclassified scientific research and is managed by the University of California--scientist Scott McHugo has obtained keen insights into the impaired performance of solar cells manufactured from polycrystalline silicon. The solar cell market is potentially vast, according to Berkeley Lab. Lightweight solar panels are highly beneficial for providing electrical power to remote locations in developing nations, since there is no need to build transmission lines or truck-in generator fuel. Moreover, industrial nations confronted with diminishing resources have active programs aimed at producing improved, less expensive solar cells. 'In a solar cell, there is a junction between p-type silicon and an n-type layer, such as diffused-in phosphorous', explained McHugo, who is now with Berkeley Lab's Accelerator and Fusion Research Division. 'When sunlight is absorbed, it frees electrons, which start migrating in a random-walk fashion toward that junction. If the electrons make it to the junction; they contribute to the cell's output of electric current. Often, however, before they reach the junction, they recombine at specific sites in the crystal' (and, therefore, cannot contribute to current output). McHugo scrutinized a map of a silicon wafer in which sites of high recombination appeared as dark regions. Previously, researchers had shown that such phenomena occurred not primarily at grain boundaries in the polycrystalline material, as might be expected, but more often at dislocations in the crystal. However, the dislocations themselves were not the problem. Using a unique heat treatment technique, McHugo performed electrical measurements to investigate the material

  15. Berkeley automated supernova search

    Energy Technology Data Exchange (ETDEWEB)

    Kare, J.T.; Pennypacker, C.R.; Muller, R.A.; Mast, T.S.; Crawford, F.S.; Burns, M.S.

    1981-01-01

    The Berkeley automated supernova search employs a computer controlled 36-inch telescope and charge coupled device (CCD) detector to image 2500 galaxies per night. A dedicated minicomputer compares each galaxy image with stored reference data to identify supernovae in real time. The threshold for detection is m/sub v/ = 18.8. We plan to monitor roughly 500 galaxies in Virgo and closer every night, and an additional 6000 galaxies out to 70 Mpc on a three night cycle. This should yield very early detection of several supernovae per year for detailed study, and reliable premaximum detection of roughly 100 supernovae per year for statistical studies. The search should be operational in mid-1982.

  16. Berkeley automated supernova search

    International Nuclear Information System (INIS)

    Kare, J.T.; Pennypacker, C.R.; Muller, R.A.; Mast, T.S.

    1981-01-01

    The Berkeley automated supernova search employs a computer controlled 36-inch telescope and charge coupled device (CCD) detector to image 2500 galaxies per night. A dedicated minicomputer compares each galaxy image with stored reference data to identify supernovae in real time. The threshold for detection is m/sub v/ = 18.8. We plan to monitor roughly 500 galaxies in Virgo and closer every night, and an additional 6000 galaxies out to 70 Mpc on a three night cycle. This should yield very early detection of several supernovae per year for detailed study, and reliable premaximum detection of roughly 100 supernovae per year for statistical studies. The search should be operational in mid-1982

  17. Lawrence Berkeley Laboratory Institutional Plan FY 1995--2000

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-12-01

    This report presents the details of the mission and strategic plan for Lawrence Berkeley Laboratory during the fiscal years of 1995--2000. It presents summaries of current programs and potential changes; critical success factors such as human resources; management practices; budgetary allowances; and technical and administrative initiatives.

  18. An Intense Traveling Airglow Front in the Upper Mesosphere-Lower Thermosphere with Characteristic of a Turbulent Bore Observed over Alice Springs, Australia

    Science.gov (United States)

    Walterscheid, R. L.; Hecht, J. H.; Hickey, M. P.; Gelinas, L. J.; Vincent, R. A.; Reid, I. M.; Woithe, J.

    2010-12-01

    The Aerospace Corporation’s Nightglow Imager observed a large step-function change in airglow in the form of a traveling front in the OH and O2 airglow emissions over Alice Springs Australia on February 2, 2003. The front exhibited a stepwise increase of nearly a factor two in the OH brightness and a stepwise decrease in the O2 brightness. The change in brightness in each layer was associated with a strong leading disturbance followed by a train of weak barely visible waves. The OH airglow brightness behind the front was the brightness night for 02 at Alice Springs that we have measured in seven years of observations. The OH brightness was among the five brightest. The event was associated with a strong phase-locked two-day wave (TDW).We have analyzed the stability conditions for the upper mesosphere and lower thermosphere and found that the airglow layers were found in a region of strong ducting. The thermal structure was obtained from combining data from the SABER instrument on the TIMED satellite and the NRLMSISE-00 model. The wind profile was obtained by combining the HWM07 model and MF radar winds from Buckland Park Australia. We found that the TDW-disturbed profile was significantly more effective in supporting a high degree of ducting than a profile based only on HWM07 winds. Dramatic wall events have been interpreted as manifestations of undular bores (e.g., Smith et al. [2003]). Undular bores are nonlinear high Froude number events that must generate an ever increasing train of waves to carry the excess energy away from the bore front. Only a very weak wave train behind the initial disturbance was seen for the Alice Springs event. The form of the amplitude ordering was not typical of a nonlinear wave train. Therefore a bore interpretation requires another means of energy dissipation, namely turbulent dissipation. We suggest that a reasonable interpretation of the observed event is a turbulent bore. We are unaware of any previous event having

  19. Statistical comparisons of gravity wave features derived from OH airglow and SABER data

    Science.gov (United States)

    Gelinas, L. J.; Hecht, J. H.; Walterscheid, R. L.

    2017-12-01

    The Aerospace Corporation's near-IR camera (ANI), deployed at Andes Lidar Observatory (ALO), Cerro Pachon Chile (30S,70W) since 2010, images the bright OH Meinel (4,2) airglow band. The imager provides detailed observations of gravity waves and instability dynamics, as described by Hecht et al. (2014). The camera employs a wide-angle lens that views a 73 by 73 degree region of the sky, approximately 120 km x 120 km at 85 km altitude. Image cadence of 30s allows for detailed spectral analysis of the horizontal components of wave features, including the evolution and decay of instability features. The SABER instrument on NASA's TIMED spacecraft provides remote soundings of kinetic temperature profiles from the lower stratosphere to the lower thermosphere. Horizontal and vertical filtering techniques allow SABER temperatures to be analyzed for gravity wave variances [Walterscheid and Christensen, 2016]. Here we compare the statistical characteristics of horizontal wave spectra, derived from airglow imagery, with vertical wave variances derived from SABER temperature profiles. The analysis is performed for a period of strong mountain wave activity over the Andes spanning the period between June and September 2012. Hecht, J. H., et al. (2014), The life cycle of instability features measured from the Andes Lidar Observatory over Cerro Pachon on March 24, 2012, J. Geophys. Res. Atmos., 119, 8872-8898, doi:10.1002/2014JD021726. Walterscheid, R. L., and A. B. Christensen (2016), Low-latitude gravity wave variances in the mesosphere and lower thermosphere derived from SABER temperature observation and compared with model simulation of waves generated by deep tropical convection, J. Geophys. Res. Atmos., 121, 11,900-11,912, doi:10.1002/2016JD024843.

  20. Sub 20nm particle inspection on EUV mask blanks

    NARCIS (Netherlands)

    Bussink, P.G.W.; Volatier, J.B.; Walle, P. van der; Fritz, E.C.; Donck, J.C.J. van der

    2016-01-01

    The Rapid Nano is a particle inspection system developed by TNO for the qualification of EUV reticle handling equipment. The detection principle of this system is dark-field microscopy. The performance of the system has been improved via model-based design. Through our model of the scattering

  1. Emission spectra of photoionized plasmas induced by intense EUV pulses: Experimental and theoretical investigations

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemysław; Jarocki, Roman; Fiedorowicz, Henryk

    2017-03-01

    Experimental measurements and numerical modeling of emission spectra in photoionized plasma in the ultraviolet and visible light (UV/Vis) range for noble gases have been investigated. The photoionized plasmas were created using laser-produced plasma (LPP) extreme ultraviolet (EUV) source. The source was based on a gas puff target; irradiated with 10ns/10J/10Hz Nd:YAG laser. The EUV radiation pulses were collected and focused using grazing incidence multifoil EUV collector. The laser pulses were focused on a gas stream, injected into a vacuum chamber synchronously with the EUV pulses. Irradiation of gases resulted in a formation of low temperature photoionized plasmas emitting radiation in the UV/Vis spectral range. Atomic photoionized plasmas produced this way consisted of atomic and ionic with various ionization states. The most dominated observed spectral lines originated from radiative transitions in singly charged ions. To assist in a theoretical interpretation of the measured spectra, an atomic code based on Cowan's programs and a collisional-radiative PrismSPECT code have been used to calculate the theoretical spectra. A comparison of the calculated spectral lines with experimentally obtained results is presented. Electron temperature in plasma is estimated using the Boltzmann plot method, by an assumption that a local thermodynamic equilibrium (LTE) condition in the plasma is validated in the first few ionization states. A brief discussion for the measured and computed spectra is given.

  2. Enhancement of EUV emission from a liquid microjet target by use of dual laser pulses

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Koga, Masato; Kawasaki, Keita; Sasaki, Wataru; Kubodera, Shoichi; Kikuchi, Takashi; Yugami, Noboru; Kawata, Shigeo; Andreev, Alexander A.

    2005-03-01

    Extreme ultraviolet (EUV) radiation at the wavelength of around 13nm waws observed from a laser-produced plasma using continuous water-jet. Strong dependence of the conversion efficiency (CE) on the laser focal spot size and jet diameter was observed. The EUV CE at a given laser spot size and jet diameter was further enhanced using double laser pulses, where a pre-pulse was used for initial heating of the plasma.

  3. EUV blank defect and particle inspection with high throughput immersion AFM with 1nm 3D resolution

    NARCIS (Netherlands)

    Es, M.H. van; Sadeghian Marnani, H.

    2016-01-01

    Inspection of EUV mask substrates and blanks is demanding. We envision this is a good target application for massively parallel Atomic Force Microscopy (AFM). We envision to do a full surface characterization of EUV masks with AFM enabling 1nm true 3D resolution over the entire surface. The limiting

  4. Actinic imaging of native and programmed defects on a full-field mask

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K. A.; Fontaine, B. La; Tchikoulaeva, A.; Holfeld, C.

    2010-03-12

    We describe the imaging and characterization of native defects on a full field extreme ultraviolet (EUV) mask, using several reticle and wafer inspection modes. Mask defect images recorded with the SEMA TECH Berkeley Actinic Inspection Tool (AIT), an EUV-wavelength (13.4 nm) actinic microscope, are compared with mask and printed-wafer images collected with scanning electron microscopy (SEM) and deep ultraviolet (DUV) inspection tools. We observed that defects that appear to be opaque in the SEM can be highly transparent to EUV light, and inversely, defects that are mostly transparent to the SEM can be highly opaque to EUV. The nature and composition of these defects, whether they appear on the top surface, within the multilayer coating, or on the substrate as buried bumps or pits, influences both their significance when printed, and their detectability with the available techniques. Actinic inspection quantitatively predicts the characteristics of printed defect images in ways that may not be possible with non-EUV techniques. As a quantitative example, we investigate the main structural characteristics of a buried pit defect based on EUV through-focus imaging.

  5. Berkeley Lab Pilot on External Regulation of DOE National Laboratories by the U.S. NRC

    International Nuclear Information System (INIS)

    Zeman, Gary H.

    1999-01-01

    The US Department of Energy and the US Nuclear Regulatory Commission entered into an agreement in November 1997 to pursue external regulation of radiation safety at DOE national laboratories through a Pilot Program of simulated regulation at 6-10 sites over a 2 year period. The Ernest Orlando Lawrence Berkeley National Laboratory (Berkeley Lab), the oldest of the DOE national laboratories, volunteered and was selected as the first Pilot site. Based on the similarities and linkages between Berkeley Lab and nearby university research laboratories, Berkeley Lab seemed a good candidate for external regulation and a good first step in familiarizing NRC with the technical and institutional issues involved in regulating laboratories in the DOE complex. NRC and DOE team members visited Berkeley Lab on four occasions between October 1997 and January 1998 to carry out the Pilot. The first step was to develop a detailed Work Plan, then to carry out both a technical review of the radiation safety program and an examination of policy and regulatory issues. The Pilot included a public meeting held in Oakland, CA in December 1997. The Pilot concluded with NRC's assessment that Berkeley Lab has a radiation protection program adequate to protect workers, the public and the environment, and that it is ready to be licensed by the NRC with minor programmatic exceptions. A draft final report of the Pilot was prepared and circulated for comment as a NUREG document (dated May 7, 1998). The report's recommendations include extending NRC regulatory authority to cover all ionizing radiation sources (including accelerators, x-ray units, NARM) at Berkeley Lab. Questions remaining to be resolved include: who should be the licensee (DOE, the Lab, or both)?; dealing with legacy issues and NRC D and D requirements; minimizing dual oversight; quantifying value added in terms of cost savings, enhanced safety, and improved public perception; extrapolating results to other national laboratories; and

  6. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Huadong; Zhang, Jun; Ma, Suli [Key Laboratory of Solar Activity, National Astronomical Observatories, Chinese Academy of Sciences, Beijing 100012 (China); Yan, Xiaoli [Yunnan Observatories, Chinese Academy of Sciences, Kunming 650011 (China); Xue, Jianchao, E-mail: hdchen@nao.cas.cn, E-mail: zjun@nao.cas.cn [Key Laboratory for Dark Matter and Space Science, Purple Mountain Observatory, Chinese Academy of Sciences, Nanjing 210008 (China)

    2017-05-20

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s{sup −1}. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  7. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    International Nuclear Information System (INIS)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-01-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19–20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ∼280 km s −1 . During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ∼8 G.

  8. Solar Tornadoes Triggered by Interaction between Filaments and EUV Jets

    Science.gov (United States)

    Chen, Huadong; Zhang, Jun; Ma, Suli; Yan, Xiaoli; Xue, Jianchao

    2017-05-01

    We investigate the formations and evolutions of two successive solar tornadoes in/near AR 12297 during 2015 March 19-20. Recurrent EUV jets close to two filaments were detected along a large-scale coronal loop prior to the appearances of the tornadoes. Under the disturbances from the activities, the filaments continually ascended and finally interacted with the loops tracked by the jets. Subsequently, the structures of the filaments and the loop were merged together, probably via magnetic reconnections, and formed tornado-like structures with a long spiral arm. Our observations suggest that solar tornadoes can be triggered by the interaction between filaments and nearby coronal jets, which has rarely been reported before. At the earlier development phase of the first tornado, about 30 small-scale sub-jets appeared in the tornado’s arm, accompanied by local EUV brightenings. They have an ejection direction approximately vertical to the axis of the arm and a typical maximum speed of ˜280 km s-1. During the ruinations of the two tornadoes, fast plasma outflows from the strong EUV brightenings inside tornadoes are observed, in company with the untangling or unwinding of the highly twisted tornado structures. These observational features indicate that self reconnections probably occurred between the tangled magnetic fields of the tornadoes and resulted in the rapid disintegrations and disappearances of the tornadoes. According to the reconnection theory, we also derive the field strength of the tornado core to be ˜8 G.

  9. Use of O2 airglow for calibrating direct atomic oxygen measurements from sounding rockets

    Directory of Open Access Journals (Sweden)

    G. Witt

    2009-12-01

    Full Text Available Accurate knowledge about the distribution of atomic oxygen is crucial for many studies of the mesosphere and lower thermosphere. Direct measurements of atomic oxygen by the resonance fluorescence technique at 130 nm have been made from many sounding rocket payloads in the past. This measurement technique yields atomic oxygen profiles with good sensitivity and altitude resolution. However, accuracy is a problem as calibration and aerodynamics make the quantitative analysis challenging. Most often, accuracies better than a factor 2 are not to be expected from direct atomic oxygen measurements. As an example, we present results from the NLTE (Non Local Thermodynamic Equilibrium sounding rocket campaign at Esrange, Sweden, in 1998, with simultaneous O2 airglow and O resonance fluorescence measurements. O number densities are found to be consistent with the nightglow analysis, but only within the uncertainty limits of the resonance fluorescence technique. Based on these results, we here describe how better atomic oxygen number densities can be obtained by calibrating direct techniques with complementary airglow photometer measurements and detailed aerodynamic analysis. Night-time direct O measurements can be complemented by photometric detection of the O2 (b1∑g+−X3∑g- Atmospheric Band at 762 nm, while during daytime the O2 (a1Δg−X3∑g- Infrared Atmospheric Band at 1.27 μm can be used. The combination of a photometer and a rather simple resonance fluorescence probe can provide atomic oxygen profiles with both good accuracy and good height resolution.

  10. Studies of the polar MLT region using SATI airglow measurements

    Science.gov (United States)

    Cho, Youngmin

    To investigate atmospheric dynamics of the MLT (Mesosphere and Lower Thermosphere) region, a ground-based instrument called SATI (Spectral Airglow Temperature Imager) was developed at York University. The rotational temperatures and emission rates of the OH (6-2) Meinel band and the O2 (0-1) Atmospheric band have been measured in the MLT region by the SATI instrument at Resolute Bay (74.68°N, 94.90°W) since November, 2001, and at the King Sejong station (62.22°S, 58.75°W) since February, 2002. The MLT measurements are examined for periodic oscillations in the ambient temperature and airglow emission rate. A dominant and coherent 4-hr oscillation is seen in both the OH and O2 temperature and emission rate at Resolute Bay in November, 2001. Tidal variation with a 12 hour period is shown in hourly averaged temperatures of the season 2001--2002 and the season 2003--2004. In addition, planetary waves with periods of 3 and 4.5 days are also seen in a longer interval. The observations at high latitudes have revealed that temperatures and emission rates are higher around the winter solstice. MLT cooling events were found at Resolute Bay in December, 2001 and February, 2002. They are compared with the UKMO (UK Meteorological Office) stratospheric assimilated data, and the MLT coolings coincide in time with the stratospheric warmings. A consistent inverse relationship of the OH temperatures and temperatures at 0.316 hPa is presented in the comparison. In previous studies of wave perturbations, the background (mean) values were normally subtracted from the instantaneous signal, but in the present investigation this was not done, allowing the long-term relationship to be examined. A positive relationship of the temperature and emission rate is seen from the SATI measurements for both short and long-term variations, suggesting that similar dynamical processes are responsible for both. This relationship is supported by satellite data from the SABER (Sounding of the

  11. 4-D modeling of CME expansion and EUV dimming observed with STEREO/EUVI

    Directory of Open Access Journals (Sweden)

    M. J. Aschwanden

    2009-08-01

    Full Text Available This is the first attempt to model the kinematics of a CME launch and the resulting EUV dimming quantitatively with a self-consistent model. Our 4-D-model assumes self-similar expansion of a spherical CME geometry that consists of a CME front with density compression and a cavity with density rarefaction, satisfying mass conservation of the total CME and swept-up corona. The model contains 14 free parameters and is fitted to the 25 March 2008 CME event observed with STEREO/A and B. Our model is able to reproduce the observed CME expansion and related EUV dimming during the initial phase from 18:30 UT to 19:00 UT. The CME kinematics can be characterized by a constant acceleration (i.e., a constant magnetic driving force. While the observations of EUVI/A are consistent with a spherical bubble geometry, we detect significant asymmetries and density inhomogeneities with EUVI/B. This new forward-modeling method demonstrates how the observed EUV dimming can be used to model physical parameters of the CME source region, the CME geometry, and CME kinematics.

  12. Study of EUV induced defects on few-layer graphene

    NARCIS (Netherlands)

    Gao, An; Rizo, P.J.; Zoethout, E.; Scaccabarozzi, L.; Lee, Christopher James; Banine, V.; Bijkerk, Frederik

    2012-01-01

    Defects in graphene greatly affect its properties1-3. Radiation induced-defects may reduce the long-term survivability of graphene-based nano-devices. Here, we expose few-layer graphene to extreme ultraviolet (EUV, 13.5nm) radiation and show there is a power-dependent increase in defect density. We

  13. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  14. Response of inorganic materials to laser - plasma EUV radiation focused with a lobster eye collector

    Science.gov (United States)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Szczurek, Miroslaw; Havlikova, Radka; Pína, Ladislav; Švéda, Libor; Inneman, Adolf

    2007-05-01

    A single photon of EUV radiation carries enough energy to break any chemical bond or excite electrons from inner atomic shells. It means that the radiation regardless of its intensity can modify chemical structure of molecules. It is the reason that the radiation even with low intensity can cause fragmentation of long chains of organic materials and desorption of small parts from their surface. In this work interaction of EUV radiation with inorganic materials was investigated. Different inorganic samples were irradiated with a 10 Hz laser - plasma EUV source based on a gas puff target. The radiation was focused on a sample surface using a lobster eye collector. Radiation fluence at the surface reached 30 mJ/cm2 within a wavelength range 7 - 20 nm. In most cases there was no surface damage even after several minutes of irradiation. In some cases there could be noticed discolouration of an irradiated surface or evidences of thermal effects. In most cases however luminescent and scattered radiation was observed. The luminescent radiation was emitted in different wavelength ranges. It was recorded in a visible range of radiation and also in a wide wavelength range including UV, VUV and EUV. The radiation was especially intense in a case of non-metallic chemical compounds.

  15. Enabling laboratory EUV research with a compact exposure tool

    Science.gov (United States)

    Brose, Sascha; Danylyuk, Serhiy; Tempeler, Jenny; Kim, Hyun-su; Loosen, Peter; Juschkin, Larissa

    2016-03-01

    In this work we present the capabilities of the designed and realized extreme ultraviolet laboratory exposure tool (EUVLET) which has been developed at the RWTH-Aachen, Chair for the Technology of Optical Systems (TOS), in cooperation with the Fraunhofer Institute for Laser Technology (ILT) and Bruker ASC GmbH. Main purpose of this laboratory setup is the direct application in research facilities and companies with small batch production, where the fabrication of high resolution periodic arrays over large areas is required. The setup can also be utilized for resist characterization and evaluation of its pre- and post-exposure processing. The tool utilizes a partially coherent discharge produced plasma (DPP) source and minimizes the number of other critical components to a transmission grating, the photoresist coated wafer and the positioning system for wafer and grating and utilizes the Talbot lithography approach. To identify the limits of this approach first each component is analyzed and optimized separately and relations between these components are identified. The EUV source has been optimized to achieve the best values for spatial and temporal coherence. Phase-shifting and amplitude transmission gratings have been fabricated and exposed. Several commercially available electron beam resists and one EUV resist have been characterized by open frame exposures to determine their contrast under EUV radiation. Cold development procedure has been performed to further increase the resist contrast. By analyzing the exposure results it can be demonstrated that only a 1:1 copy of the mask structure can be fully resolved by the utilization of amplitude masks. The utilized phase-shift masks offer higher 1st order diffraction efficiency and allow a demagnification of the mask structure in the achromatic Talbot plane.

  16. Lawrence Berkeley National Laboratory 2016 Annual Financial Report

    Energy Technology Data Exchange (ETDEWEB)

    Williams, Kim, P.; Williams, Kim, P.

    2017-06-27

    FY2016 was a year of significant change and progress at Berkeley Lab. In March, Laboratory Director Michael Witherell assumed his new role when former Lab Director Paul Alivisatos became Vice Chancellor for Research at UC Berkeley. Dr. Witherell has solidified the Lab’s strategy, with a focus on long term science and technology priorities. Large-scale science efforts continued to expand at the Lab, including the Dark Energy Spectroscopic Instrument now heading towards construction, and the LUX-ZEPLIN dark matter detector to be built underground in South Dakota. Another proposed project, the Advanced Light Source-Upgrade, was given preliminary approval and will be the Lab’s largest scientific investment in years. Construction of the Integrative Genomics Building began, and will bring together researchers from the Lab’s Joint Genome Institute, now based in Walnut Creek, and the Systems Biology Knowledgebase (K-Base) under one roof. Investment in the Lab’s infrastructure also continues, informed by the Lab’s Infrastructure Strategic Plan. Another important focus is on developing the next generation of scientists with the talent and diversity needed to sustain Berkeley Lab’s scientific leadership and mission contributions to DOE and the Nation. Berkeley Lab received $897.5M in new FY2016 funding, a 12.5% increase over FY2015, for both programmatic and infrastructure activities. While the Laboratory experienced a substantial increase in funding, it was accompanied by only a modest increase in spending, as areas of growth were partially offset by the completion of several major efforts in FY2015. FY2016 costs were $826.9M, an increase of 1.9% over FY2015. Similar to the prior year, the indirect-funded Operations units worked with generally flat budgets to yield more funding for strategic needs. A key challenge for Berkeley Lab continues to be achieving the best balance to fund essential investments, deliver highly effective operational mission support and

  17. Annual environmental monitoring report of the Lawrence Berkeley Laboratory, 1980

    International Nuclear Information System (INIS)

    Schleimer, G.E.

    1981-04-01

    The Environmental Monitoring Program of the Lawrence Berkeley Laboratory is described. Data on air and water sampling and continuous radiation monitoring for 1980 are presented, and general trends are discussed

  18. Berkeley Lab Computing Sciences: Accelerating Scientific Discovery

    International Nuclear Information System (INIS)

    Hules, John A.

    2008-01-01

    Scientists today rely on advances in computer science, mathematics, and computational science, as well as large-scale computing and networking facilities, to increase our understanding of ourselves, our planet, and our universe. Berkeley Lab's Computing Sciences organization researches, develops, and deploys new tools and technologies to meet these needs and to advance research in such areas as global climate change, combustion, fusion energy, nanotechnology, biology, and astrophysics

  19. Discharge plasmas as EUV Sources for Future Micro Lithography

    Science.gov (United States)

    Kruecken, Thomas

    2007-08-01

    Future extreme ultraviolet (EUV) lithography will require very high radiation intensities in a narrow wavelength range around 13.5 nm, which is most efficiently emitted as line radiation by highly ionized heavy particles. Currently the most intense EUV sources are based on xenon or tin gas discharges. After having investigated the limits of a hollow cathode triggered xenon pinch discharge Philips Extreme UV favors a laser triggered tin vacuum spark discharge. Plasma and radiation properties of these highly transient discharges will be compared. Besides simple MHD-models the ADAS software package has been used to generate important atomic and spectral data of the relevant ion stages. To compute excitation and radiation properties, collisional radiative equilibria of individual ion stages are computed. For many lines opacity effects cannot be neglected. In the xenon discharges the optical depths allow for a treatment based on escape factors. Due to the rapid change of plasma parameters the abundancies of the different ionization stages must be computed dynamically. This requires effective ionization and recombination rates, which can also be supplied by ADAS. Due to very steep gradients (up to a couple orders of magnitude per mm) the plasma of tin vacuum spark discharges is very complicated. Therefore we shall describe here only some technological aspects of our tin EUV lamp: The electrode system consists of two rotating which are pulled through baths of molten tin such that a tin film remains on their surfaces. With a laser pulse some tin is ablated from one of the wheels and travels rapidly through vacuum towards the other rotating wheel. When the tin plasma reaches the other electrodes it ignites and the high current phase starts, i.e. the capacitor bank is unloaded, the plasma is pinched and EUV is radiated. Besides the good spectral properties of tin this concept has some other advantages: Erosion of electrodes is no severe problem as the tin film is

  20. Long-life cathode for the Berkeley-type ion source

    International Nuclear Information System (INIS)

    Fink, J.H.; Biagi, L.A.

    1977-01-01

    Preliminary experiments indicate that a hollow cathode, made from impregnated tungsten emitters, can be adapted for the Lawrence Berkeley Laboratory (LBL)/Lawrence Livermore Laboratory (LLL) ion source. Such cathodes could be the basis of a long life, continuously operated positive-ion source

  1. Lawrence Berkeley Laboratory 1993 Site Environmental Report

    Energy Technology Data Exchange (ETDEWEB)

    1994-05-01

    This annual Site Environmental Report summarizes Lawrence Berkeley Laboratory`s (LBL`s) environmental activities in calendar year (CY) 1993. The purpose of this report is to characterize site environmental management performance, confirm compliance status with environmental standards and requirements, and highlight significant programs and efforts. Its format and content are consistent with the requirements of the US Department of Energy (DOE) Order 5400.1, General Environmental Protection Program.

  2. The decommissioning of Berkeley II

    International Nuclear Information System (INIS)

    Hannan, A.

    2002-01-01

    This paper describes the decommissioning progress at the Magnox site at Berkeley in Gloucestershire.Throughout the work at Berkeley the emphasis has been on conducting decommissioning safely. This has been reflected in the progress of decommissioning starting with removal of the fuel from site and thus much greater than 99% of the radioactive inventory. The major radioactive hazard is the Intermediate Level Waste in the form of fuel element debris (graphite struts and extraneous magnox components removed to increase the packing density of fuel elements in flasks going to Sellafield), miscellaneous activated components, sludges and resins. Approximately 1500 m 3 of such material exists and is stored in underground waste vaults on site. Work is underway to recover and encapsulate the waste in cement so rendering it 'passively safe'. All work on site is covered by a nuclear safety case which has a key objective of minimising the radiological exposures that could accrue to workers. Reflecting this an early decision has been taken to leave work on the Reactor Pressure Vessels themselves for several decades. Also important in protection of the workforce has been control of asbestos.Much material has been removed with redundant plant and equipment, but a programme of remediation in line with government legislation has been required to ensure personnel safety throughout the decommissioning period and into Care and Maintenance.In addition to health and safety matters the site approach to environmental issues has been consistent. Formally such standards as ISO 14001 have been adhered to and the appropriate certification maintained. At a working level the principles of reduce, reuse and recycle have been inculcated

  3. UC-Berkeley-area citizens decry waste transfer from lab.

    CERN Multimedia

    Nakasato, L

    2002-01-01

    Residents are working to stop the transfer of potentially hazardous and radioactive material from Lawrence Berkeley National Laboratory. The lab has begun to dismantle the Bevatron which has been shut down since 1993 and says eight trucks per day will move material offsite (1 page).

  4. The EUV Spectrum of Sunspot Plumes Observed by SUMER on ...

    Indian Academy of Sciences (India)

    tribpo

    Abstract. We present results from sunspot observations obtained by. SUMER on SOHO. In sunspot plumes the EUV spectrum differs from the quiet Sun; continua are observed with different slopes and intensities; emission lines from molecular hydrogen and many unidentified species indicate unique plasma conditions ...

  5. First Study on the Occurrence Frequency of Equatorial Plasma Bubbles over West Africa Using an All-Sky Airglow Imager and GNSS Receivers

    Science.gov (United States)

    Okoh, Daniel; Rabiu, Babatunde; Shiokawa, Kazuo; Otsuka, Yuichi; Segun, Bolaji; Falayi, Elijah; Onwuneme, Sylvester; Kaka, Rafiat

    2017-12-01

    This is the first paper that reports the occurrence frequency of equatorial plasma bubbles and their dependences of local time, season, and geomagnetic activity based on airglow imaging observations at West Africa. The all-sky imager, situated in Abuja (Geographic: 8.99°N, 7.38°E; Geomagnetic: 1.60°S), has a 180° fisheye view covering almost the entire airspace of Nigeria. Plasma bubbles are observed for 70 nights of the 147 clear-sky nights from 9 June 2015 to 31 January 2017. Differences between nighttime and daytime ROTIs were also computed as a proxy of plasma bubbles using Global Navigation Satellite Systems (GNSS) receivers within the coverage of the all-sky imager. Most plasma bubble occurrences are found during equinoxes and least occurrences during solstices. The occurrence rate of plasma bubbles was highest around local midnight and lower for hours farther away. Most of the postmidnight plasma bubbles were observed around the months of December to March, a period that coincides with the harmattan period in Nigeria. The on/off status of plasma bubble in airglow and GNSS observations were in agreement for 67.2% of the total 768 h, while we suggest several reasons responsible for the remaining 32.8% when the airglow and GNSS bubble status are inconsistent. A majority of the plasma bubbles were observed under relatively quiet geomagnetic conditions (Dst ≥ -40 and Kp ≤ 3), but there was no significant pattern observed in the occurrence rate of plasma bubbles as a function of geomagnetic activity. We suggest that geomagnetic activities could have either suppressed or promoted the occurrence of plasma bubbles.

  6. Effect of solar UV/EUV heating on the intensity and spatial distribution of Jupiter's synchrotron radiation

    Science.gov (United States)

    Kita, H.; Misawa, H.; Tsuchiya, F.; Tao, C.; Morioka, A.

    2013-10-01

    We analyzed the Very Large Array archived data observed in 2000 to determine whether solar ultraviolet (UV)/extreme ultraviolet (EUV) heating of the Jovian thermosphere causes variations in the total flux density and dawn-dusk asymmetry (the characteristic differences between the peak emissions at dawn and dusk) of Jupiter's synchrotron radiation (JSR). The total flux density varied by 10% over 6 days of observations and accorded with theoretical expectations. The average dawn-dusk peak emission ratio indicated that the dawn side emissions were brighter than those on the dusk side and this was expected to have been caused by diurnal wind induced by the solar UV/EUV. The daily variations in the dawn-dusk ratio did not correspond to the solar UV/EUV, and this finding did not support the theoretical expectation that the dawn-dusk ratio and diurnal wind velocity varies in correspondence with the solar UV/EUV. We tried to determine whether the average dawn-dusk ratio could be explained by a reasonable diurnal wind velocity. We constructed an equatorial brightness distribution model of JSR using the revised Divine-Garrett particle distribution model and used it to derive a relation between the dawn-dusk ratio and diurnal wind velocity. The estimated diurnal wind velocity reasonably corresponded to a numerical simulation of the Jovian thermosphere. We also found that realistic changes in the diurnal wind velocity could not cause the daily variations in the dawn-dusk ratio. Hence, we propose that the solar UV/EUV related variations were below the detection limit and some other processes dominated the daily variations in the dawn-dusk ratio.

  7. The Indirect Perception of Distance: Interpretive Complexities in Berkeley's Theory of Vision

    Directory of Open Access Journals (Sweden)

    Michael James Braund

    2007-12-01

    Full Text Available The problem of whether perception is direct or if it depends on additional, cognitive contributions made by the perceiving subject, is posed with particular force in an Essay towards a New Theory of Vision (NTV. It is evident from the recurrent treatment it receives therein that Berkeley considers it to be one of the central issues concerning perception. Fittingly, the NTV devotes the most attention to it. In this essay, I deal exclusively with Berkeley's treatment of the problem of indirect distance perception, as it is presented in the context of that work.

  8. Intermittency of gravity wave momentum flux in the mesopause region observed with an all-sky airglow imager

    Science.gov (United States)

    Cao, Bing; Liu, Alan Z.

    2016-01-01

    The intermittency of gravity wave momentum flux (MF) near the OH airglow layer (˜87 km) in the mesopause region is investigated for the first time using observation of all-sky airglow imager over Maui, Hawaii (20.7°N, 156.3°W), and Cerro Pachón, Chile (30.3°S, 70.7°W). At both sites, the probability density function (pdf) of gravity wave MF shows two distinct distributions depending on the magnitude of the MF. For MF smaller (larger) than ˜16 m2 s-2 (0.091 mPa), the pdf follows a lognormal (power law) distribution. The intermittency represented by the Bernoulli proxy and the percentile ratio shows that gravity waves have higher intermittency at Maui than at Cerro Pachón, suggesting more intermittent background variation above Maui. It is found that most of the MF is contributed by waves that occur very infrequently. But waves that individually contribute little MF are also important because of their higher occurrence frequencies. The peak contribution is from waves with MF around ˜2.2 m2 s-2 at Cerro Pachón and ˜5.5 m2 s-2 at Maui. Seasonal variations of the pdf and intermittency imply that the background atmosphere has larger influence on the observed intermittency in the mesopause region.

  9. Environmental Assessment for the proposed Induction Linac System Experiments in Building 51B at Lawrence Berkeley National Laboratory, Berkeley, California

    International Nuclear Information System (INIS)

    1995-08-01

    The US Department of Energy (DOE) has prepared an Environmental Assessment (EA), (DOE/EA-1087) evaluating the proposed action to modify existing Building 51B at Lawrence Berkeley National Laboratory (LBNL) to install and conduct experiments on a new Induction Linear Accelerator System. LBNL is located in Berkeley, California and operated by the University of California (UC). The project consists of placing a pre-fabricated building inside Building 51B to house a new 10 MeV heavy ion linear accelerator. A control room and other support areas would be provided within and directly adjacent to Building 51B. The accelerator system would be used to conduct tests, at reduced scale and cost, many features of a heavy-ion accelerator driver for the Department of Energy's inertial fusion energy program. Based upon information and analyses in the EA, the DOE has determined that the proposed action is not a major Federal action significantly affecting the quality of the human environment within the meaning of the National Environmental Policy Act of 1969. Therefore, an Environmental Impact Statement is not required. This report contains the Environmental Assessment, as well as the Finding of No Significant Impact (FONSI)

  10. Measurements of the Michigan Airglow Observatory from 1971 to 1973 at Ester Dome Alaska

    Science.gov (United States)

    Mcwatters, K. D.; Meriwether, J. W.; Hays, P. B.; Nagy, A. F.

    1973-01-01

    The Michigan Airglow Observatory (MAO) was located at Ester Dome Observatory, College, Alaska (latitude: 64 deg 53'N, longitude: 148 deg 03'W) since October, 1971. The MAO houses a 6-inch Fabry-Perot interferometer, a 2-channel monitoring photometer and a 4-channel tilting filter photometer. The Fabry-Perot interferometer was used extensively during the winter observing seasons of 1971-72 and 1972-73 to measure temperature and mass motions of the neutral atmosphere above approximately 90 kilometers altitude. Neutral wind data from the 1971-72 observing season as measured by observing the Doppler shift of the gamma 6300 A atomic oxygen emission line are presented.

  11. New nuclear physics at Berkeley Conference

    International Nuclear Information System (INIS)

    Anon.

    1980-01-01

    One of the highlights of the summer was the International Conference on Nuclear Physics, held at Berkeley in August. These big meetings provide a periodic focus for the nuclear physics community. Overall, the Conference paid a lot of attention to topics and phenomna which only a few years ago would have been considered exotic. With many novel ideas being put forward and with new projects afoot, a lot of fresh ground could have been covered by the time of the next meeting, scheduled to be held in Florence in a few years

  12. The Diagnostics of the kappa-Distributions from EUV Spectra

    Czech Academy of Sciences Publication Activity Database

    Dzifčáková, Elena; Kulinová, Alena

    2010-01-01

    Roč. 263, 1-2 (2010), s. 25-41 ISSN 0038-0938 R&D Projects: GA ČR GA205/09/1705 Grant - others:VEGA(SK) 1/0069/08 Institutional research plan: CEZ:AV0Z10030501 Keywords : EUV spectra * non- thermal distributions * plasma diagnostics Subject RIV: BN - Astronomy, Celestial Mechanics, Astrophysics Impact factor: 3.386, year: 2010

  13. EUV stimulated emission from MgO pumped by FEL pulses

    Directory of Open Access Journals (Sweden)

    Philippe Jonnard

    2017-09-01

    Full Text Available Stimulated emission is a fundamental process in nature that deserves to be investigated and understood in the extreme ultra-violet (EUV and x-ray regimes. Today, this is definitely possible through high energy density free electron laser (FEL beams. In this context, we give evidence for soft-x-ray stimulated emission from a magnesium oxide solid target pumped by EUV FEL pulses formed in the regime of travelling-wave amplified spontaneous emission in backward geometry. Our results combine two effects separately reported in previous works: emission in a privileged direction and existence of a material-dependent threshold for the stimulated emission. We develop a novel theoretical framework, based on coupled rate and transport equations taking into account the solid-density plasma state of the target. Our model accounts for both observed mechanisms that are the privileged direction for the stimulated emission of the Mg L2,3 characteristic emission and the pumping threshold.

  14. Optical, UV, and EUV Oscillations of SS Cygni in Outburst

    Science.gov (United States)

    Mauche, Christopher W.

    2004-07-01

    I provide a review of observations in the optical, UV (HST), and EUV (EUVE and Chandra LETG) of the rapid periodic oscillations of nonmagnetic, disk-accreting, high mass-accretion rate cataclysmic variables (CVs), with particular emphasis on the dwarf nova SS Cyg in outburst. In addition, I drawn attention to a correlation, valid over nearly six orders of magnitude in frequency, between the frequencies of the quasi-periodic oscillations (QPOs) of white dwarf, neutron star, and black hole binaries. This correlation identifies the high frequency quasi-coherent oscillations (so-called ``dwarf nova oscillations'') of CVs with the kilohertz QPOs of low mass X-ray binaries (LMXBs), and the low frequency and low coherence QPOs of CVs with the horizontal branch oscillations (or the broad noise component identified as such) of LMXBs. Assuming that the same mechanisms produce the QPOs of white dwarf, neutron star, and black hole binaries, this correlation has important implications for QPO models.

  15. Driving down defect density in composite EUV patterning film stacks

    Science.gov (United States)

    Meli, Luciana; Petrillo, Karen; De Silva, Anuja; Arnold, John; Felix, Nelson; Johnson, Richard; Murray, Cody; Hubbard, Alex; Durrant, Danielle; Hontake, Koichi; Huli, Lior; Lemley, Corey; Hetzer, Dave; Kawakami, Shinichiro; Matsunaga, Koichi

    2017-03-01

    Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.

  16. Environmental assessment for construction and operation of a Human Genome Laboratory at Lawrence Berkeley Laboratory, Berkeley, California

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1994-12-01

    Lawrence Berkeley Laboratory (LBL) proposes to construct and operate a new laboratory for consolidation of current and future activities of the Human Genome Center (HGC). This document addresses the potential direct, indirect, and cumulative environmental and human-health effects from the proposed facility construction and operation. This document was prepared in accordance the National Environmental Policy Act of 1969 (United States Codes 42 USC 4321-4347) (NEPA) and the US Department of Energy`s (DOE) Final Rule for NEPA Implementing Procedures [Code of Federal Regulations 10CFR 1021].

  17. Solar Cycle Variation of Microwave Polar Brightening and EUV Coronal Hole Observed by Nobeyama Radioheliograph and SDO/AIA

    Science.gov (United States)

    Kim, Sujin; Park, Jong-Yeop; Kim, Yeon-Han

    2017-08-01

    We investigate the solar cycle variation of microwave and extreme ultraviolet (EUV) intensity in latitude to compare microwave polar brightening (MPB) with the EUV polar coronal hole (CH). For this study, we used the full-sun images observed in 17 GHz of the Nobeyama Radioheliograph from 1992 July to 2016 November and in two EUV channels of the Atmospheric Imaging Assembly (AIA) 193 Å and 171 Å on the Solar Dynamics Observatory (SDO) from 2011 January to 2016 November. As a result, we found that the polar intensity in EUV is anti-correlated with the polar intensity in microwave. Since the depression of EUV intensity in the pole is mostly owing to the CH appearance and continuation there, the anti-correlation in the intensity implies the intimate association between the polar CH and the MPB. Considering the report of tet{gopal99} that the enhanced microwave brightness in the CH is seen above the enhanced photospheric magnetic field, we suggest that the pole area during the solar minimum has a stronger magnetic field than the quiet sun level and such a strong field in the pole results in the formation of the polar CH. The emission mechanism of the MPB and the physical link with the polar CH are not still fully understood. It is necessary to investigate the MPB using high resolution microwave imaging data, which can be obtained by the high performance large-array radio observatories such as the ALMA project.

  18. Seasonal Variations of Mesospheric Gravity Waves Observed with an Airglow All-sky Camera at Mt. Bohyun, Korea (36° N

    Directory of Open Access Journals (Sweden)

    Yong Ha Kim

    2010-09-01

    Full Text Available We have carried out all-sky imaging of OH Meinel, O2 atmospheric and OI 557.7 nm airglow layers in the period from July of 2001 through September of 2005 at Mt. Bohyun, Korea (36.2° N, 128.9° E, Alt = 1,124 m. We analyzed the images observed during a total of 153 clear moonless nights and found 97 events of band-type waves. The characteristics of the observed waves (wavelengths, periods, and phase speeds are consistent with internal gravity waves. The wave occurrence shows an approximately semi-annual variation, with maxima near solstices and minima near equinoxes, which is consistent with other studies of airglow wave observations, but not with those of mesospheric radar/lidar observations. The observed waves tended to propagate westward during fall and winter, and eastward during spring and summer. Our ray tracing study of the observed waves shows that majority of the observed waves seemed to originate from mesospheric altitudes. The preferential directions and the apparent source altitudes can be explained if the observed waves are secondary waves generated from primary waves that have been selected by the filtering process and break up at the mesospheric altitudes.

  19. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    NARCIS (Netherlands)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert Wilhelmus Elisabeth; Yakshin, Andrey; Louis, Eric; Bijkerk, Frederik

    2017-01-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV

  20. Material design of negative-tone polyphenol resist for EUV and EB lithography

    Science.gov (United States)

    Kojima, Kyoko; Mori, Shigeki; Shiono, Daiju; Hada, Hideo; Onodera, Junichi

    2007-03-01

    In order to enable design of a negative-tone polyphenol resist using polarity-change reaction, five resist compounds (3M6C-MBSA-BLs) with different number of functional group of γ-hydroxycarboxyl acid were prepared and evaluated by EB lithography. The resist using mono-protected compound (3M6C-MBSA-BL1a) showed 40-nm hp resolution at an improved dose of 52 μC/cm2 probably due to removal of a non-protected polyphenol while the sensitivity of the resist using a compound of protected ratio of 1.1 on average with distribution of different protected ratio was 72 μC/cm2. For evaluation of the di-protected compound based resist, a di-protected polyphenol was synthesized by a newly developed synthetic route of 3-steps reaction, which is well-suited for mass production. The resist using di-protected compound (3M6C-MBSA-BL2b) also showed 40-nm hp resolution at a dose of 40 μC/cm2, which was faster than that of mono-protected resist. Fundamental EUV lithographic evaluation of the resist using 3M6C-MBSA-BL2b by an EUV open frame exposure tool (EUVES-7000) gave its estimated optimum sensitivity of 7 mJ/cm2 and a proof of fine development behavior without any swelling.

  1. Annual environmental monitoring report of the Lawrence Berkeley Laboratory, 1986

    International Nuclear Information System (INIS)

    Schleimer, G.E.

    1987-04-01

    The Environmental Monitoring Program of the Lawrence Berkeley Laboratory is described. Data for 1986 are presented and general trends are discussed. Topics include radiation monitoring, wastewater discharge monitoring, dose distribution estimates, and ground water monitoring. 9 refs., 8 figs., 20 tabs

  2. Roughness characterization of EUV multilayer coatings and ultra-smooth surfaces by light scattering

    Science.gov (United States)

    Trost, M.; Schröder, S.; Lin, C. C.; Duparré, A.; Tünnermann, A.

    2012-09-01

    Optical components for the extreme ultraviolet (EUV) face stringent requirements for surface finish, because even small amounts of surface and interface roughness can cause significant scattering losses and impair image quality. In this paper, we investigate the roughness evolution of Mo/Si multilayers by analyzing the scattering behavior at a wavelength of 13.5 nm as well as taking atomic force microscopy (AFM) measurements before and after coating. Furthermore, a new approach to measure substrate roughness is presented, which is based on light scattering measurements at 405 nm. The high robustness and sensitivity to roughness of this method are illustrated using an EUV mask blank with a highspatial frequency roughness of as low as 0.04 nm.

  3. Improvements in the EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Horne, Stephen F.; Gustafson, Deborah; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-04-01

    Now that EUV lithography systems are beginning to ship into the fabs for next generation chips it is more critical that the EUV infrastructure developments are keeping pace. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinch™ light source since 2005. The source is currently being used for metrology, mask inspection, and resist development. These applications require especially stable performance in both power and source size. Over the last 5 years Energetiq has made many source modifications which have included better thermal management as well as high pulse rate operation6. Recently we have further increased the system power handling and electrical pulse reproducibility. The impact of these modifications on source performance will be reported.

  4. Magnetic storm effects on the tropical ultraviolet airglow

    International Nuclear Information System (INIS)

    Gerard, J.; Anderson, D.N.; Matsushita, S.

    1977-01-01

    The intensity and latitudinal distribution of the O I 1304- and 1356-A nighttime emissions associated with the equatorial anomaly have been observed by the ultraviolet spectrometer on board the Ogo 4 satellite. Conspicuous effects, apparently related to magnetic activity, have been noticed during the geomagnetic storm of October 29 to November 4, 1968. These effects include (1) large latitudinal variations of the 1304/1356-A intensity ratio, (2) large interhemispheric asymmetries in the 1356-A intensity, and (3) a pronounced longitude dependence in the airglow intensity during the recovery phase. The results of model calculations allowing for changes in the vertical E x B drift velocity, the meridional and zonal wind velocity, and neutral composition are discussed. The variations of the 1304/1356-A ratio can be accounted for by changes in the altitude of the F layer due to neutral wind and E x B drift. Zonal wind speeds approaching 300 m/s explain the interhemispheric asymmetries observed in the Pacific sector, and both drift velocity and composition changes can explain the longitudinal differences observed during the recovery phase. In addition, it is found that the ratio 1304/1356 A=6 maps out H/sub max/(F 2 ) extremely well, independent of which E x B drift or neutral wind model is used

  5. Tidal variations of O2 Atmospheric and OH(6-2 airglow and temperature at mid-latitudes from SATI observations

    Directory of Open Access Journals (Sweden)

    M. J. López-González

    2005-12-01

    Full Text Available Airglow observations with a Spectral Airglow Temperature Imager (SATI, installed at the Sierra Nevada Observatory (37.06° N, 3.38° W at 2900-m height, have been used to investigate the presence of tidal variations at mid-latitudes in the mesosphere/lower thermosphere region. Diurnal variations of the column emission rate and vertically averaged temperature of the O2 Atmospheric (0-1 band and of the OH Meinel (6-2 band from 5 years (1998-2003 of observations have been analysed. From these observations a clear tidal variation of both emission rates and rotational temperatures is inferred. It is found that the amplitude of the daily variation for both emission rates and temperatures is greater from late autumn to spring than during summer. The amplitude decreases by more than a factor of two during summer and early autumn with respect to the amplitude in the winter-spring months. Although the tidal modulations are preferentially semidiurnal in both rotational temperatures and emission rates during the whole year, during early spring the tidal modulations seem to be more consistent with a diurnal modulation in both rotational temperatures and emission rates. Moreover, the OH emission rate from late autumn to early winter has a pattern suggesting both diurnal and semidiurnal tidal modulations.

  6. Reflectance Tuning at Extreme Ultraviolet (EUV) Wavelengths with Active Multilayer Mirrors

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Lee, Christopher James; van Goor, F.A.; Koster, Gertjan; Rijnders, Augustinus J.H.M.; Bijkerk, Frederik

    2011-01-01

    At extreme ultraviolet (EUV) wavelengths the refractive power of transmission type optical components is limited, therefore reflective components are used. Reflective optics (multilayer mirrors) usually consist of many bilayers and each bilayer is composed of a high and a low refractive index

  7. RapidNano: towards 20nm Particle Detection on EUV Mask Blanks

    NARCIS (Netherlands)

    Donck, J.C.J. van der; Bussink, P.G.W.; Fritz, E.C.; Walle, P. van der

    2016-01-01

    Cleanliness is a prerequisite for obtaining economically feasible yield levels in the semiconductor industry. For the next generation of lithographic equipment, EUV lithography, the size of yield-loss inducing particles for the masks will be smaller than 20 nm. Consequently, equipment for handling

  8. Environmental health-risk assessment for tritium releases at the National Tritium Labeling Facility at Lawrence Berkeley National Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    McKone, T.E.; Brand, K.P. [Lawrence Livermore National Lab., CA (United States). Health and Ecological Assessment Div.; Shan, C. [Lawrence Berkeley National Lab., CA (United States). Earth Sciences Div.

    1997-04-01

    This risk assessment calculates the probability of experiencing health effects, including cancer incidence due to tritium exposure for three groups of people: (1) LBNL workers near the LBNL facility--Building 75--that uses tritium; (2) other workers at LBNL and nearby neighbors; and (3) people who use the UC Berkeley campus area, and some Berkeley residents. All of these groups share the same probability of health effects from the background radiation from natural sources in the Berkeley area environment, including an increased risk of developing a cancer of 11,000 chances per million. In calculating risk the authors assumed continuous operation in Building 75 for at least a human lifetime. Under this assumption, LBNL workers located near Building 75 have an additional risk of 60 chances out of one million to suffer a cancer; other workers at LBNL and people who live near LBNL have an additional risk of six chances out of one million over a lifetime of exposure; and users of the UC Berkeley campus area and other residents of Berkeley have an additional risk of less than once chance out of one million over a lifetime.

  9. Environmental health-risk assessment for tritium releases at the National Tritium Labeling Facility at Lawrence Berkeley National Laboratory

    International Nuclear Information System (INIS)

    McKone, T.E.; Brand, K.P.; Shan, C.

    1997-04-01

    This risk assessment calculates the probability of experiencing health effects, including cancer incidence due to tritium exposure for three groups of people: (1) LBNL workers near the LBNL facility--Building 75--that uses tritium; (2) other workers at LBNL and nearby neighbors; and (3) people who use the UC Berkeley campus area, and some Berkeley residents. All of these groups share the same probability of health effects from the background radiation from natural sources in the Berkeley area environment, including an increased risk of developing a cancer of 11,000 chances per million. In calculating risk the authors assumed continuous operation in Building 75 for at least a human lifetime. Under this assumption, LBNL workers located near Building 75 have an additional risk of 60 chances out of one million to suffer a cancer; other workers at LBNL and people who live near LBNL have an additional risk of six chances out of one million over a lifetime of exposure; and users of the UC Berkeley campus area and other residents of Berkeley have an additional risk of less than once chance out of one million over a lifetime

  10. Systematic study of ligand structures of metal oxide EUV nanoparticle photoresists

    KAUST Repository

    Jiang, Jing

    2015-03-19

    Ligand stabilized metal oxide nanoparticle resists are promising candidates for EUV lithography due to their high sensitivity for high-resolution patterning and high etching resistance. As ligand exchange is responsible for the patterning mechanism, we systematically studied the influence of ligand structures of metal oxide EUV nanoparticles on their sensitivity and dissolution behavior. ZrO2 nanoparticles were protected with various aromatic ligands with electron withdrawing and electron donating groups. These nanoparticles have lower sensitivity compared to those with aliphatic ligands suggesting the structures of these ligands is more important than their pka on resist sensitivity. The influence of ligand structure was further studied by comparing the nanoparticles’ solubility for a single type ligand to mixtures of ligands. The mixture of nanoparticles showed improved pattern quality. © (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.

  11. The airglow layer emission altitude cannot be determined unambiguously from temperature comparison with lidars

    Science.gov (United States)

    Dunker, Tim

    2018-05-01

    I investigate the nightly mean emission height and width of the OH* (3-1) layer by comparing nightly mean temperatures measured by the ground-based spectrometer GRIPS 9 and the Na lidar at ALOMAR. The data set contains 42 coincident measurements taken between November 2010 and February 2014, when GRIPS 9 was in operation at the ALOMAR observatory (69.3° N, 16.0° E) in northern Norway. To closely resemble the mean temperature measured by GRIPS 9, I weight each nightly mean temperature profile measured by the lidar using Gaussian distributions with 40 different centre altitudes and 40 different full widths at half maximum. In principle, one can thus determine the altitude and width of an airglow layer by finding the minimum temperature difference between the two instruments. On most nights, several combinations of centre altitude and width yield a temperature difference of ±2 K. The generally assumed altitude of 87 km and width of 8 km is never an unambiguous, good solution for any of the measurements. Even for a fixed width of ˜ 8.4 km, one can sometimes find several centre altitudes that yield equally good temperature agreement. Weighted temperatures measured by lidar are not suitable to unambiguously determine the emission height and width of an airglow layer. However, when actual altitude and width data are lacking, a comparison with lidars can provide an estimate of how representative a measured rotational temperature is of an assumed altitude and width. I found the rotational temperature to represent the temperature at the commonly assumed altitude of 87.4 km and width of 8.4 km to within ±16 K, on average. This is not a measurement uncertainty.

  12. Normal incidence spectrophotometer using high density transmission grating technology and highly efficiency silicon photodiodes for absolute solar EUV irradiance measurements

    Science.gov (United States)

    Ogawa, H. S.; Mcmullin, D.; Judge, D. L.; Korde, R.

    1992-01-01

    New developments in transmission grating and photodiode technology now make it possible to realize spectrometers in the extreme ultraviolet (EUV) spectral region (wavelengths less than 1000 A) which are expected to be virtually constant in their diffraction and detector properties. Time dependent effects associated with reflection gratings are eliminated through the use of free standing transmission gratings. These gratings together with recently developed and highly stable EUV photodiodes have been utilized to construct a highly stable normal incidence spectrophotometer to monitor the variability and absolute intensity of the solar 304 A line. Owing to its low weight and compactness, such a spectrometer will be a valuable tool for providing absolute solar irradiance throughout the EUV. This novel instrument will also be useful for cross-calibrating other EUV flight instruments and will be flown on a series of Hitchhiker Shuttle Flights and on SOHO. A preliminary version of this instrument has been fabricated and characterized, and the results are described.

  13. Application of Laser Plasma Sources of Soft X-rays and Extreme Ultraviolet (EUV) in Imaging, Processing Materials and Photoionization Studies

    Science.gov (United States)

    Fiedorowicz, H.; Bartnik, A.; Wachulak, P. W.; Jarocki, R.; Kostecki, J.; Szczurek, M.; Ahad, I. U.; Fok, T.; Szczurek, A.; Wȩgrzyński, Ł.

    In the paper we present new applications of laser plasma sources of soft X-rays and extreme ultraviolet (EUV) in various areas of plasma physics, nanotechnology and biomedical engineering. The sources are based on a gas puff target irradiated with nanosecond laser pulses from commercial Nd: YAG lasers, generating pulses with time duration from 1 to 10 ns and energies from 0.5 to 10 J at a 10 Hz repetition rate. The targets are produced with the use of a double valve system equipped with a special nozzle to form a double-stream gas puff target which allows for high conversion efficiency of laser energy into soft X-rays and EUV without degradation of the nozzle. The sources are equipped with various optical systems to collect soft X-ray and EUV radiation and form the radiation beam. New applications of these sources in imaging, including EUV tomography and soft X-ray microscopy, processing of materials and photoionization studies are presented.

  14. Spectroscopic modeling for tungsten EUV spectra

    International Nuclear Information System (INIS)

    Murakami, Izumi; Kato, Daiji; Sakaue, Hiroyuki A.; Suzuki, Chihiro; Morita, Shigeru; Goto, Motoshi; Sasaki, Akira; Nakamura, Nobuyuki; Yamamoto, Norimasa; Koike, Fumihiro

    2014-01-01

    We have constructed an atomic model for tungsten extreme ultraviolet (EUV) spectra to reconstruct characteristic spectral feature of unresolved transition array (UTA) observed at 4-7 nm for tungsten ions. In the tungsten atomic modeling, we considered fine-structure levels with the quantum principal number n up to 6 as the atomic structure and calculated the electron-impact collision cross sections by relativistic distorted-wave method, using HULLAC atomic code. We measured tungsten EUV spectra in Large Helical Device (LHD) and Compact Electron Beam Ion Trap device (CoBIT) and compared them with the model calculation. The model successfully explain series of emission peaks at 1.5-3.5 nm as n=5-4 and 6-4 transitions of W"2"4"+ - W"3"2"+ measured in CoBIT and LHD and the charge state distributions were estimated for LHD plasma. The UTA feature observed at 4-7 nm was also successfully reconstructed with our model. The peak at ∼5 nm is produced mainly by many 4f-4d transition of W"2"2"+ - W"3"5"+ ions, and the second peak at ∼6 nm is produced by 4f-4d transition of W"2"5"+ - W"2"8"+ ions, and 4d-4p inner-shell transitions, 4p"54d"n"+"1 - 4p"64d"n, of W"2"9"+ - W"3"5"+ ions. These 4d-4p inner-shell transitions become strong since we included higher excited states such as 4p"54d"n4f state, which ADAS atomic data set does not include for spectroscopic modeling with fine structure levels. (author)

  15. Determination of line profiles on nano-structured surfaces using EUV and x-ray scattering

    Science.gov (United States)

    Soltwisch, Victor; Wernecke, Jan; Haase, Anton; Probst, Jürgen; Schoengen, Max; Krumrey, Michael; Scholze, Frank; Pomplun, Jan; Burger, Sven

    2014-09-01

    Non-imaging techniques like X-ray scattering are supposed to play an important role in the further development of CD metrology for the semiconductor industry. Grazing Incidence Small Angle X-ray Scattering (GISAXS) provides directly assessable information on structure roughness and long-range periodic perturbations. The disadvantage of the method is the large footprint of the X-ray beam on the sample due to the extremely shallow angle of incidence. This can be overcome by using wavelengths in the extreme ultraviolet (EUV) spectral range, EUV small angle scattering (EUVSAS), which allows for much steeper angles of incidence but preserves the range of momentum transfer that can be observed. Generally, the potentially higher momentum transfer at shorter wavelengths is counterbalanced by decreasing diffraction efficiency. This results in a practical limit of about 10 nm pitch for which it is possible to observe at least the +/- 1st diffraction orders with reasonable efficiency. At the Physikalisch-Technische Bundesanstalt (PTB), the available photon energy range extends from 50 eV up to 10 keV at two adjacent beamlines. PTB commissioned a new versatile Ellipso-Scatterometer which is capable of measuring 6" square substrates in a clean, hydrocarbon-free environment with full flexibility regarding the direction of the incident light polarization. The reconstruction of line profiles using a geometrical model with six free parameters, based on a finite element method (FEM) Maxwell solver and a particle swarm based least-squares optimization yielded consistent results for EUV-SAS and GISAXS. In this contribution we present scatterometry data for line gratings and consistent reconstruction results of the line geometry for EUV-SAS and GISAXS.

  16. Observations and predictions of EUV emission from classical novae

    International Nuclear Information System (INIS)

    Starrfield, S.; Truran, J.W.; Sparks, W.M.; Krautter, J.

    1989-01-01

    Theoretical modeling of novae in outburst predicts that they should be active emitters of radiation both in the EUV and soft X-ray wavelengths twice during the outburst. The first time is very early in the outburst when only an all sky survey can detect them. This period lasts only a few hours. They again become bright EUV and soft X-ray emitters late in the outburst when the remnant object becomes very hot and is still luminous. The predictions imply both that a nova can remain very hot for months to years and that the peak temperature at this time strongly depends upon the mass of the white dwarf. It is important to observe novae at these late times because a measurement of both the flux and temperature can provide information about the mass of the white dwarf, the tun-off time scale, and the energy budget of the outburst. We review the existing observations of novae in late stages of their outburst and present some newly obtained data for GQ Mus 1983. We then provide results of new hydrodynamic simulations of novae in outburst and compare the predictions to the observations. 43 refs., 6 figs

  17. Study of crystalline thin films and nanofibers by means of the laser–plasma EUV-source based microscopy

    International Nuclear Information System (INIS)

    Wachulak, P.W.; Bartnik, A.; Baranowska-Korczyc, A.; Pánek, D.; Brůža, P.; Kostecki, J.; Węgrzyński, Ł.; Jarocki, R.; Szczurek, M.; Fronc, K.; Elbaum, D.; Fiedorowicz, H.

    2013-01-01

    New developments in nanoscience and nanotechnology require nanometer scale resolution imaging tools and techniques such as an extreme ultraviolet (EUV) and soft X-ray (SXR) microscopy, based on Fresnel zone plates. In this paper, we report on applications of a desk-top microscopy using a laser-plasma EUV source based on a gas-puff target for studies of morphology of thin silicon membranes coated with NaCl crystals and samples composed of ZnO nanofibers

  18. EUV soft X-ray characterization of a FEL multilayer optics damaged by multiple shot laser beam

    International Nuclear Information System (INIS)

    Giglia, A.; Mahne, N.; Bianco, A.; Svetina, C.; Nannarone, S.

    2011-01-01

    We have investigated the damaging effects of a femtosecond pulsed laser beam with 400 nm wavelength on a Mo/Si EUV multilayer. The exposures have been done in vacuum with multiple pulses (5 pulses/mm 2 ) of 120 fs varying the laser fluence in the 38-195 mJ/cm 2 range. The analysis of the different irradiated regions has been performed ex-situ by means of different techniques, including specular and diffuse reflectivity, X-ray photoemission spectroscopy (XPS) and total electron yield (TEY) in the EUV and soft X-ray range. Surface images have been acquired by atomic force microscopy (AFM) and scanning electron microscopy (SEM). Results clearly indicate a progressive degradation of the EUV multilayer performances with the increase of the laser fluence. Spectroscopic analysis allowed to correlate the decrease of reflectivity with the degradation of the multilayer stacking, ascribed to Mo-Si intermixing at the Mo/Si interfaces of the first layers, close to the surface of the mirror.

  19. Efficient analysis of three dimensional EUV mask induced imaging artifacts using the waveguide decomposition method

    Science.gov (United States)

    Shao, Feng; Evanschitzky, Peter; Fühner, Tim; Erdmann, Andreas

    2009-10-01

    This paper employs the Waveguide decomposition method as an efficient rigorous electromagnetic field (EMF) solver to investigate three dimensional mask-induced imaging artifacts in EUV lithography. The major mask diffraction induced imaging artifacts are first identified by applying the Zernike analysis of the mask nearfield spectrum of 2D lines/spaces. Three dimensional mask features like 22nm semidense/dense contacts/posts, isolated elbows and line-ends are then investigated in terms of lithographic results. After that, the 3D mask-induced imaging artifacts such as feature orientation dependent best focus shift, process window asymmetries, and other aberration-like phenomena are explored for the studied mask features. The simulation results can help lithographers to understand the reasons of EUV-specific imaging artifacts and to devise illumination and feature dependent strategies for their compensation in the optical proximity correction (OPC) for EUV masks. At last, an efficient approach using the Zernike analysis together with the Waveguide decomposition technique is proposed to characterize the impact of mask properties for the future OPC process.

  20. A serendipitous observation of the gamma-ray burst GRB 921013b field with EUVE

    DEFF Research Database (Denmark)

    Castro-Tirado, A.J.; Gorosabel, J.; Bowyer, S.

    1999-01-01

    hours after the burst is 1.8 x10(-16) erg s(-1) cm(-2) after correction for absorption by the Galactic interstellar medium. Even if we exclude an intrinsic absorption, this is well below the detection limit of the EUVE measurement. Although it is widely accepted that gamma-ray bursts are at cosmological......We report a serendipitous extreme ultraviolet observation by EUVE of the field containing GRB 921013b, similar to 11 hours after its occurrence. This burst was detected on 1992 October 13 by the WATCH and PHEBUS on Granat, and by the GRB experiment on Ulysses. The lack of any transient (or...

  1. Analysis of Ozone (O3 and Erythemal UV (EUV measured by TOMS in the equatorial African belt

    Directory of Open Access Journals (Sweden)

    Øyvind Frette

    2010-03-01

    Full Text Available We presented time series of total ozone column amounts (TOCAs and erythemal UV (EUV doses derived from measurements by TOMS (Total Ozone Mapping Spectrometer instruments on board the Nimbus-7 (N7 and the Earth Probe (EP satellites for three locations within the equatorial African belt for the period 1979 to 2000. The locations were Dar-es-Salaam (6.8° S, 39.26° E in Tanzania, Kampala (0.19° N, 32.34° E in Uganda, and Serrekunda (13.28° N, 16.34° W in Gambia. Equatorial Africa has high levels of UV radiation, and because ozone shields UV radiation from reaching the Earth’s surface, there is a need to monitor TOCAs and EUV doses. In this paper we investigated the trend of TOCAs and EUV doses, the effects of annual and solar cycles on TOCAs, as well as the link between lightning and ozone production in the equatorial African belt. We also compared clear-sky simulated EUV doses with the corresponding EUV doses derived from TOMS measurements. The TOCAs were found to vary in the ranges 243 DU − 289 DU, 231 DU − 286 DU, and 236 DU − 296 DU, with mean values of 266.9 DU, 260.9 DU, and 267.8 DU for Dar-es-Salaam, Kampala and Serrekunda, respectively. Daily TOCA time series indicated that Kampala had the lowest TOCA values, which we attributed to the altitude effect. There were two annual ozone peaks in Dar-es-Salaam and Kampala, and one annual ozone peak in Serrekunda. The yearly TOCA averages showed an oscillation within a five-year period. We also found that the EUV doses were stable at all three locations for the period 1979−2000, and that Kampala and Dar-es-Salaam were mostly cloudy throughout the year, whereas Serrekunda was mostly free from clouds. It was also found that clouds were among the major factors determining the level of EUV reaching the Earth´s surface. Finally, we noted that during rainy seasons, horizontal advection effects augmented by lightning activity may be responsible for enhanced ozone production in the tropics.

  2. Simulations and observations of plasma depletion, ion composition, and airglow emissions in two auroral ionospheric depletion experiments

    International Nuclear Information System (INIS)

    Yau, A.W.; Whalen, B.A.; Harris, F.R.; Gattinger, R.L.; Pongratz, M.B.; Bernhardt, P.A.

    1985-01-01

    In an ionospheric depletion experiment where chemically reactive vapors such as H 2 O and CO 2 are injected into the O + dominant F region to accelerate the plasma recombination rate and to reduce the plasma density, the ion composition in the depleted region is modified, and photometric emissions are produced. We compare in situ ion composition, density, and photometric measurements from two ionospheric depletion experiments with predictions from chemical modeling. The two injections, Waterhole I and III, were part of an auroral perturbation experiment and occurred in different ambient conditions. In both injections a core region of greater than fivefold plasma depletion was observed over roughly-equal5-km diameter within seconds of the injection, surrounded by an outer region of less drastic and slower depletion. In Waterhole I the plasma density was depleted tenfold over a 30-km diamter region after 2 min. The ambient O + density was drastically reduced, and the molecular O + 2 abundance was enhanced fivehold in the depletion region. OH airglow emission associated with the depletion was observed with a peak emission intensity of roughly-equal1 kR. In Waterhole III the ambient density was a decade lower, and the plasma depletion was less drastic, being twofold over 30 km after 2 min. The airglow emissions were also much less intense and below measurement sensitivity (30 R for the OH 306.4-nm emission; 50 R for the 630.0-nm emission)

  3. Evaluation of EUV resist performance using interference lithography

    Science.gov (United States)

    Buitrago, E.; Yildirim, O.; Verspaget, C.; Tsugama, N.; Hoefnagels, R.; Rispens, G.; Ekinci, Y.

    2015-03-01

    Extreme ultraviolet lithography (EUVL) stands as the most promising solution for the fabrication of future technology nodes in the semiconductor industry. Nonetheless, the successful introduction of EUVL into the extremely competitive and stringent high-volume manufacturing (HVM) phase remains uncertain partly because of the still limiting performance of EUV resists below 16 nm half-pitch (HP) resolution. Particularly, there exists a trade-off relationship between resolution (half-pitch), sensitivity (dose) and line-edge roughness (LER) that can be achieved with existing materials. This trade-off ultimately hampers their performance and extendibility towards future technology nodes. Here we present a comparative study of highly promising chemically amplified resists (CARs) that have been evaluated using the EUV interference lithography (EUV-IL) tool at the Swiss Light Source (SLS) synchrotron facility in the Paul Scherrer Institute (PSI). In this study we have focused on the performance qualification of different resists mainly for 18 nm and 16 nm half-pitch line/space resolution (L/S = 1:1). Among the most promising candidates tested, there are a few choices that allow for 16 nm HP resolution to be achieved with high exposure latitude (up to ~ 33%), low LER (down to 3.3 nm or ~ 20% of critical dimension CD) and low dose-to-size (or best-energy, BE) < 41 mJ/cm2 values. Patterning was even demonstrated down to 12 nm HP with one of CARs (R1UL1) evaluated for their extendibility beyond the 16 nm HP resolution. 11 nm HP patterning with some pattern collapse and well resolved patterns down 12 nm were also demonstrated with another CAR (R15UL1) formulated for 16 nm HP resolution and below. With such resist it was possible even to obtain a small process window for 14 nm HP processing with an EL ~ 8% (BE ~ 37 mJ/cm2, LER ~ 4.5 nm). Though encouraging, fulfilling all of the requirements necessary for high volume production, such as high resolution, low LER, high photon

  4. Dynamics of the polar mesopause and lower thermosphere region as observed in the night airglow emissions

    International Nuclear Information System (INIS)

    Myraboe, H.K.

    1988-02-01

    This work utilizes night airglow emissions to deduce temperatures, dynamics, energetics, transport and photochemistry of the polar 80-110 km atmospheric region. The morphological behaviour of the polar 80-110 km region as seen in the night airglow emissions is best described by quasi regular to regular variations in the temperature and in the intensities of the emissions with periods ranging from minutes to a few days. Temperature amplitudes are seen from a few degrees up to ±50 K. Intensity changes up to several hundred percent may occur. Gravity waves from below are generally found to be present in the region, being responsible for much of the short period variations. The long period variations are seen to be related to circulation changes in the lower atmosphere. Stratospheric warmings are generally associated by a cooling of the 80-110 km region by a ratio approximately twice as large in amplitude as the heating at the 10 mbar level. The semidiurnal tide is found to be dominant with a peak to peak amplitude of about 5 K, in contrast to model calculations. Effects from geomagnetic phenomena on the energetics and dynamics of the region are not seen and, if present, have to be small or rare as compared to the influence from below. There is a mesopause temperature maximum at winter solstice. Pronounced differences in the day to day and seasonal behaviour of the odd oxygen associated nightglows at the North and South Pole are found. This may indicate fundamental differences at the two poles in the winter mesopause region circulation and energetics

  5. Feasibility of compensating for EUV field edge effects through OPC

    Science.gov (United States)

    Maloney, Chris; Word, James; Fenger, Germain L.; Niroomand, Ardavan; Lorusso, Gian F.; Jonckheere, Rik; Hendrickx, Eric; Smith, Bruce W.

    2014-04-01

    As EUV Lithography (EUVL) continues to evolve, it offers a possible solution to the problems of additional masks and lithography steps that drive up the cost and complexity of 193i multiple patterning. EUVL requires a non-telecentric reflective optical system for operation. This requirement causes EUV specific effects such as shadowing. The absorber physically shadows the reflective multilayer (ML) on an EUV reticle resulting in pattern fidelity degradation. To reduce this degradation, a thinner absorber may help. Yet, as the absorber thickness decreases, reflectivity increases in the `dark' region around the image field, resulting in a loss of contrast. The region around the edge of the die on the mask of unpatterned absorber material deposited on top of ML, known as the image border, is also susceptible to undesirable reflections in an ideally dark region. For EUVL to be enabled for high-volume manufacturing (HVM), reticle masking (REMA) blades are used to shield light from the image border to allow for the printing of densely spaced die. When die are printed densely, the image border of each neighboring die will overlap with the edge of a given die resulting in an increase of dose that overexposes features at the edge of the field. This effect is convolved with a fingerprint from the edge of the REMA blades. This phenomenon will be referred to as a field edge effect. One such mitigation strategy that has been investigated to reduce the field edge effect is to fully remove the ML along the image border to ensure that no actinic-EUV radiation can be reflected onto neighboring die. This has proven to suppress the effect, but residual out-of-band radiation still provides additional dose to features near the image border, especially in the corners where three neighboring fields overlap. Measurements of dense contact holes (CHs) have been made along the image border with and without a ML-etched border at IMEC in collaboration with Micron using the ASML NXE:3100. The

  6. Compact and Light-Weight Solar Spaceflight Instrument Designs Utilizing Newly Developed Miniature Free-Standing Zone Plates: EUV Radiometer and Limb-Scanning Monochromator

    Science.gov (United States)

    Seely, J. F.; McMullin, D. R.; Bremer, J.; Chang, C.; Sakdinawat, A.; Jones, A. R.; Vest, R.

    2014-12-01

    Two solar instrument designs are presented that utilize newly developed miniature free-standing zone plates having interconnected Au opaque bars and no support membrane resulting in excellent long-term stability in space. Both instruments are based on a zone plate having 4 mm outer diameter and 1 to 2 degree field of view. The zone plate collects EUV radiation and focuses a narrow bandpass through a pinhole aperture and onto a silicon photodiode detector. As a miniature radiometer, EUV irradiance is accurately determined from the zone plate efficiency and the photodiode responsivity that are calibrated at the NIST SURF synchrotron facility. The EUV radiometer is pointed to the Sun and measures the absolute solar EUV irradiance in high time cadence suitable for solar physics and space weather applications. As a limb-scanning instrument in low earth orbit, a miniature zone-plate monochromator measures the extinction of solar EUV radiation by scattering through the upper atmosphere which is a measure of the variability of the ionosphere. Both instruments are compact and light-weight and are attractive for CubeSats and other missions where resources are extremely limited.

  7. Enhanced performance of an EUV light source (λ = 84 nm) using short-pulse excitation of a windowless dielectric barrier discharge in neon

    International Nuclear Information System (INIS)

    Carman, R J; Kane, D M; Ward, B K

    2010-01-01

    The electrical and optical characteristics of a dielectric barrier discharge (DBD) based neon excimer lamp generating output in the extreme ultraviolet (EUV) spectral range (λ = 84 nm) have been investigated experimentally. We report a detailed comparison of lamp performance for both pulsed and sinusoidal voltage excitation waveforms, using otherwise identical operating conditions. The results show that pulsed voltage excitation yields a ∼50% increase in the overall electrical to EUV conversion efficiency compared with sinusoidal waveforms, when operating in the pressure range 500-900 mbar. Pulsed operation allows greater control of parameters associated with the temporal evolution of the EUV pulse shapes (risetime, instantaneous peak power). The Ne DBD based source is also found to be highly monochromatic with respect to its spectral output from the second continuum band at λ ∼ 84 nm (5 nm FWHM). This continuum band dominates the spectral emission over the wavelength range 30-550 nm. Lamp performance; as measured by the overall EUV output energy, electrical to EUV conversion efficiency and spectral purity at λ ∼ 84 nm; improves with increasing gas pressure up to p = 900 mbar.

  8. Rocket flight of a multilayer coated high-density EUV toroidal grating

    Science.gov (United States)

    Keski-Kuha, Ritva A. M.; Thomas, Roger J.; Davila, Joseph M.

    1992-01-01

    A multilayer coated high density toroidal grating was flown on a sounding rocket experiment in the Solar EUV Rocket Telescope and Spectrograph (SERTS) instrument. To our knowledge this is the first space flight of a multilayer coated grating. Pre-flight performance evaluation showed that the application of a 10-layer Ir/Si multilayer coating to the 3600 l/mm blazed toroidal replica grating produced a factor of 9 enhancement in peak efficiency near the design wavelength around 30 nm in first order over the standard gold coating, with a measured EUV efficiency that peaked at 3.3 percent. In addition, the grating's spectral resolution of better than 5000 was maintained. The region of enhanced grating efficiency due to the multilayer coating is clearly evident in the flight data. Within the bandpass of the multilayer coating, the recorded film densities were roughly equivalent to those obtained with a factor of six longer exposure on the previous flight of the SERTS instrument.

  9. Characteristics of Atmospheric Waves Observed From Airglow Measurements in the Northern High-Latitude

    Directory of Open Access Journals (Sweden)

    Young-In Won

    2004-06-01

    Full Text Available The terrestrial nightglow emission in near infrared region were obtained using a Fourier Transform Spectrometer (FTS at Esrange, Sweden (67.90°N, 21.10°E and the OH(4-2 bands were used to derive temperature and airglow emission rate of the upper mesosphere. For this study, we analyzed data taken during winter of 2001/2002 and performed spectral analysis to retrieve wave information. From the Lomb-Scargle spectral analysis to the measured temperatures, dominant oscillations at various periods near tidal frequency are found. Most commonly observed waves are 4, 6, and 8 hour oscillations. Because of periods and persistence, the observed oscillations are most likely of tidal origin, i.e. zonally symmetric tides which are known to have their maximum amplitudes at the pole.

  10. A Radiation Homeland Security Workshop Presented to the City of Berkeley Fire Department

    Science.gov (United States)

    Matis, Howard

    2005-04-01

    A radiation incident in a community, ranging from a transportation accident to a dirty bomb, is expected to be rare, but still can occur. First responders to such an incident must be prepared. City of Berkeley officials met with members of the Lawrence Berkeley National Laboratory staff and agreed that the laboratory participants would create material and teach it to all of their fire fighting staff. To design such a course, nuclear physicists, biologists and health physicists merged some of their existing teaching material together with previous homeland security efforts to produce a course that lasted one full day. The material was designed to help alleviate the myths and fear of radiation experienced by many first responders. It included basic nuclear physics information, biological effects, and methods that health physicists use to detect and handle radiation. The curriculum included several hands on activities which involved working directly with the meters the Berkeley Fire Department possessed. In addition, I will discuss some observations from teaching this course material plus some unusual problems that we encountered, such as suddenly the whole class responding to a fire.

  11. Optimized qualification protocol on particle cleanliness for EUV mask infrastructure

    Science.gov (United States)

    van der Donck, J. C. J.; Stortelder, J. K.; Derksen, G. B.

    2011-11-01

    With the market introduction of the NXE:3100, Extreme Ultra Violet Lithography (EUVL) enters a new stage. Now infrastructure in the wafer fabs must be prepared for new processes and new materials. Especially the infrastructure for masks poses a challenge. Because of the absence of a pellicle reticle front sides are exceptionally vulnerable to particles. It was also shown that particles on the backside of a reticle may cause tool down time. These effects set extreme requirements to the cleanliness level of the fab infrastructure for EUV masks. The cost of EUV masks justifies the use of equipment that is qualified on particle cleanliness. Until now equipment qualification on particle cleanliness have not been carried out with statistically based qualification procedures. Since we are dealing with extreme clean equipment the number of observed particles is expected to be very low. These particle levels can only be measured by repetitively cycling a mask substrate in the equipment. Recent work in the EUV AD-tool presents data on added particles during load/unload cycles, reported as number of Particles per Reticle Pass (PRP). In the interpretation of the data, variation by deposition statistics is not taken into account. In measurements with low numbers of added particles the standard deviation in PRP number can be large. An additional issue is that particles which are added in the routing outside the equipment may have a large impact on the testing result. The number mismatch between a single handling step outside the tool and the multiple cycling in the equipment makes accuracy of measurements rather complex. The low number of expected particles, the large variation in results and the combined effect of added particles inside and outside the equipment justifies putting good effort in making a test plan. Without a proper statistical background, tests may not be suitable for proving that equipment qualifies for the limiting cleanliness levels. Other risks are that a

  12. Embedded top-coat for reducing the effect out of band radiation in EUV lithography

    Science.gov (United States)

    Du, Ke; Siauw, Meiliana; Valade, David; Jasieniak, Marek; Voelcker, Nico; Trefonas, Peter; Thackeray, Jim; Blakey, Idriss; Whittaker, Andrew

    2017-03-01

    Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure

  13. Berkeley High-Resolution Ball

    International Nuclear Information System (INIS)

    Diamond, R.M.

    1984-10-01

    Criteria for a high-resolution γ-ray system are discussed. Desirable properties are high resolution, good response function, and moderate solid angle so as to achieve not only double- but triple-coincidences with good statistics. The Berkeley High-Resolution Ball involved the first use of bismuth germanate (BGO) for anti-Compton shield for Ge detectors. The resulting compact shield permitted rather close packing of 21 detectors around a target. In addition, a small central BGO ball gives the total γ-ray energy and multiplicity, as well as the angular pattern of the γ rays. The 21-detector array is nearly complete, and the central ball has been designed, but not yet constructed. First results taken with 9 detector modules are shown for the nucleus 156 Er. The complex decay scheme indicates a transition from collective rotation (prolate shape) to single- particle states (possibly oblate) near spin 30 h, and has other interesting features

  14. A Community of Scientists and Educators: The Compass Project at UC Berkeley

    Science.gov (United States)

    Roth, Nathaniel; Schwab, Josiah

    2016-01-01

    The Berkeley Compass Project is a self-formed group of graduate and undergraduate students in the physical sciences at the University of California, Berkeley. Its goals are to improve undergraduate physics education, provide opportunities for professional development, and increase retention of students from populations underrepresented in the physical sciences. For undergraduate students, the core Compass experience consists of a summer program and several seminar courses. These programs are designed to foster a diverse, collaborative student community in which students engage in authentic research practices and regular self-reflection. Graduate students, together with upper-level undergraduates, design and run all Compass programs. Compass strives to incorporate best practices from the science education literature. Experiences in Compass leave participants poised to be successful students researchers, teachers, and mentors.

  15. Stellar and Laboratory XUV/EUV Line Ratios in Fe XVIII and Fe XIX

    Science.gov (United States)

    Träbert, Elmar; Beiersdorfer, P.; Clementson, J.

    2011-09-01

    A so-called XUV excess has been suspected with the relative fluxes of Fe XVIII and Fe XIX lines in XUV and EUV spectra of the star Capella as observed by the Chandra spacecraft [1] when comparing the observations with simulations of stellar spectra based on APEC or FAC. We have addressed this problem by laboratory studies using the Livermore electron beam ion trap (EBIT). Our understanding of the EBIT spectrum is founded on work by Brown et al. [2]. The electron density of the electron beam in an EBIT is compatible to the density in energetic stellar flares. In our experiments, the relative detection efficiencies of two flat-field grating spectrographs operating in the EUV (near 100 Å) and XUV (near 16 Å) ranges have been determined using the calculated branching ratio of 1-3 and 2-3 transition in the H-like spectrum O VIII. FAC calculations assuming several electron beam energies and electron densities serve to correct the EBIT observations for the Maxwellian excitation in a natural plasma. In the EUV, the line intensity pattern predicted by FAC agrees reasonably well with the laboratory and Capella observations. In the XUV wavelength range, agreement of laboratory and astrophysical line intensities is patchy. The spectral simulation results from FAC are much closer to stellar and laboratory observation than those obtained by APEC. Instead of claiming an XUV excess, the XUV/EUV line intensities can be explained by a somewhat higher temperature of Capella than the previously assumed T=6 MK. This work was performed under the auspices of the USDoE by LLNL under Contract DE-AC52-07NA27344 and was supported by the NASA under work order NNH07AF81I issued by the APRA Program. E.T. acknowledges support by DFG Germany. 1. P. Desai et al., ApJ 625, L59 (2005). 2. G. V. Brown et al., ApJS 140, 589 (2002).

  16. EUV emission stimulated by use of dual laser pulses from continus liquid microjet targets

    Science.gov (United States)

    Higashiguchi, Takeshi; Rajyaguru, Chirag; Sasaki, Wataru; Kubodera, Shoichi

    2004-11-01

    A continuous water-jet or water-jet mixed with LiF with several tens μm diameter was formed in a vacuum chamber through a small capillary nozzle. Usage of two laser pulses is an efficient way to produce EUV emission, since a density and temperature of a plasma formed by the first laser pulse are regulated by the second laser pulse. By adjusting the delay of the second pulse, one could maximize the EUV emission. A subpicosecond Ti:Sapphire laser at a wavelength of 800 nm produced a maximum energy around 30 mJ. The beam was divided by a Michelson interferometer, which produced two laser pulses with energies of 5 mJ. The pulse duration was adjusted around 300 fs (FWHM). Both beams were focused on a micro-jet using a lens with a focal length of 15 cm. The delay time between the two pulses was varied from 100 to 800 ps by use of an optical delay line. Clear enhancement of the EUV emission yield was observed when the delay between the two pulses was around 500 ps. The experimentally observed delay agrees reasonably well with that of a plasma to expand to its critical density of 10^21 cm-3.

  17. Lawrence Berkeley Laboratory 1994 site environmental report

    International Nuclear Information System (INIS)

    1995-05-01

    The 1994 Site Environmental Report summarizes environmental activities at Lawrence Berkeley Laboratory (LBL) for the calendar year (CY) 1994. The report strives to present environmental data in a manner that characterizes the performance and compliance status of the Laboratory's environmental management programs when measured against regulatory standards and DOE requirements. The report also discusses significant highlight and planning efforts of these programs. The format and content of the report are consistent with the requirements of the U.S. Department of Energy (DOE) Order 5400.1, General Environmental Protection Program

  18. Lawrence Berkeley Laboratory 1994 site environmental report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-05-01

    The 1994 Site Environmental Report summarizes environmental activities at Lawrence Berkeley Laboratory (LBL) for the calendar year (CY) 1994. The report strives to present environmental data in a manner that characterizes the performance and compliance status of the Laboratory`s environmental management programs when measured against regulatory standards and DOE requirements. The report also discusses significant highlight and planning efforts of these programs. The format and content of the report are consistent with the requirements of the U.S. Department of Energy (DOE) Order 5400.1, General Environmental Protection Program.

  19. Free electron lasers for 13nm EUV lithography: RF design strategies to minimise investment and operational costs

    Science.gov (United States)

    Keens, Simon; Rossa, Bernhard; Frei, Marcel

    2016-03-01

    As the semiconductor industry proceeds to develop ever better sources of extreme ultraviolet (EUV) light for photolithography applications, two distinct technologies have come to prominence: Tin-plasma and free electron laser (FEL) sources. Tin plasma sources have been in development within the industry for many years, and have been widely reported. Meanwhile, FELs represent the most promising alternative to create high power EUV frequencies and, while tin-plasma source development has been ongoing, such lasers have been continuously developed by academic institutions for use in fundamental research programmes in conjunction with universities and national scientific institutions. This paper follows developments in the field of academic FELs, and presents information regarding novel technologies, specifically in the area of RF design strategy, that may be incorporated into future industrial FEL systems for EUV lithography in order to minimize the necessary investment and operational costs. It goes on to try to assess the cost-benefit of an alternate RF design strategy, based upon previous studies.

  20. The EUV-observatory TESIS on board Coronas-Photon: scientific goals and initial plan of observations

    Science.gov (United States)

    Bogachev, Sergey

    The TESIS a EUV-observatory for solar research from space will be launched in 2008 September on board the satellite Coronas-Photon from cosmodrome Plesetsk. TESIS is a project of Lebedev Physical Institute of Russian Academy of Science with contribution from Space Research Center of Polish Academy of Science (the spectrometer SphinX). The experiment will focus on quasi-monochromatic imaging of the Sun and XUV spectroscopy of solar plasma. The scientific payload of TESIS contains five instruments: (1) Bragg crystal spectroheliometer for Sun monochromatic imaging in the line MgXII 8.42 A, (2) the normal-incidence Herschelian EUV telescopes with a resolution of 1.7 arc sec operated in lines FeXXII 133 A, FeIX 171 A and HeII 304 A, (3) the EUV imaging spectrometer, (4) the wide-field Ritchey-Chretien coronograph and (5) the X-ray spectrometer SphinX. The TESIS will focus on coordinated study of solar activity from the transition region to the outer corona up to 4 solar radii in wide temperature range from 5*104 to 2*107 K. We describe the scientific goals of the TESIS and its initial plan of observations.

  1. Broadband transmission grating spectrometer for measuring the emission spectrum of EUV sources

    NARCIS (Netherlands)

    Bayraktar, Muharrem; Bastiaens, Hubertus M.J.; Bruineman, Caspar; Vratzov, Boris; Bijkerk, Frederik

    2016-01-01

    Extreme ultraviolet (EUV) light sources and their optimization for emission within a narrow wavelength band are essential in applications such as photolithography. Most light sources however also emit radiation outside this wavelength band and have a spectrum extending up to deep ultraviolet (DUV)

  2. Inner shell transitions of BrI in the EUV

    Energy Technology Data Exchange (ETDEWEB)

    Mazzoni, M [Florence Univ. (Italy). Ist. di Astronomia; Pettini, M [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1981-10-12

    The EUV line spectrum originating from transitions of the inner 3d shell of neutral atomic bromine has been observed in absorption. Fano parameters have been derived for the three autoionized resonances nd/sup 10/(n + 1)s/sup 2/(n + 1)p/sup 5/ /sup 2/P-nd/sup 9/(n + 1)s/sup 2/(n + 1)p/sup 62/D observed in both bromine (n = 3) and iodine (n = 4) spectra.

  3. Guide to user facilities at the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1984-04-01

    Lawrence Berkeley Laboratories' user facilities are described. Specific facilities include: the National Center for Electron Microscopy; the Bevalac; the SuperHILAC; the Neutral Beam Engineering Test Facility; the National Tritium Labeling Facility; the 88 inch Cyclotron; the Heavy Charged-Particle Treatment Facility; the 2.5 MeV Van de Graaff; the Sky Simulator; the Center for Computational Seismology; and the Low Background Counting Facility

  4. Integral characteristics of spectra of ions important for EUV lithography

    International Nuclear Information System (INIS)

    Karazija, R; Kucas, S; Momkauskaite, A

    2006-01-01

    The emission spectrum corresponding to the 4p 5 4d N+1 + 4p 6 4d N-1 4f → 4p 6 4d N transition array is concentrated in a narrow interval of wavelengths. That is due to the existence of an approximate selection rule and quenching of some lines by configuration mixing. Thus such emission of elements near Z = 50 is considered to be the main candidate for the EUV lithography source at λ = 13.5 nm. In the present work the regularities of these transition arrays are considered using their integral characteristics: average energy, total line strength, variance and interval of array containing some part of the total transition probability. Calculations for various ions of elements In, Sn, Sb, Te, I and Xe have been performed in a two-configuration pseudorelativistic approximation, which describes fairly well the main features of the spectra. The variation in the values of the main integral characteristics of the spectra with atomic number and ionization degree gives the possibility of comparing quantitatively the suitability of the emission of various ions for EUV lithography

  5. O2/1 Delta/ emission in the day and night airglow of Venus

    Science.gov (United States)

    Connes, P.; Noxon, J. F.; Traub, W. A.; Carleton, N. P.

    1979-01-01

    An intense airglow from O2(1 Delta) at 1.27 microns on both the light and the dark sides of Venus has been detected by using a ground-based high-resolution Fourier-transform spectrometer. Both dayglow and nightglow are roughly 1,000 times brighter than the visible O2 nightglow found by Veneras 9 and 10 in 1975. The column emission rate of O2(1 Delta) from Venus is close to the rate at which fresh O atoms are produced from photolysis of CO2 on the day side. Formation of O2(1 Delta) is thus a major step in the removal of O atoms from the atmosphere, and dynamical processes must carry these atoms to the night side fast enough to yield a maximum density near 90 km, which is almost constant over the planet.

  6. Simultaneous measurements of the OH(8,3) band and 015577A airglow emissions

    International Nuclear Information System (INIS)

    Takahashi, H.; Sahai, Y.; Clemesha, B.R.; Simonich, D.M.; Batista, P.P.; Teixeira, N.R.

    1981-01-01

    Simultaneous measurements of the night airglow OH(8,3) band and OI 5577A have been made at Cachoeira Paulista (22.7 0 S, 45,2 0 W) during June-August 1976. Correlations between the nocturnal variations of these emissions and also with the OH rotational temperature are presented. It is found that OH (8,3) is correlated with the rotational temperature but with a time lag of about 1 hour. The variations of 5577A lead the OH (8,3) by about 2 to 3 hours. The rotational temperature co-varies with 5577A, rather than OH (8,3) and there is no significant time lag. Based on the correlation study, the nocturnal variations of the two emissions can be explained by the atmospheric density perturbation caused by solar tides and internal gravity waves. (Author) [pt

  7. UNDERCOVER EUV SOLAR JETS OBSERVED BY THE INTERFACE REGION IMAGING SPECTROGRAPH

    Energy Technology Data Exchange (ETDEWEB)

    Chen, N.-H. [Korea Astronomy and Space Science Institute, Daejeon (Korea, Republic of); Innes, D. E. [Max-Planck-Institut für Sonnensystemforschung, D-37077 Göttingen (Germany)

    2016-12-10

    It is well-known that extreme ultraviolet (EUV) emission emitted at the solar surface is absorbed by overlying cool plasma. Especially in active regions, dark lanes in EUV images suggest that much of the surface activity is obscured. Simultaneous observations from the Interface Region Imaging Spectrograph, consisting of UV spectra and slit-jaw images (SJI), give vital information with sub-arcsecond spatial resolution on the dynamics of jets not seen in EUV images. We studied a series of small jets from recently formed bipole pairs beside the trailing spot of active region 11991, which occurred on 2014 March 5 from 15:02:21 UT to 17:04:07 UT. Collimated outflows with bright roots were present in SJI 1400 Å (transition region) and 2796 Å (upper chromosphere) that were mostly not seen in Atmospheric Imaging Assembly (AIA) 304 Å (transition region) and AIA 171 Å (lower corona) images. The Si iv spectra show a strong blue wing enhancement, but no red wing, in the line profiles of the ejecta for all recurrent jets, indicating outward flows without twists. We see two types of Mg ii line profiles produced by the jets spires: reversed and non-reversed. Mg ii lines remain optically thick, but turn optically thin in the highly Doppler shifted wings. The energy flux contained in each recurrent jet is estimated using a velocity differential emission measure technique that measures the emitting power of the plasma as a function of the line-of-sight velocity. We found that all the recurrent jets release similar energy (10{sup 8} erg cm{sup −2} s{sup −1}) toward the corona and the downward component is less than 3%.

  8. Community Relations Plan for Lawrence Berkeley Laboratory. Environmental Restoration Program

    Energy Technology Data Exchange (ETDEWEB)

    1993-07-01

    The Lawrence Berkeley Laboratory (LBL) has applied to the California Environmental Protection Agency, Department of Toxic Substances Control (DTSC), for renewal of its Hazardous Waste Handling Facility Permit. A permit is required under Resource Conservation and Recovery Act (RCRA) regulations. The permit will allow LBL to continue using its current hazardous waste handling facility, upgrade the existing facility, and construct a replacement facility. The new facility is scheduled for completion in 1995. The existing facility will be closed under RCRA guidelines by 1996. As part of the permitting process, LBL is required to investigate areas of soil and groundwater contamination at its main site in the Berkeley Hills. The investigations are being conducted by LBL`s Environmental Restoration Program and are overseen by a number of regulatory agencies. The regulatory agencies working with LBL include the California Environmental Protection Agency`s Department of Toxic Substances Control, the California Regional Water Quality Control Board, the Bay Area Air Quality Management District, the East Bay Municipal Utilities District, and the Berkeley Department of Environmental Health. RCRA requires that the public be informed of LBL`s investigations and site cleanup, and that opportunities be available for the public to participate in making decisions about how LBL will address contamination issues. LBL has prepared this Community Relations Plan (CRP) to describe activities that LBL will use to keep the community informed of environmental restoration progress and to provide for an open dialogue with the public on issues of importance. The CRP documents the community`s current concerns about LBL`s Environmental Restoration Program. Interviews conducted between February and April 1993 with elected officials, agency staff, environmental organizations, businesses, site neighbors, and LBL employees form the basis for the information contained in this document.

  9. Lawrence Berkeley National Laboratory 1997 Site Environmental Report Vol. I

    International Nuclear Information System (INIS)

    Thorson, Patrick

    1998-01-01

    Each year, Ernest Orlando Lawrence Berkeley National Laboratory prepares an integrated report on its environmental programs to satisfy the requirements of U.S. Department of Energy Order 231.1. The Site Environmental Report for 1997 is intended to summarize Berkeley Lab's compliance with environmental standards and requirements, characterize environmental management efforts through surveillance and monitoring activities, and highlight significant programs and efforts for calendar year 1997. This report is structured into three basic areas that cover a general overview of the Laboratory, the status of environmental programs, and the results of the surveillance and monitoring activities, including air quality, surface water, groundwater, sanitary sewer, soil and sediment, vegetation and foodstuffs, radiation dose assessment, and quality assurance. The report is separated into two volumes. Volume I contains the body of the report, a list of references, a list of acronyms and abbreviations, a glossary, Appendix A (NESHAPS annual report), and Appendix B (distribution list for volume I). Volume II contains Appendix C, the individual data results from monitoring programs. Each chapter in volume I begins with an outline of the sections that follow

  10. EUV multilayer defect compensation (MDC) by absorber pattern modification: from theory to wafer validation

    Science.gov (United States)

    Pang, Linyong; Hu, Peter; Satake, Masaki; Tolani, Vikram; Peng, Danping; Li, Ying; Chen, Dongxue

    2011-11-01

    According to the ITRS roadmap, mask defects are among the top technical challenges to introduce extreme ultraviolet (EUV) lithography into production. Making a multilayer defect-free extreme ultraviolet (EUV) blank is not possible today, and is unlikely to happen in the next few years. This means that EUV must work with multilayer defects present on the mask. The method proposed by Luminescent is to compensate effects of multilayer defects on images by modifying the absorber patterns. The effect of a multilayer defect is to distort the images of adjacent absorber patterns. Although the defect cannot be repaired, the images may be restored to their desired targets by changing the absorber patterns. This method was first introduced in our paper at BACUS 2010, which described a simple pixel-based compensation algorithm using a fast multilayer model. The fast model made it possible to complete the compensation calculations in seconds, instead of days or weeks required for rigorous Finite Domain Time Difference (FDTD) simulations. Our SPIE 2011 paper introduced an advanced compensation algorithm using the Level Set Method for 2D absorber patterns. In this paper the method is extended to consider process window, and allow repair tool constraints, such as permitting etching but not deposition. The multilayer defect growth model is also enhanced so that the multilayer defect can be "inverted", or recovered from the top layer profile using a calibrated model.

  11. EUV and radio spectrum of coronal holes

    Energy Technology Data Exchange (ETDEWEB)

    Chiuderi Drago, F [Osservatorio Astrofisico di Arcetri, Florence (Italy)

    1980-03-01

    From the intensity of 19 EUV lines whose formation temperature anti T ranges from 3 x 10/sup 4/ to 1.4 x 10/sup 6/, two different models of the transition region and corona for the cell-centre and the network are derived. It is shown that both these models give radio brightness temperatures systematically higher than the observed ones. An agreement with radio data can be found only with lines formed at low temperature (anti T < 8.5 x 10/sup 5/) by decreasing the coronal temperature and the emission measure. The possibility of resolving the discrepancy by using different ion abundances has also been investigated with negative results.

  12. BERKELEY: ALS ring

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    1993-06-15

    Everybody at Lawrence Berkeley Laboratory's Center for Beam Physics is pleased with the rapid progress in commissioning LBL's Advanced Light Source (ALS) electron storage ring, the foundation for this third-generation synchrotron radiation facility. Designed for a maximum current of 400 mA, the ALS storage ring reached 407 mA just 24 days after storing the first beam on 16 March. ALS construction as a US Department of Energy (DOE) national user facility to provide high-brightness vacuum ultra-violet and soft x-ray radiation began in October 1987. One technical requirement marking project completion was to accumulate a 50-mA current in the storage ring. The ALS passed this milestone on 24 March, a week ahead of the official deadline. Once injected, the electron beam decays quasi-exponentially primarily because of interactions with residual gas molecules in the storage-ring vacuum chamber. Eventually, when the pressure in the vacuum chamber with beam decreases toward the expected operating level of 1 nano Torr, it will only be necessary to refill the storage ring at intervals of four to eight hours. At present the vacuum is improving rapidly as surfaces are irradiated (scrubbed) by the synchrotron radiation itself. At 100 mA, beam lifetime was about one hour (9 April)

  13. BERKELEY: ALS ring

    International Nuclear Information System (INIS)

    Anon.

    1993-01-01

    Everybody at Lawrence Berkeley Laboratory's Center for Beam Physics is pleased with the rapid progress in commissioning LBL's Advanced Light Source (ALS) electron storage ring, the foundation for this third-generation synchrotron radiation facility. Designed for a maximum current of 400 mA, the ALS storage ring reached 407 mA just 24 days after storing the first beam on 16 March. ALS construction as a US Department of Energy (DOE) national user facility to provide high-brightness vacuum ultra-violet and soft x-ray radiation began in October 1987. One technical requirement marking project completion was to accumulate a 50-mA current in the storage ring. The ALS passed this milestone on 24 March, a week ahead of the official deadline. Once injected, the electron beam decays quasi-exponentially primarily because of interactions with residual gas molecules in the storage-ring vacuum chamber. Eventually, when the pressure in the vacuum chamber with beam decreases toward the expected operating level of 1 nano Torr, it will only be necessary to refill the storage ring at intervals of four to eight hours. At present the vacuum is improving rapidly as surfaces are irradiated (scrubbed) by the synchrotron radiation itself. At 100 mA, beam lifetime was about one hour (9 April)

  14. Annual site environmental report of the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    Schleimer, G.E.; Pauer, R.O.

    1991-05-01

    The Environmental Monitoring Program of the Lawrence Berkeley Laboratory is described. Data for 1990 are presented, and general trends are discussed. The report is organized under the following topics: Environmental Program Overview; Environmental Permits; Environmental Assessments; Environmental Activities; Penetrating Radiation; Airborne Radionuclides; Waterborne Radionuclides; Public Doses Resulting from LBL Operations; Trends -- LBL Environmental Impact; Waterborne Pollutants; Airborne Pollutants; Groundwater Protection; and Quality Assurance. 20 refs., 26 figs., 23 tabs

  15. Extreme ultraviolet (EUV) degradation of poly(olefin sulfone)s: Towards applications as EUV photoresists

    International Nuclear Information System (INIS)

    Lawrie, Kirsten; Blakey, Idriss; Blinco, James; Gronheid, Roel; Jack, Kevin; Pollentier, Ivan; Leeson, Michael J.; Younkin, Todd R.; Whittaker, Andrew K.

    2011-01-01

    Poly(olefin sulfone)s, formed by the reaction of sulfur dioxide (SO 2 ) and an olefin, are known to be highly susceptible to degradation by radiation and thus have been identified as candidate materials for chain scission-based extreme ultraviolet lithography (EUVL) resist materials. In order to investigate this further, the synthesis and characterisation of two poly(olefin sulfone)s namely poly(1-pentene sulfone) (PPS) and poly(2-methyl-1-pentene sulfone) (PMPS), was achieved and the two materials were evaluated for possible chain scission EUVL resist applications. It was found that both materials possess high sensitivities to EUV photons; however; the rates of outgassing were extremely high. The only observed degradation products were found to be SO 2 and the respective olefin suggesting that depolymerisation takes place under irradiation in a vacuum environment. In addition to depolymerisation, a concurrent conversion of SO 2 moieties to a sulfide phase was observed using XPS.

  16. Nuclear materials teaching and research at the University of California, Berkeley

    International Nuclear Information System (INIS)

    Olander, D.R.; Roberts, J.T.A.

    1985-01-01

    In academic nuclear engineering departments, research and teaching in the specialized subdiscipline of nuclear materials is usually a one-person or at best a two-person operation. These subcritical sizes invariably result in inadequate overall representation of the many topics in nuclear materials in the research program of the department, although broader coverage of the field is possible in course offerings. Even in course-work, the full range of materials problems important in nuclear technology cannot be dealt with in detail because the small number of faculty involved restricts staffing to as little as a single summary course and generally no more than three courses in this specialty. The contents of the two nuclear materials courses taught at the University of California at Berkeley are listed. Materials research in most US nuclear engineering departments focuses on irradiation effects on metals, but at UC Berkeley, the principal interest is in the high-temperature materials chemistry of UO 2 fuel and Zircaloy cladding

  17. A community of scientists: cultivating scientific identity among undergraduates within the Berkeley Compass Project

    Science.gov (United States)

    Aceves, Ana V.; Berkeley Compass Project

    2015-01-01

    The Berkeley Compass Project is a self-formed group of graduate and undergraduate students in the physical sciences at UC Berkeley. Our goals are to improve undergraduate physics education, provide opportunities for professional development, and increase retention of students from populations typically underrepresented in the physical sciences. For students who enter as freshmen, the core Compass experience consists of a summer program and several seminar courses. These programs are designed to foster a diverse, collaborative student community in which students engage in authentic research practices and regular self-reflection. Compass encourages undergraduates to develop an identity as a scientist from the beginning of their university experience.

  18. A problem to be solved for tungsten diagnostics through EUV spectroscopy in fusion devices

    International Nuclear Information System (INIS)

    Morita, S.; Murakami, I.; Sakaue, H.A.; Dong, C.F.; Goto, M.; Kato, D.; Oishi, T.; Huang, X.L.; Wang, E.H.

    2013-01-01

    Tungsten spectra have been observed from Large Helical Device (LHD) in extreme ultraviolet (EUV) wavelength ranges of 10-650Å. When the electron temperature is less than 2keV, the EUV spectra from plasma core are dominated by unresolved transition array (UTA) composing of a lot of spectral lines, e.g., 6g-4f, 5g-4f, 5f-4d and 5p-4d transitions for W"+"2"4"-"+"3"3 in 15-35Å. In order to understand the UTA spectrum, the EUV spectra measured from LHD plasmas are compared to those measured from Compact electron Beam Ion Trap (CoBIT), in which the electron beam is operated with monoenergetic energy of E_e ≤ 2keV. The tungsten spectra from LHD are well analyzed based on the knowledge from CoBIT tungsten spectra. The collisional-radiative (C-R) model has been developed to explain the UTA spectra from LHD in details. Radial profiles of EUV spectra from highly ionized tungsten ions have been measured and analyzed by impurity transport simulation code with ADPAK atomic database to examine the ionization balance determined by ionization and recombination rate coefficients. If the electron temperature is higher than 2keV, Zn-like WXLV (W"4"4"+) and Cu-like WXLVI (W"4"5"+) spectra can be observed in LHD. Such ions of W"4"4"+ and W"4"5"+ can exhibit much simpler atomic configuration compared to other ionization stages of tungsten. Quantitative analysis of the tungsten density is attempted for the first time on the radial profile of Zn-like WXLV (W"4"4"+) 4p-4s transition measured at 60.9Å, based on the emission rate coefficient calculated with HULLAC code. As a result, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center of LHD is reasonably obtained. Finally, the present problem for tungsten diagnostics in fusion plasmas is summarized. (author)

  19. Laboratories for the 21st Century: Case Studies, Molecular Foundry, Berkeley, California

    Energy Technology Data Exchange (ETDEWEB)

    2010-11-01

    This case study provides information on the Molecular Foundry, which incorporates Labs21 principles in its design and construction. The design includes many of the strategies researched at Lawrence Berkeley Laboratory for energy efficient cleanroom and data centers.

  20. Popular Berkeley Lab X-ray Data Booklet reissued

    International Nuclear Information System (INIS)

    Robinson, Art

    2001-01-01

    X-ray scientists and synchrotron-radiation users who have been patiently waiting for an updated version of the popular X-Ray Data Booklet last published in 1986 by the Center for X-Ray Optics at the Lawrence Berkeley National Laboratory can breathe a sigh of relief. The venerable ''little orange book'' has now been reissued under the auspices of CXRO and the Advanced Light Source (ALS) with an April printing of 10,000 paper copies and the posting of a Web edition at http://xdb.lbl.gov

  1. Exploring EUV Spicules Using 304 Ang He II Data from SDO/AIA

    Science.gov (United States)

    Snyder, Ian; Sterling, Alphonse C.; Falconer, David A.; Moore, Ronald L.

    2015-01-01

    We present results from a statistical study of He II 304 Angstrom EUV spicules and macrospicules at the limb of the Sun. We use high-cadence (12 sec) and high-resolution (0.6 arcsec pixels) resolution data from the Atmospheric Imaging Array (AIA) instrument on the Solar Dynamic Observatory (SDO). All of the observed events occurred in quiet or coronal hole regions near the solar pole. Spicules and macrospicules are typically transient jet-like chromospheric-material features, the macrospicules are wider and have taller maximum heights than the spicules. We looked for characteristics of the populations of these two phenomena that might indicate whether they have the same or different initiation mechanisms. We examined the maximum heights, time-averaged rise velocities, and lifetimes of about two dozen EUV spicules and about five EUV macrospicules. For spicules, these quantities are, respectively, approx. 5-30 km, 5-50 km/s, and a few 100- approx. 1000 sec. Macrospicules were approx. 60,000 km, 55 km/s, and had lifetimes of approx. 1800 sec. Therefore the macrospicules were taller and longer-lived than the spicules, and had velocities comparable to that of the fastest spicules. The rise profiles of both the spicules and the macrospicules matched well a second-order ("parabolic'') trajectory, although the acceleration was generally weaker than that of solar gravity in the profiles fitted to the trajectories. The Macrospicules also had obvious brightenings at their bases at their birth, while such brightenings were not apparent for most of the spicules. Most of the spicules and several of the macrospicules remained visible during their decent back to the solar surface, although a small percentage of the spicules faded out before their fall was completed. Are findings are suggestive of the two phenomena possibly having different initiation mechanisms, but this is not yet conclusive. Qualitatively the EUV 304 Angstrom spicules match well the properties quoted for "Type I

  2. Heights integrated model as instrument for simulation of hydrodynamic, radiation transport, and heat conduction phenomena of laser-produced plasma in EUV applications.

    Energy Technology Data Exchange (ETDEWEB)

    Sizyuk, V.; Hassanein, A.; Morozov, V.; Sizyuk, T.; Mathematics and Computer Science

    2007-01-16

    The HEIGHTS integrated model has been developed as an instrument for simulation and optimization of laser-produced plasma (LPP) sources relevant to extreme ultraviolet (EUV) lithography. The model combines three general parts: hydrodynamics, radiation transport, and heat conduction. The first part employs a total variation diminishing scheme in the Lax-Friedrich formulation (TVD-LF); the second part, a Monte Carlo model; and the third part, implicit schemes with sparse matrix technology. All model parts consider physical processes in three-dimensional geometry. The influence of a generated magnetic field on laser plasma behavior was estimated, and it was found that this effect could be neglected for laser intensities relevant to EUV (up to {approx}10{sup 12} W/cm{sup 2}). All applied schemes were tested on analytical problems separately. Benchmark modeling of the full EUV source problem with a planar tin target showed good correspondence with experimental and theoretical data. Preliminary results are presented for tin droplet- and planar-target LPP devices. The influence of three-dimensional effects on EUV properties of source is discussed.

  3. Correlations Between Variations in Solar EUV and Soft X-Ray Irradiance and Photoelectron Energy Spectra Observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-01-01

    Solar extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F(10.7) index currently used.

  4. Correlations between variations in solar EUV and soft X-ray irradiance and photoelectron energy spectra observed on Mars and Earth

    Science.gov (United States)

    Peterson, W. K.; Brain, D. A.; Mitchell, D. L.; Bailey, S. M.; Chamberlin, P. C.

    2013-11-01

    extreme ultraviolet (EUV; 10-120 nm) and soft X-ray (XUV; 0-10 nm) radiation are major heat sources for the Mars thermosphere as well as the primary source of ionization that creates the ionosphere. In investigations of Mars thermospheric chemistry and dynamics, solar irradiance models are used to account for variations in this radiation. Because of limited proxies, irradiance models do a poor job of tracking the significant variations in irradiance intensity in the EUV and XUV ranges over solar rotation time scales when the Mars-Sun-Earth angle is large. Recent results from Earth observations show that variations in photoelectron energy spectra are useful monitors of EUV and XUV irradiance variability. Here we investigate photoelectron energy spectra observed by the Mars Global Surveyor (MGS) Electron Reflectometer (ER) and the FAST satellite during the interval in 2005 when Earth, Mars, and the Sun were aligned. The Earth photoelectron data in selected bands correlate well with calculations based on 1 nm resolution observations above 27 nm supplemented by broadband observations and a solar model in the 0-27 nm range. At Mars, we find that instrumental and orbital limitations to the identifications of photoelectron energy spectra in MGS/ER data preclude their use as a monitor of solar EUV and XUV variability. However, observations with higher temporal and energy resolution obtained at lower altitudes on Mars might allow the separation of the solar wind and ionospheric components of electron energy spectra so that they could be used as reliable monitors of variations in solar EUV and XUV irradiance than the time shifted, Earth-based, F10.7 index currently used.

  5. EUV high resolution imager on-board solar orbiter: optical design and detector performances

    Science.gov (United States)

    Halain, J. P.; Mazzoli, A.; Rochus, P.; Renotte, E.; Stockman, Y.; Berghmans, D.; BenMoussa, A.; Auchère, F.

    2017-11-01

    The EUV high resolution imager (HRI) channel of the Extreme Ultraviolet Imager (EUI) on-board Solar Orbiter will observe the solar atmospheric layers at 17.4 nm wavelength with a 200 km resolution. The HRI channel is based on a compact two mirrors off-axis design. The spectral selection is obtained by a multilayer coating deposited on the mirrors and by redundant Aluminum filters rejecting the visible and infrared light. The detector is a 2k x 2k array back-thinned silicon CMOS-APS with 10 μm pixel pitch, sensitive in the EUV wavelength range. Due to the instrument compactness and the constraints on the optical design, the channel performance is very sensitive to the manufacturing, alignments and settling errors. A trade-off between two optical layouts was therefore performed to select the final optical design and to improve the mirror mounts. The effect of diffraction by the filter mesh support and by the mirror diffusion has been included in the overall error budget. Manufacturing of mirror and mounts has started and will result in thermo-mechanical validation on the EUI instrument structural and thermal model (STM). Because of the limited channel entrance aperture and consequently the low input flux, the channel performance also relies on the detector EUV sensitivity, readout noise and dynamic range. Based on the characterization of a CMOS-APS back-side detector prototype, showing promising results, the EUI detector has been specified and is under development. These detectors will undergo a qualification program before being tested and integrated on the EUI instrument.

  6. Ernest Orlando Berkeley National Laboratory - Fundamental and applied research on lean premixed combustion

    International Nuclear Information System (INIS)

    Cheng, Robert K.

    1999-01-01

    Ernest Orland Lawrence Berkeley National Laboratory (Berkeley Lab) is the oldest of America's national laboratories and has been a leader in science and engineering technology for more than 65 years, serving as a powerful resource to meet Us national needs. As a multi-program Department of Energy laboratory, Berkeley Lab is dedicated to performing leading edge research in the biological, physical, materials, chemical, energy, environmental and computing sciences. Ernest Orlando Lawrence, the Lab's founder and the first of its nine Nobel prize winners, invented the cyclotron, which led to a Golden Age of particle physics and revolutionary discoveries about the nature of the universe. To this day, the Lab remains a world center for accelerator and detector innovation and design. The Lab is the birthplace of nuclear medicine and the cradle of invention for medical imaging. In the field of heart disease, Lab researchers were the first to isolate lipoproteins and the first to determine that the ratio of high density to low density lipoproteins is a strong indicator of heart disease risk. The demise of the dinosaurs--the revelation that they had been killed off by a massive comet or asteroid that had slammed into the Earth--was a theory developed here. The invention of the chemical laser, the unlocking of the secrets of photosynthesis--this is a short preview of the legacy of this Laboratory

  7. Interferometry using undulator sources

    International Nuclear Information System (INIS)

    Beguiristain, R.; Goldberg, K.A.; Tejnil, E.; Bokor, J.; Medecki, H.; Attwood, D.T.; Jackson, K.

    1996-01-01

    Optical systems for extreme ultraviolet (EUV) lithography need to use optical components with subnanometer surface figure error tolerances to achieve diffraction-limited performance [M.D. Himel, in Soft X-Ray Projection Lithography, A.M. Hawryluk and R.H. Stulen, eds. (OSA, Washington, D.C., 1993), 18, 1089, and D. Attwood et al., Appl. Opt. 32, 7022 (1993)]. Also, multilayer-coated optics require at-wavelength wavefront measurement to characterize phase effects that cannot be measured by conventional optical interferometry. Furthermore, EUV optical systems will additionally require final testing and alignment at the operational wavelength for adjustment and reduction of the cumulative optical surface errors. Therefore, at-wavelength interferometric measurement of EUV optics will be the necessary metrology tool for the successful development of optics for EUV lithography. An EUV point diffraction interferometer (PDI) has been developed at the Center for X-Ray Optics (CXRO) and has been already in operation for a year [K. Goldberg et al., in Extreme Ultra Lithography, D.T. Attwood and F. Zernike, eds. (OSA, Washington, D.C., 1994), K. Goldberg et al., Proc. SPIE 2437, to be published, and K. Goldberg et al., J. Vac. Sci. Technol. B 13, 2923 (1995)] using an undulator radiation source and coherent optics beamline at the Advanced Light Source (ALS) at Lawrence Berkeley National Laboratory. An overview of the PDI interferometer and some EUV wavefront measurements obtained with this instrument will be presented. In addition, future developments planned for EUV interferometry at CXRO towards the measurement of actual EUV lithography optics will be shown. copyright 1996 American Institute of Physics

  8. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    Science.gov (United States)

    van der Horst, R. M.; Beckers, J.; Osorio, E. A.; Banine, V. Y.

    2015-11-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure.

  9. Dynamics of the spatial electron density distribution of EUV-induced plasmas

    International Nuclear Information System (INIS)

    Van der Horst, R M; Beckers, J; Banine, V Y; Osorio, E A

    2015-01-01

    We studied the temporal evolution of the electron density distribution in a low pressure pulsed plasma induced by high energy extreme ultraviolet (EUV) photons using microwave cavity resonance spectroscopy (MCRS). In principle, MCRS only provides space averaged information about the electron density. However, we demonstrate here the possibility to obtain spatial information by combining multiple resonant modes. It is shown that EUV-induced plasmas, albeit being a rather exotic plasma, can be explained by known plasma physical laws and processes. Two stages of plasma behaviour are observed: first the electron density distribution contracts, after which it expands. It is shown that the contraction is due to cooling of the electrons. The moment when the density distribution starts to expand is related to the inertia of the ions. After tens of microseconds, the electrons reached the wall of the cavity. The speed of this expansion is dependent on the gas pressure and can be divided into two regimes. It is shown that the acoustic dominated regime the expansion speed is independent of the gas pressure and that in the diffusion dominated regime the expansion depends reciprocal on the gas pressure. (fast track communication)

  10. Development of amorphous silicon based EUV hardmasks through physical vapor deposition

    Science.gov (United States)

    De Silva, Anuja; Mignot, Yann; Meli, Luciana; DeVries, Scott; Xu, Yongan; Seshadri, Indira; Felix, Nelson M.; Zeng, Wilson; Cao, Yong; Phan, Khoi; Dai, Huixiong; Ngai, Christopher S.; Stolfi, Michael; Diehl, Daniel L.

    2017-10-01

    Extending extreme ultraviolet (EUV) single exposure patterning to its limits requires more than photoresist development. The hardmask film is a key contributor in the patterning stack that offers opportunities to enhance lithographic process window, increase pattern transfer efficiency, and decrease defectivity when utilizing very thin film stacks. This paper introduces the development of amorphous silicon (a-Si) deposited through physical vapor deposited (PVD) as an alternative to a silicon ARC (SiARC) or silicon-oxide-type EUV hardmasks in a typical trilayer patterning scheme. PVD offers benefits such as lower deposition temperature, and higher purity, compared to conventional chemical vapor deposition (CVD) techniques. In this work, sub-36nm pitch line-space features were resolved with a positive-tone organic chemically-amplified resist directly patterned on PVD a-Si, without an adhesion promotion layer and without pattern collapse. Pattern transfer into the underlying hardmask stack was demonstrated, allowing an evaluation of patterning metrics related to resolution, pattern transfer fidelity, and film defectivity for PVD a-Si compared to a conventional tri-layer patterning scheme. Etch selectivity and the scalability of PVD a-Si to reduce the aspect ratio of the patterning stack will also be discussed.

  11. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    International Nuclear Information System (INIS)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-01-01

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  12. Improving the performance of the actinic inspection tool with an optimized alignment procedure

    Energy Technology Data Exchange (ETDEWEB)

    Mochi, I.; Goldberg, K.A.; Naulleau, P.; Huh, Sungmin

    2009-03-04

    Extreme ultraviolet (EUV) microscopy is an important tool for the investigation of the performance of EUV masks, for detecting the presence and the characteristics of defects, and for evaluating the effectiveness of defect repair techniques. Aerial image measurement bypasses the difficulties inherent to photoresist imaging and enables high data collection speed and flexibility. It provides reliable and quick feedback for the development of masks and lithography system modeling methods. We operate the SEMATECH Berkeley Actinic Inspection Tool (AIT), a EUV microscope installed at the Advanced Light Source at Lawrence Berkeley National Laboratory. The AIT is equipped with several high-magnification Fresnel zoneplate lenses, with various numerical aperture values, that enable it image the reflective mask surface with various resolution and magnification settings. Although the AIT has undergone significant recent improvements in terms of imaging resolution and illumination uniformity, there is still room for improvement. In the AIT, an off-axis zoneplate lens collects the light coming from the sample and an image of the sample is projected onto an EUV-sensitive CCD camera. The simplicity of the optical system is particularly helpful considering that the AIT alignment has to be performed every time that a sample or a zoneplate is replaced. The alignment is sensitive to several parameters such as the lens position and orientation, the illumination direction and the sample characteristics. Since the AIT works in high vacuum, there is no direct access to the optics or to the sample during the alignment and the measurements. For all these reasons the alignment procedures and feedback can be complex, and in some cases can reduce the overall data throughput of the system. In this paper we review the main strategies and procedures that have been developed for quick and reliable alignments, and we describe the performance improvements we have achieved, in terms of aberration

  13. Catalog of research projects at Lawrence Berkeley Laboratory, 1985

    International Nuclear Information System (INIS)

    1985-01-01

    This Catalog has been created to aid in the transfer of technology from the Lawrence Berkeley Laboratory to potential users in industry, government, universities, and the public. The projects are listed for the following LBL groups: Accelerator and Fusion Research Division, Applied Science Division, Biology and Medicine Division, Center for Advanced Materials, Chemical Biodynamics Division, Computing Division, Earth Sciences Division, Engineering and Technical Services Division, Materials and Molecular Research Division, Nuclear Science Division, and Physics Division

  14. Catalog of research projects at Lawrence Berkeley Laboratory, 1985

    Energy Technology Data Exchange (ETDEWEB)

    1985-01-01

    This Catalog has been created to aid in the transfer of technology from the Lawrence Berkeley Laboratory to potential users in industry, government, universities, and the public. The projects are listed for the following LBL groups: Accelerator and Fusion Research Division, Applied Science Division, Biology and Medicine Division, Center for Advanced Materials, Chemical Biodynamics Division, Computing Division, Earth Sciences Division, Engineering and Technical Services Division, Materials and Molecular Research Division, Nuclear Science Division, and Physics Division.

  15. EUV FLICKERING OF SOLAR CORONAL LOOPS: A NEW DIAGNOSTIC OF CORONAL HEATING

    Energy Technology Data Exchange (ETDEWEB)

    Tajfirouze, E.; Reale, F.; Peres, G. [Dipartimento di Fisica e Chimica, Università di Palermo, Piazza del Parlamento 1, I-90134 (Italy); Testa, P., E-mail: reale@astropa.unipa.it [Harvard-Smithsonian Center for Astrophysics, 60 Garden Street, Cambridge, MA 02138 (United States)

    2016-02-01

    A previous work of ours found the best agreement between EUV light curves observed in an active region core (with evidence of super-hot plasma) and those predicted from a model with a random combination of many pulse-heated strands with a power-law energy distribution. We extend that work by including spatially resolved strand modeling and by studying the evolution of emission along the loops in the EUV 94 Å and 335 Å channels of the Atmospheric Imaging Assembly on board the Solar Dynamics Observatory. Using the best parameters of the previous work as the input of the present one, we find that the amplitude of the random fluctuations driven by the random heat pulses increases from the bottom to the top of the loop in the 94 Å channel and from the top to the bottom in the 335 Å channel. This prediction is confirmed by the observation of a set of aligned neighboring pixels along a bright arc of an active region core. Maps of pixel fluctuations may therefore provide easy diagnostics of nanoflaring regions.

  16. Enhancement of the EUV emission of a metallic capillary discharge operated with argon ambient gas

    Energy Technology Data Exchange (ETDEWEB)

    Chan, L. S., E-mail: lschan1982@yahoo.com; Tan, D., E-mail: lschan1982@yahoo.com; Saboohi, S., E-mail: lschan1982@yahoo.com; Yap, S. L., E-mail: lschan1982@yahoo.com; Wong, C. S., E-mail: lschan1982@yahoo.com [Plasma Technology Research Centre, Physics Department, University of Malaya, 50603 Kuala Lumpur (Malaysia)

    2014-03-05

    In this work, the metallic capillary discharge is operated with two different ambients: air and argon. In the experiments reported here, the chamber is first evacuated to 10{sup −5} mbar. The discharge is initiated by the transient hollow cathode effect generated electron beam, with either air ambient or argon ambient at 10{sup −4} mbar. The bombardment of electron beam at the tip of the stainless steel anode gives rise to a metallic vapor, which is injected into the capillary and initiates the main discharge through the capillary. The EUV emission is measured for different discharge voltages for both conditions and compared. It is found that the metallic capillary discharge with argon ambientis able to produce higher EUV energy compared to that with air ambient.

  17. Rocket-borne EUV-visible emission measurements

    International Nuclear Information System (INIS)

    Schmidtke, G.; Baker, K.D.; Stasek, G.

    1982-01-01

    Two rocket-borne experiments for measuring EUV atmospheric emissions have been conducted. The first measured emissions at 391.4 nm and 557.7 nm, and the second measured emissions in the range from 50 to 650 nm. Height profiles of selected auroral emissions from atomic oxygen at 130.4 nm (exhibiting resonant radiation diffusion) and from atomic oxygen at 557.7 nm, and from neutral and ionized molecular nitrogen are shown. Some details of the recorded spectra are given. In the shorter wavelength regions, emissions from atomic oxygen and nitrogen dominate. Over 140 nm, Lyman-Birge-Hopfield bands, second positive bands and Vegard-Kaplan bands of molecular nitrogen contribute most strongly except for some atomic lines. The Lyman-Birge-Hopfield bands of molecular nitrogen are relatively weak during the auroral arc as compared to the diffuse aurora

  18. The EUV spectrophotometer on Atmosphere Explorer.

    Science.gov (United States)

    Hinteregger, H. E.; Bedo, D. E.; Manson, J. E.

    1973-01-01

    An extreme ultraviolet (EUV) spectrophotometer for measurements of solar radiation at wavelengths ranging from 140 to 1850 A will be included in the payload of each of the three Atmosphere-Explorer (AE) missions, AE-C, -D, and -E. The instrument consists of 24 grating monochromators, 12 of which can be telecommanded either to execute 128-step scans each covering a relatively small section of the total spectrophotometer wavelength range or to maintain fixed (command-selected) wavelength positions. The remaining 12 nonscan monochromators operate at permanently fixed wavelengths and view only a small fraction of the solar disk except for one viewing the whole sun in H Lyman alpha. Ten of the 12 scan-capable monochromators also view the entire solar disk since their primary function is to measure the total fluxes independent of the distribution of sources across the solar disk.

  19. Self-aligned blocking integration demonstration for critical sub-30nm pitch Mx level patterning with EUV self-aligned double patterning

    Science.gov (United States)

    Raley, Angélique; Lee, Joe; Smith, Jeffrey T.; Sun, Xinghua; Farrell, Richard A.; Shearer, Jeffrey; Xu, Yongan; Ko, Akiteru; Metz, Andrew W.; Biolsi, Peter; Devilliers, Anton; Arnold, John; Felix, Nelson

    2018-04-01

    We report a sub-30nm pitch self-aligned double patterning (SADP) integration scheme with EUV lithography coupled with self-aligned block technology (SAB) targeting the back end of line (BEOL) metal line patterning applications for logic nodes beyond 5nm. The integration demonstration is a validation of the scalability of a previously reported flow, which used 193nm immersion SADP targeting a 40nm pitch with the same material sets (Si3N4 mandrel, SiO2 spacer, Spin on carbon, spin on glass). The multi-color integration approach is successfully demonstrated and provides a valuable method to address overlay concerns and more generally edge placement error (EPE) as a whole for advanced process nodes. Unbiased LER/LWR analysis comparison between EUV SADP and 193nm immersion SADP shows that both integrations follow the same trend throughout the process steps. While EUV SADP shows increased LER after mandrel pull, metal hardmask open and dielectric etch compared to 193nm immersion SADP, the final process performance is matched in terms of LWR (1.08nm 3 sigma unbiased) and is only 6% higher than 193nm immersion SADP for average unbiased LER. Using EUV SADP enables almost doubling the line density while keeping most of the remaining processes and films unchanged, and provides a compelling alternative to other multipatterning integrations, which present their own sets of challenges.

  20. ROSAT EUV and soft X-ray studies of atmospheric composition and structure in G191-B2B

    Science.gov (United States)

    Barstow, M. A.; Fleming, T. A.; Finley, D. S.; Koester, D.; Diamond, C. J.

    1993-01-01

    Previous studies of the hot DA white dwarf GI91-B2B have been unable to determine whether the observed soft X-ray and EUV opacity arises from a stratified hydrogen and helium atmosphere or from the presence of trace metals in the photosphere. New EUV and soft X-ray photometry of this star, made with the ROSAT observatory, when analyzed in conjunction with the earlier data, shows that the stratified models cannot account for the observed fluxes. Consequently, we conclude that trace metals must be a substantial source of opacity in the photosphere of G191-B2B.

  1. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  2. EUV-driven ionospheres and electron transport on extrasolar giant planets orbiting active stars

    Science.gov (United States)

    Chadney, J. M.; Galand, M.; Koskinen, T. T.; Miller, S.; Sanz-Forcada, J.; Unruh, Y. C.; Yelle, R. V.

    2016-03-01

    The composition and structure of the upper atmospheres of extrasolar giant planets (EGPs) are affected by the high-energy spectrum of their host stars from soft X-rays to the extreme ultraviolet (EUV). This emission depends on the activity level of the star, which is primarily determined by its age. In this study, we focus upon EGPs orbiting K- and M-dwarf stars of different ages - ɛ Eridani, AD Leonis, AU Microscopii - and the Sun. X-ray and EUV (XUV) spectra for these stars are constructed using a coronal model. These spectra are used to drive both a thermospheric model and an ionospheric model, providing densities of neutral and ion species. Ionisation - as a result of stellar radiation deposition - is included through photo-ionisation and electron-impact processes. The former is calculated by solving the Lambert-Beer law, while the latter is calculated from a supra-thermal electron transport model. We find that EGP ionospheres at all orbital distances considered (0.1-1 AU) and around all stars selected are dominated by the long-lived H+ ion. In addition, planets with upper atmospheres where H2 is not substantially dissociated (at large orbital distances) have a layer in which H3+ is the major ion at the base of the ionosphere. For fast-rotating planets, densities of short-lived H3+ undergo significant diurnal variations, with the maximum value being driven by the stellar X-ray flux. In contrast, densities of longer-lived H+ show very little day/night variability and the magnitude is driven by the level of stellar EUV flux. The H3+ peak in EGPs with upper atmospheres where H2 is dissociated (orbiting close to their star) under strong stellar illumination is pushed to altitudes below the homopause, where this ion is likely to be destroyed through reactions with heavy species (e.g. hydrocarbons, water). The inclusion of secondary ionisation processes produces significantly enhanced ion and electron densities at altitudes below the main EUV ionisation peak, as

  3. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  4. High Quality, Low-Scatter SiC Optics Suitable for Space-based UV & EUV Applications, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG Precision Optronics proposes the development and demonstration of a new optical fabrication process for the production of EUV quality Silicon Carbide (SiC)...

  5. Lawrence Berkeley National Laboratory 1995 site environmental report

    Energy Technology Data Exchange (ETDEWEB)

    Balgobin, D.; Javandel, I.; Lackner, G.; Smith, C.; Thorson, P.; Tran, H.

    1996-07-01

    The 1995 Site Environmental Report summarizes environmental activities at the Ernest Orlando Lawrence Berkeley National Laboratory (LBNL) for the 1995 calendar year. The report strives to present environmental data in a manner that characterizes the performance and compliance status of the environmental management programs. The report also discusses significant highlights and plans of these programs. Topics discussed include: environmental monitoring, environmental compliance programs, air quality, water quality, ground water protection, sanitary sewer monitoring, soil and sediment quality, vegetation and foodstuffs monitoring, and special studies which include preoperational monitoring of building 85 and 1995 sampling results, radiological dose assessment, and quality assessment.

  6. Lawrence Berkeley National Laboratory 1995 site environmental report

    International Nuclear Information System (INIS)

    Balgobin, D.; Javandel, I.; Lackner, G.; Smith, C.; Thorson, P.; Tran, H.

    1996-07-01

    The 1995 Site Environmental Report summarizes environmental activities at the Ernest Orlando Lawrence Berkeley National Laboratory (LBNL) for the 1995 calendar year. The report strives to present environmental data in a manner that characterizes the performance and compliance status of the environmental management programs. The report also discusses significant highlights and plans of these programs. Topics discussed include: environmental monitoring, environmental compliance programs, air quality, water quality, ground water protection, sanitary sewer monitoring, soil and sediment quality, vegetation and foodstuffs monitoring, and special studies which include preoperational monitoring of building 85 and 1995 sampling results, radiological dose assessment, and quality assessment

  7. Lawrence Berkeley Laboratory upgrading approaches to existing facilities

    International Nuclear Information System (INIS)

    Engle, H.M. Jr.

    1985-01-01

    The Lawrence Berkeley Laboratory Plant Engineering Department instituted a seismic risk investigation and seismic upgrade program in 1970. This paper covers the upgrade of two buildings with dissimilar framing systems; Building No. 10, a World War II vintage heavy timber frame building, and Building No. 80, a steel frame structure constructed in 1954. The seismic upgrade task for both structures required that the buildings be kept in service during rehabilitation with a minimum of disruption to occupants. Rehabilitations were phased over two and three year periods with construction management and supervision performed by LBL Plant Engineering staff

  8. Method for the manufacture of phase shifting masks for EUV lithography

    Science.gov (United States)

    Stearns, Daniel G.; Sweeney, Donald W.; Mirkarimi, Paul B.; Barty, Anton

    2006-04-04

    A method for fabricating an EUV phase shift mask is provided that includes a substrate upon which is deposited a thin film multilayer coating that has a complex-valued reflectance. An absorber layer or a buffer layer is attached onto the thin film multilayer, and the thickness of the thin film multilayer coating is altered to introduce a direct modulation in the complex-valued reflectance to produce phase shifting features.

  9. Atomic structure calculations and identification of EUV and SXR spectral lines in Sr XXX

    International Nuclear Information System (INIS)

    Goyal, Arun; Khatri, Indu; Aggarwal, Sunny; Singh, A.K.; Mohan, Man

    2015-01-01

    We report an extensive theoretical study of atomic data for Sr XXX in a wide range with L-shell electron excitations to the M-shell. We have calculated energy levels, wave-function compositions and lifetimes for lowest 113 fine structure levels and wavelengths of an extreme Ultraviolet (EUV) and soft X-ray (SXR) transitions. We have employed multi-configuration Dirac Fock method (MCDF) approach within the framework of Dirac–Coulomb Hamiltonian including quantum electrodynamics (QED) and Breit corrections. We have also presented the radiative data for electric and magnetic dipole (E1, M1) and quadrupole (E2, M2) transitions from the ground state. We have made comparisons with available energy levels compiled by NIST and achieve good agreement. But due to inadequate data in the literature, analogous relativistic distorted wave calculations have also been performed using flexible atomic code (FAC) to assess the reliability and accuracy of our results. Additionally, we have provided new atomic data for Sr XXX which is not published elsewhere in the literature and we believe that our results may be beneficial in fusion plasma research and astrophysical investigations and applications. - Highlights: • 113 Lowest levels for Sr XXX are calculated. • Extreme Ultraviolet (EUV) and soft-X ray (SXR) spectral lines are identified. • Wavelengths of EUV and SXR spectral lines are reported. • E1, E2, M1 and M2 transition rates, oscillator strengths and lines strengths for lowest 113 levels are presented. • Lifetimes for lowest 113 fine structure levels are provided

  10. Access to public drinking water fountains in Berkeley, California: a geospatial analysis.

    Science.gov (United States)

    Avery, Dylan C; Smith, Charlotte D

    2018-01-24

    In January 2015, Berkeley, California became the first city in the Unites States to impose a tax on sugar-sweetened beverages. The tax is intended to discourage purchase of sugary beverages and promote consumption of healthier alternatives such as tap water. The goal of the study was to assess the condition of public drinking water fountains and determine if there is a difference in access to clean, functioning fountains based on race or socio-economic status. A mobile-GIS App was created to locate and collect data on existing drinking water fountains in Berkeley, CA. Demographic variables related to race and socio-economic status (SES) were acquired from the US Census - American Community Survey database. Disparities in access to, or condition of drinking water fountains relative to demographics was explored using spatial analyses. Spatial statistical-analysis was performed to estimate demographic characteristics of communities near the water fountains and logistic regression was used to examine the relationship between household median income or race and condition of fountain. Although most fountains were classified as functioning, some were dirty, clogged, or both dirty and clogged. No spatial relationships between demographic characteristics and fountain conditions were observed. All geo-located data and a series of maps were provided to the City of Berkeley and the public. The geo-database created as an outcome of this study is useful for prioritizing maintenance of existing fountains and planning the locations of future fountains. The methodologies used for this study could be applied to a wide variety of asset inventory and assessment projects such as clinics or pharmaceutical dispensaries, both in developed and developing countries.

  11. Reflectivity and surface roughness of multilayer-coated substrate recovery layers for EUV lithographic optics

    NARCIS (Netherlands)

    Nedelcu, I.; van de Kruijs, R.W.E.; Yakshin, A. E.; von Blanckenhagen, G.; F. Bijkerk,

    2008-01-01

    We investigated the use of separation, or substrate recovery, layers (SRLs), to enable the reuse of optical substrates after the deposition of multilayer reflective coatings, in particular Mo/Si multilayers as used for EUV lithography. An organic material (polyimide), known from other work to reduce

  12. EUV observations of the active Sun from the Havard experiment on ATM

    International Nuclear Information System (INIS)

    Noyes, R.W.; Foukal, P.V.; Huber, M.C.E.; Reeves, E.M.; Schmahl, E.J.; Timothy, J.G.; Vernazza, J.E.; Withbroe, G.L.

    1975-01-01

    The authors review some preliminary results from the Harvard College Observatory Extreme Ultraviolet Spectroheliometer on ATM that pertain to solar activity. The results reviewed are described in more detail in other papers referred to in the text. They first describe the instrument and its capabilities, and then turm to results on active regions, sunspots, flares, EUV bright points, coronal holes, and prominences. (Auth.)

  13. Higher Retail Prices of Sugar-Sweetened Beverages 3 Months After Implementation of an Excise Tax in Berkeley, California.

    Science.gov (United States)

    Falbe, Jennifer; Rojas, Nadia; Grummon, Anna H; Madsen, Kristine A

    2015-11-01

    We assessed the short-term ability to increase retail prices of the first US 1-cent-per-ounce excise tax on the distribution of sugar-sweetened beverages (SSBs), which was implemented in March 2015 by Berkeley, California. In 2014 and 2015, we examined pre- to posttax price changes of SSBs and non-SSBs in a variety of retailers in Berkeley and in the comparison cities Oakland and San Francisco, California. We examined price changes by beverage, brand, size, and retailer type. For smaller beverages (≤ 33.8 oz), price increases (cents/oz) in Berkeley relative to those in comparison cities were 0.69 (95% confidence interval [CI] = 0.36, 1.03) for soda, 0.47 (95% CI = 0.08, 0.87) for fruit-flavored beverages, and 0.47 (95% CI = 0.25, 0.69) for SSBs overall. For 2-liter bottles and multipacks of soda, relative price increases were 0.46 (95% CI = 0.03, 0.89) and 0.49 (95% CI = 0.21, 0.77). We observed no relative price increases for nontaxed beverages overall. Approximately 3 months after the tax was implemented, SSB retail prices increased more in Berkeley than in nearby cities, marking a step in the causal pathway between the tax and reduced SSB consumption.

  14. MULTI-VIEWPOINT OBSERVATIONS OF A WIDELY DISTRIBUTED SOLAR ENERGETIC PARTICLE EVENT: THE ROLE OF EUV WAVES AND WHITE-LIGHT SHOCK SIGNATURES

    Energy Technology Data Exchange (ETDEWEB)

    Kouloumvakos, A.; Patsourakos, S.; Nindos, A. [Section of Astrogeophysics, Department of Physics, University of Ioannina, 45110 Ioannina (Greece); Vourlidas, A. [The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 (United States); Anastasiadis, A.; Sandberg, I. [Institute for Astronomy, Astrophysics, Space Applications and Remote Sensing, National Observatory of Athens, 15236 Penteli (Greece); Hillaris, A. [Section of Astrophysics, Astronomy and Mechanics, Department of Physics, National and Kapodistrian University of Athens, 15783 Athens (Greece)

    2016-04-10

    On 2012 March 7, two large eruptive events occurred in the same active region within 1 hr from each other. Each consisted of an X-class flare, a coronal mass ejection (CME), an extreme-ultraviolet (EUV) wave, and a shock wave. The eruptions gave rise to a major solar energetic particle (SEP) event observed at widely separated (∼120°) points in the heliosphere. From multi-viewpoint energetic proton recordings we determine the proton release times at STEREO B and A (STB, STA) and the first Lagrange point (L1) of the Sun–Earth system. Using EUV and white-light data, we determine the evolution of the EUV waves in the low corona and reconstruct the global structure and kinematics of the first CME’s shock, respectively. We compare the energetic proton release time at each spacecraft with the EUV waves’ arrival times at the magnetically connected regions and the timing and location of the CME shock. We find that the first flare/CME is responsible for the SEP event at all three locations. The proton release at STB is consistent with arrival of the EUV wave and CME shock at the STB footpoint. The proton release time at L1 was significantly delayed compared to STB. Three-dimensional modeling of the CME shock shows that the particle release at L1 is consistent with the timing and location of the shock’s western flank. This indicates that at L1 the proton release did not occur in low corona but farther away from the Sun. However, the extent of the CME shock fails to explain the SEP event observed at STA. A transport process or a significantly distorted interplanetary magnetic field may be responsible.

  15. Mesospheric front observations by the OH airglow imager carried out at Ferraz Station on King George Island, Antarctic Peninsula, in 2011

    Science.gov (United States)

    Giongo, Gabriel Augusto; Valentin Bageston, José; Prado Batista, Paulo; Wrasse, Cristiano Max; Dornelles Bittencourt, Gabriela; Paulino, Igo; Paes Leme, Neusa Maria; Fritts, David C.; Janches, Diego; Hocking, Wayne; Schuch, Nelson Jorge

    2018-02-01

    The main goals of this work are to characterize and investigate the potential wave sources of four mesospheric fronts identified in the hydroxyl near-infrared (OH-NIR) airglow images, obtained with an all-sky airglow imager installed at Comandante Ferraz Antarctic Station (EACF, as per its Portuguese acronym) located on King George Island in the Antarctic Peninsula. We identified and analyzed four mesospheric fronts in 2011 over King George Island. In addition, we investigate the atmospheric background environment between 80 and 100 km altitude and discuss the ducts and propagation conditions for these waves. For that, we used wind data obtained from a meteor radar operated at EACF and temperature data obtained from the TIMED/SABER satellite. The vertical wavenumber squared, m2, was calculated for each of the four waves. Even though no clearly defined duct (indicated by positive values of m2 sandwiched between layers above and below with m2 wind in the wave propagation direction (near to south) above the OH peak (88-92 km). The likely wave sources for these four cases were investigated by using meteorological satellite images and in two cases we could find that strong instabilities were potential sources, i.e., a cyclonic activity and a large convective cloud cell. In the other two cases it was not possible to associate troposphere sources as potential candidates for the generation of such wave fronts observed in the mesosphere and secondary wave sources were attributed to these cases.

  16. Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering.

    Science.gov (United States)

    Haase, Anton; Soltwisch, Victor; Braun, Stefan; Laubis, Christian; Scholze, Frank

    2017-06-26

    We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

  17. Construction and operation of replacement hazardous waste handling facility at Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1992-09-01

    The US Department of Energy (DOE) has prepared an environmental assessment (EA), DOE/EA-0423, for the construction and operation of a replacement hazardous waste handling facility (HWHF) and decontamination of the existing HWHF at Lawrence Berkeley Laboratory (LBL), Berkeley, California. The proposed facility would replace several older buildings and cargo containers currently being used for waste handling activities and consolidate the LBL's existing waste handling activities in one location. The nature of the waste handling activities and the waste volume and characteristics would not change as a result of construction of the new facility. Based on the analysis in the EA, DOE has determined that the proposed action would not constitute a major Federal action significantly affecting the quality of the human environment within the meaning of the National Environmental Policy Act (NEPA) of 1969, 42 USC. 4321 et seq. Therefore, an environmental impact statement is not required

  18. The first detection of ionized helium in the local ISM - EUVE and IUE spectroscopy of the hot DA white dwarf GD 246

    Science.gov (United States)

    Vennes, Stephane; Dupuis, Jean; Rumph, Todd; Drake, Jeremy; Bowyer, Stuart; Chayer, Pierre; Fontaine, Gilles

    1993-01-01

    We report observations of the extreme ultraviolet spectrum of the hot degenerate star GD 246 obtained with the EUVE. Our initial attempt at modeling the photospheric emission from the white dwarf reveals a relatively uncontaminated pure H spectrum in the range above 200 A, allowing a study of interstellar continuum absorption features in the line of sight of GD 246. Modeling of the He I autoionization transition discussed by Rumph et al. (1993), and the EUV continuum using the white dwarf as a source of background radiation provides measurements of both neutral and, for the first time, singly ionized He column densities in the local ISM (LISM). We estimate the He ionization fraction He II/(He I + He II) at roughly 25 percent with a total He column of 1.40-1.65 x 10 exp 18/sq cm. We have measured and compared H I column densities from the saturated Ly-alpha ISM absorption in IUE high-dispersion spectroscopy and from EUV continuum absorption: the two measurements are in good agreement with a total H column of 1.2-1.6 x 10 exp 19/sq cm. We discuss some implications for the nature of the LISM, particularly in the context of current models of the EUV radiation field.

  19. Solar Energy Deposition Rates in the Mesosphere Derived from Airglow Measurements: Implications for the Ozone Model Deficit Problem

    Science.gov (United States)

    Mlynczak, Martin G.; Garcia, Rolando R.; Roble, Raymond G.; Hagan, Maura

    2000-01-01

    We derive rates of energy deposition in the mesosphere due to the absorption of solar ultraviolet radiation by ozone. The rates are derived directly from measurements of the 1.27-microns oxygen dayglow emission, independent of knowledge of the ozone abundance, the ozone absorption cross sections, and the ultraviolet solar irradiance in the ozone Hartley band. Fifty-six months of airglow data taken between 1982 and 1986 by the near-infrared spectrometer on the Solar-Mesosphere Explorer satellite are analyzed. The energy deposition rates exhibit altitude-dependent annual and semi-annual variations. We also find a positive correlation between temperatures and energy deposition rates near 90 km at low latitudes. This correlation is largely due to the semiannual oscillation in temperature and ozone and is consistent with model calculations. There is also a suggestion of possible tidal enhancement of this correlation based on recent theoretical and observational analyses. The airglow-derived rates of energy deposition are then compared with those computed by multidimensional numerical models. The observed and modeled deposition rates typically agree to within 20%. This agreement in energy deposition rates implies the same agreement exists between measured and modeled ozone volume mixing ratios in the mesosphere. Only in the upper mesosphere at midlatitudes during winter do we derive energy deposition rates (and hence ozone mixing ratios) consistently and significantly larger than the model calculations. This result is contrary to previous studies that have shown a large model deficit in the ozone abundance throughout the mesosphere. The climatology of solar energy deposition and heating presented in this paper is available to the community at the Middle Atmosphere Energy Budget Project web site at http://heat-budget.gats-inc.com.

  20. Berkeley Foundation for Opportunities in Information Technology: A Decade of Broadening Participation

    Science.gov (United States)

    Crutchfield, Orpheus S. L.; Harrison, Christopher D.; Haas, Guy; Garcia, Daniel D.; Humphreys, Sheila M.; Lewis, Colleen M.; Khooshabeh, Peter

    2011-01-01

    The Berkeley Foundation for Opportunities in Information Technology is a decade-old endeavor to expose pre-college young women and underrepresented racial and ethnic minorities to the fields of computer science and engineering, and prepare them for rigorous, university-level study. We have served more than 150 students, and graduated more than 65…

  1. "A Woman's World": The University of California, Berkeley, during the Second World War

    Science.gov (United States)

    Dorn, Charles

    2008-01-01

    During World War II, female students at the University of California, Berkeley--then the most populous undergraduate campus in American higher education--made significant advances in collegiate life. In growing numbers, women enrolled in male-dominated academic programs, including mathematics, chemistry, and engineering, as they prepared for…

  2. The comparative effect of FUV, EUV and X-ray disc photoevaporation on gas giant separations

    Science.gov (United States)

    Jennings, Jeff; Ercolano, Barbara; Rosotti, Giovanni P.

    2018-04-01

    Gas giants' early (≲ 5 Myr) orbital evolution occurs in a disc losing mass in part to photoevaporation driven by high energy irradiance from the host star. This process may ultimately overcome viscous accretion to disperse the disc and halt migrating giants by starving their orbits of gas, imprinting on giant planet separations in evolved systems. Inversion of this distribution could then give insight into whether stellar FUV, EUV or X-ray flux dominates photoevaporation, constraining planet formation and disc evolution models. We use a 1D hydrodynamic code in population syntheses for gas giants undergoing Type II migration in a viscously evolving disc subject to either a primarily FUV, EUV or X-ray flux from a pre-solar T Tauri star. The photoevaporative mass loss profile's unique peak location and width in each energetic regime produces characteristic features in the distribution of giant separations: a severe dearth of ≲ 2 MJ planets interior to 5 AU in the FUV scenario, a sharp concentration of ≲ 3 MJ planets between ≈1.5 - 2 AU in the EUV case, and a relative abundance of ≈2 - 3.5 MJ giants interior to 0.5 AU in the X-ray model. These features do not resemble the observational sample of gas giants with mass constraints, though our results do show some weaker qualitative similarities. We thus assess how the differing photoevaporative profiles interact with migrating giants and address the effects of large model uncertainties as a step to better connect disc models with trends in the exoplanet population.

  3. Surface modification by EUV laser beam based on capillary discharge

    Czech Academy of Sciences Publication Activity Database

    Frolov, Oleksandr; Koláček, Karel; Schmidt, Jiří; Štraus, Jaroslav; Prukner, Václav; Shukurov, A.

    -, č. 58 (2011), s. 484-487 ISSN 2010-376X. [International Conference on Fusion and Plasma Physics. Bali, Indonésie, 26.10.2011-28.10.2011] R&D Projects: GA AV ČR KAN300100702; GA MŠk LA08024; GA MŠk(CZ) LC528 Institutional research plan: CEZ:AV0Z20430508 Keywords : soft x-ray * EUV * laser * radiation * source * capillary * discharge * plasma * ablation * surface modification Subject RIV: BL - Plasma and Gas Discharge Physics http://www.waset.org/journals/waset/v58/v58-99.pdf

  4. Spectral calibration of filters and detectors of solar EUV telescope for 13.2 nm for the TESIS experiment

    International Nuclear Information System (INIS)

    Kuzin, S.V.; Shestov, S.V.; Pertsov, A.A.; Reva, A.A.; Zuev, S.Yu.; Lopatin, A.Ya.; Luchin, V.I.; Zhou, Kh.; Khuo, T.

    2008-01-01

    The full-sun EUV telescope for 13.2 nm spectral band for the TESIS experiment is designed to produce images of hot coronal plasma (T ∼ 10 MK). Calibration process of optical elements is presented. Spectral transmission of multilayer Zr/Si filters, sensitivity and radiation tolerance of CCD detector have been measured. Peak transmission of EUV filters in working, spectral band reaches 40-50% (filters with 50 and 55 layers are used), spectral dependence of transmission is close to calculated one. Transmission of filters in white light is equal to (1-2)x10 -6 . Sensitivity of CCD ranges from 0.01 to 0.1 ADC units per photon, radiation tolerance is better than 10 9 rad [ru

  5. Searching for multiple stellar populations in the massive, old open cluster Berkeley 39

    Science.gov (United States)

    Bragaglia, A.; Gratton, R. G.; Carretta, E.; D'Orazi, V.; Sneden, C.; Lucatello, S.

    2012-12-01

    The most massive star clusters include several generations of stars with a different chemical composition (mainly revealed by an Na-O anti-correlation) while low-mass star clusters appear to be chemically homogeneous. We are investigating the chemical composition of several clusters with masses of a few 104 M⊙ to establish the lower mass limit for the multiple stellar population phenomenon. Using VLT/FLAMES spectra we determine abundances of Fe, O, Na, and several other elements (α, Fe-peak, and neutron-capture elements) in the old open cluster Berkeley 39. This is a massive open cluster: M ~ 104 M⊙, approximately at the border between small globular clusters and large open clusters. Our sample size of about 30 stars is one of the largest studied for abundances in any open cluster to date, and will be useful to determine improved cluster parameters, such as age, distance, and reddening when coupled with precise, well-calibrated photometry. We find that Berkeley 39 is slightly metal-poor, ⟨[Fe/H]⟩ = -0.20, in agreement with previous studies of this cluster. More importantly, we do not detect any star-to-star variation in the abundances of Fe, O, and Na within quite stringent upper limits. The rms scatter is 0.04, 0.10, and 0.05 dex for Fe, O, and Na, respectively. This small spread can be entirely explained by the noise in the spectra and by uncertainties in the atmospheric parameters. We conclude that Berkeley 39 is a single-population cluster. Based on observations collected at ESO telescopes under programme 386.B-0009.Tables 2 and 3 are available in electronic form at http://www.aanda.org

  6. Gilbert Newton Lewis: his influence on physical-organic chemists at Berkeley

    Energy Technology Data Exchange (ETDEWEB)

    Calvin, M.

    1982-03-01

    A review is presented of the historical contributions of Gilbert N. Lewis to science and a discussion of the influence of Lewis on the research of the members of the physical-organic staff at Berkeley, including Melvin Calvin, during the twenties, thirties and forties. Some specific examples are discussed. Also, the effect of Lewis, his science and administrative concepts in the creation of excellence in a department of chemistry are reviewed.

  7. Gilbert Newton Lewis: his influence on physical-organic chemists at Berkeley

    International Nuclear Information System (INIS)

    Calvin, M.

    1982-03-01

    A review is presented of the historical contributions of Gilbert N. Lewis to science and a discussion of the influence of Lewis on the research of the members of the physical-organic staff at Berkeley, including Melvin Calvin, during the twenties, thirties and forties. Some specific examples are discussed. Also, the effect of Lewis, his science and administrative concepts in the creation of excellence in a department of chemistry are reviewed

  8. Changes in prices, sales, consumer spending, and beverage consumption one year after a tax on sugar-sweetened beverages in Berkeley, California, US: A before-and-after study.

    Directory of Open Access Journals (Sweden)

    Lynn D Silver

    2017-04-01

    Full Text Available Taxes on sugar-sweetened beverages (SSBs meant to improve health and raise revenue are being adopted, yet evaluation is scarce. This study examines the association of the first penny per ounce SSB excise tax in the United States, in Berkeley, California, with beverage prices, sales, store revenue/consumer spending, and usual beverage intake.Methods included comparison of pre-taxation (before 1 January 2015 and first-year post-taxation (1 March 2015-29 February 2016 measures of (1 beverage prices at 26 Berkeley stores; (2 point-of-sale scanner data on 15.5 million checkouts for beverage prices, sales, and store revenue for two supermarket chains covering three Berkeley and six control non-Berkeley large supermarkets in adjacent cities; and (3 a representative telephone survey (17.4% cooperation rate of 957 adult Berkeley residents. Key hypotheses were that (1 the tax would be passed through to the prices of taxed beverages among the chain stores in which Berkeley implemented the tax in 2015; (2 sales of taxed beverages would decline, and sales of untaxed beverages would rise, in Berkeley stores more than in comparison non-Berkeley stores; (3 consumer spending per transaction (checkout episode would not increase in Berkeley stores; and (4 self-reported consumption of taxed beverages would decline. Main outcomes and measures included changes in inflation-adjusted prices (cents/ounce, beverage sales (ounces, consumers' spending measured as store revenue (inflation-adjusted dollars per transaction in two large chains, and usual beverage intake (grams/day and kilocalories/day. Tax pass-through (changes in the price after imposition of the tax for SSBs varied in degree and timing by store type and beverage type. Pass-through was complete in large chain supermarkets (+1.07¢/oz, p = 0.001 and small chain supermarkets and chain gas stations (1.31¢/oz, p = 0.004, partial in pharmacies (+0.45¢/oz, p = 0.03, and negative in independent corner stores and

  9. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Science.gov (United States)

    Saber, Ismail; Bartnik, Andrzej; Skrzeczanowski, Wojciech; Wachulak, Przemyslaw; Jarocki, Roman; Fiedorowicz, Henryk; Limpouch, Jiri

    2018-01-01

    Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm) laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis) range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE), the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  10. Experimental and theoretical study on emission spectra of a nitrogen photoionized plasma induced by intense EUV pulses

    Directory of Open Access Journals (Sweden)

    Saber Ismail

    2018-01-01

    Full Text Available Spectral lines of low-temperature nitrogen photoionized plasma were investigated. The photoionized plasma was created in the result of irradiation N2 gas using laser plasma EUV radiation pulses. The source was based on a 10J/10ns Nd:YAG (λ = 1064 nm laser system and a gas puff target. The EUV radiation pulses were collected and focused using a grazing incidence multifoil EUV collector. The emission spectra were measured in the ultraviolet and visible (UV/Vis range. It was found that the plasma emission lines in the lower region of the UV range are relativley weak. Nonetheless, a part of the spectra contains strong molecular band in the 300 - 430 nm originated from second positive and first negative systems band transitions of nitrogen. These molecular band transitions were identified using a code for study the diatomic molecules, LIFBASE. The vibrational band of Δv = 0 and ±1 transitions were significantly populated than of that with Δv = ±2 and 3 transitions. A comparison of the calculated and measured spectrum is presented. With an assumption of a local thermodynamic equilibrium (LTE, the vibrational temperature was determined from the integrated band intensities with the help of the Boltzmann plot method and compared to the temperature predicted by SPECAIR and LIFBASE simulations. A summary of the results and the variations in the vibrational temperatures was discussed.

  11. Fun and games in Berkeley: the early years (1956-2013).

    Science.gov (United States)

    Tinoco, Ignacio

    2014-01-01

    Life at Berkeley for the past 57 years involved research on the thermodynamics, kinetics, and spectroscopic properties of RNA to better understand its structures, interactions, and functions. We (myself and all the graduate students and postdocs who shared in the fun) began with dinucleoside phosphates and slowly worked our way up to megadalton-sized RNA molecular motors. We used UV absorption, circular dichroism, circular intensity differential scattering, fluorescence, NMR, and single-molecule methods. We learned a lot and had fun doing it.

  12. Particle production in high energy nucleus--nucleus experiments at Berkeley

    International Nuclear Information System (INIS)

    Schroeder, L.S.

    1976-09-01

    A review of high energy nucleus-nucleus experiments performed at the Berkeley Bevalac is presented. Earlier results on projectile and target fragmentation and pion production are briefly summarized. More recent results on Coulomb effects in projectile fragmentation, heavy ion total cross-sections, γ-ray production, and charged particle multiplicities are presented. Also, recent experiments which may shed light on phenomena arising from the central collision of two energetic nuclei, including recent evidence for and against the observation of nuclear shock waves, are reviewed

  13. Stellar observations with the Voyager EUV objective grating spectrograph

    International Nuclear Information System (INIS)

    Holberg, J.B.; Polidan, R.S.; Barry, D.C.

    1986-01-01

    During the periods of interplanetary cruise the Voyager ultraviolet spectrometers are used to provide unique and otherwise unobtainable observations in the extreme ultraviolet (EUV, 500 to 1200) and the far ultraviolet (FUV, 912 to 1220 A). These observations include the spectra of hot stellar sources as well as emission from the interplanetary medium. Recent results of note include: (1) extensive spectrophotometric coverage of a superoutburst of the dwarf nova VW Hydri, which showed a clear 1/2 day delay in the outburst at 1000 A relative to that observed in the optical and a curious dip in the FUV light curve near maximum light. The Voyager observations were part of a comprehensive and highly successful campaign involving EXOSAT, IUE and ground based observations of this dwarf nova; (2) a comprehensive study of Be star spectra and variability. These results show the critical importance of FUV observations in the study of the effects of stellar rotation in hot stars; (3) the detection of a strong O VI absorption feature in the spectrum of the PG 1159-like object H1504+65. This detection along with the optical identification of weak O IV lines was a key to the interpretation of this object; which is of extremely high (>150,000K) temperature and appears to be a unique example of a stellar atmosphere devoid of H and He; (4) an analysis of an extremely long duration spectrum of the EUV and FUV sky background, which establishes important new upper limits on both continuum and line emission. This result also provide the first detection of interplanetary Lyman gamma

  14. Berkeley 51 Kümesinin Temel ve Astrofiziksel Parametrelerinin Belirlenmesi

    Directory of Open Access Journals (Sweden)

    İnci Akkaya Oralhan

    2016-10-01

    Full Text Available Galaksimizin birinci çeyreğinde bulunan ve daha önce çok az çalışılmış açık yıldız kümelerinden biri olan Berkeley 51 kümesinin temel astrofiziksel ve yapısal parametreleri CCD UBV(RIC ve 2MASS JHKS verileri kullanılarak elde edilmiştir. Kümeye ait CCD UBV(RIC verileri Meksika’da bulunan San Pedro Martir Ulusal Gözlemevi’nden 84cm’lik teleskop ile alınmıştır. Küme üyeliklerinin belirlenmesinde ise PPMXL kataloğundaki öz hareket verileri kullanılmıştır. Buna küre bu küme için elde edilen limit yarıçap Rlim=2.5 yay dakikası, kızarma E(B-V=0.85±0.05 kadir, E(J-H=0.28±0.02 kadir, uzaklık modülü DM=(m-M0=10.66±0.04 pc, uzaklığı d=1355±27 pc ve logaritmik yaş log(A=9.54±0.03 Myıl olarak bulunmuştur. Küme için ilk kez bulunan metal ve ağır element bolluğu ise sırasıyla [Fe/H]=-0.38 ve Z=0.006 olarak elde edilmiştir.Anahtar kelimeler: Açık yıldız kümeleri-Berkeley 51

  15. Clinical results of stereotactic hellium-ion radiosurgery of the pituitary gland at Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    Levy, R.P.; Fabrikant, J.I.; Lyman, J.T.; Frankel, K.A.; Phillips, M.H.; Lawrence, J.H.; Tobias, C.A.

    1989-12-01

    The first therapeutic clinical trial using accelerated heavy-charged particles in humans was performed at Lawrence Berkeley Laboratory (LBL) for the treatment of various endocrine and metabolic disorders of the pituitary gland, and as suppressive therapy for adenohypophyseal hormone-responsive carcinomas and diabetic retinopathy. In acromegaly, Cushing's disease, Nelson's syndrome and prolactin-secreting tumors, the therapeutic goal in the 433 patients treated has been to destroy or inhibit the growth of the pituitary tumor and control hormonal hypersecretion, while preserving a functional rim of tissue with normal hormone-secreting capacity, and minimizing neurologic injury. An additional group of 34 patients was treated for nonsecreting chromophobe adenomas. This paper discusses the methods and results of stereotactic helium-ion radiosurgery of the pituitary gland at Lawrence Berkeley Laboratory. 11 refs

  16. Clinical results of stereotactic hellium-ion radiosurgery of the pituitary gland at Lawrence Berkeley Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Levy, R.P.; Fabrikant, J.I.; Lyman, J.T.; Frankel, K.A.; Phillips, M.H.; Lawrence, J.H.; Tobias, C.A.

    1989-12-01

    The first therapeutic clinical trial using accelerated heavy-charged particles in humans was performed at Lawrence Berkeley Laboratory (LBL) for the treatment of various endocrine and metabolic disorders of the pituitary gland, and as suppressive therapy for adenohypophyseal hormone-responsive carcinomas and diabetic retinopathy. In acromegaly, Cushing's disease, Nelson's syndrome and prolactin-secreting tumors, the therapeutic goal in the 433 patients treated has been to destroy or inhibit the growth of the pituitary tumor and control hormonal hypersecretion, while preserving a functional rim of tissue with normal hormone-secreting capacity, and minimizing neurologic injury. An additional group of 34 patients was treated for nonsecreting chromophobe adenomas. This paper discusses the methods and results of stereotactic helium-ion radiosurgery of the pituitary gland at Lawrence Berkeley Laboratory. 11 refs.

  17. Uncovering New Thermal and Elastic Properties of Nanostructured Materials Using Coherent EUV Light

    Science.gov (United States)

    Hernandez Charpak, Jorge Nicolas

    Advances in nanofabrication have pushed the characteristic dimensions of nanosystems well below 100nm, where physical properties are often significantly different from their bulk counterparts, and accurate models are lacking. Critical technologies such as thermoelectrics for energy harvesting, nanoparticle-mediated thermal therapy, nano-enhanced photovoltaics, and efficient thermal management in integrated circuits depend on our increased understanding of the nanoscale. However, traditional microscopic characterization tools face fundamental limits at the nanoscale. Theoretical efforts to build a fundamental picture of nanoscale thermal dynamics lack experimental validation and still struggle to account for newly reported behaviors. Moreover, precise characterization of the elastic behavior of nanostructured systems is needed for understanding the unique physics that become apparent in small-scale systems, such as thickness-dependent or fabrication-dependent elastic properties. In essence, our ability to fabricate nanosystems has outstripped our ability to understand and characterize them. In my PhD thesis, I present the development and refinement of coherent extreme ultraviolet (EUV) nanometrology, a novel tool used to probe material properties at the intrinsic time- and length-scales of nanoscale dynamics. By extending ultrafast photoacoustic and thermal metrology techniques to very short probing wavelengths using tabletop coherent EUV beams from high-harmonic upconversion (HHG) of femtosecond lasers, coherent EUV nanometrology allows for a new window into nanoscale physics, previously unavailable with traditional techniques. Using this technique, I was able to probe both thermal and acoustic dynamics in nanostructured systems with characteristic dimensions below 50nm with high temporal (sub-ps) and spatial (size and spacing of the nanoscale heat sources with the phonon spectrum of a material. This makes our technique one of the only experimental routes to

  18. Plasma Surface Interactions Common to Advanced Fusion Wall Materials and EUV Lithography - Lithium and Tin

    Science.gov (United States)

    Ruzic, D. N.; Alman, D. A.; Jurczyk, B. E.; Stubbers, R.; Coventry, M. D.; Neumann, M. J.; Olczak, W.; Qiu, H.

    2004-09-01

    Advanced plasma facing components (PFCs) are needed to protect walls in future high power fusion devices. In the semiconductor industry, extreme ultraviolet (EUV) sources are needed for next generation lithography. Lithium and tin are candidate materials in both areas, with liquid Li and Sn plasma material interactions being critical. The Plasma Material Interaction Group at the University of Illinois is leveraging liquid metal experimental and computational facilities to benefit both fields. The Ion surface InterAction eXperiment (IIAX) has measured liquid Li and Sn sputtering, showing an enhancement in erosion with temperature for light ion bombardment. Surface Cleaning of Optics by Plasma Exposure (SCOPE) measures erosion and damage of EUV mirror samples, and tests cleaning recipes with a helicon plasma. The Flowing LIquid surface Retention Experiment (FLIRE) measures the He and H retention in flowing liquid metals, with retention coefficients varying between 0.001 at 500 eV to 0.01 at 4000 eV.

  19. Annual environmental monitoring report of the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    Schleimer, G.E.

    1989-06-01

    The Environmental Monitoring Program of the Lawrence Berkeley Laboratory (LBL) is described. Data for 1988 are presented and general trends are discussed. In order to establish whether LBL research activities produced any impact on the population surrounding the laboratory, a program of environmental air and water sampling and continuous radiation monitoring was carried on throughout the year. For 1988, as in the previous several years, dose equivalents attributable to LBL radiological operations were a small fraction of both the relevant radiation protection guidelines (RPG) and of the natural radiation background. 16 refs., 7 figs., 21 tabs

  20. Assembly Manual for the Berkeley Lab Cosmic Ray Detector

    International Nuclear Information System (INIS)

    Collier, Michael

    2002-01-01

    The Berkeley Lab Cosmic Ray Detector consists of 3 main components that must be prepared separately before they can be assembled. These components are the scintillator, circuit board, and casing. They are described in the main sections of this report, which may be completed in any order. Preparing the scintillator paddles involves several steps--cutting the scintillator material to the appropriate size and shape, preparing and attaching Lucite cookies (optional), polishing the edges, gluing the end to the photomultiplier tube (optional), and wrapping the scintillator. Since the detector has 2 paddles, each of the sections needs to be repeated for the other paddle

  1. Annual environmental monitoring report of the Lawrence Berkeley Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Schleimer, G.E. (ed.)

    1989-06-01

    The Environmental Monitoring Program of the Lawrence Berkeley Laboratory (LBL) is described. Data for 1988 are presented and general trends are discussed. In order to establish whether LBL research activities produced any impact on the population surrounding the laboratory, a program of environmental air and water sampling and continuous radiation monitoring was carried on throughout the year. For 1988, as in the previous several years, dose equivalents attributable to LBL radiological operations were a small fraction of both the relevant radiation protection guidelines (RPG) and of the natural radiation background. 16 refs., 7 figs., 21 tabs.

  2. Environmental Justice Screening Method (EJSM) Score, San Joaquin Valley CA, 2013, Occidental College and UC Berkeley

    Data.gov (United States)

    U.S. Environmental Protection Agency — The Cumulative Impacts (CI) screening method is jointly being developed by Manuel Pastor, Jim Sadd (Occidental College), and Rachel Morello-Frosch (UC Berkeley) ....

  3. The design and implementation of Berkeley Lab's linuxcheckpoint/restart

    Energy Technology Data Exchange (ETDEWEB)

    Duell, Jason

    2005-04-30

    This paper describes Berkeley Linux Checkpoint/Restart (BLCR), a linux kernel module that allows system-level checkpoints on a variety of Linux systems. BLCR can be used either as a stand alone system for checkpointing applications on a single machine, or as a component by a scheduling system or parallel communication library for checkpointing and restoring parallel jobs running on multiple machines. Integration with Message Passing Interface (MPI) and other parallel systems is described.

  4. Changes in prices, sales, consumer spending, and beverage consumption one year after a tax on sugar-sweetened beverages in Berkeley, California, US: A before-and-after study.

    Science.gov (United States)

    Silver, Lynn D; Ng, Shu Wen; Ryan-Ibarra, Suzanne; Taillie, Lindsey Smith; Induni, Marta; Miles, Donna R; Poti, Jennifer M; Popkin, Barry M

    2017-04-01

    Taxes on sugar-sweetened beverages (SSBs) meant to improve health and raise revenue are being adopted, yet evaluation is scarce. This study examines the association of the first penny per ounce SSB excise tax in the United States, in Berkeley, California, with beverage prices, sales, store revenue/consumer spending, and usual beverage intake. Methods included comparison of pre-taxation (before 1 January 2015) and first-year post-taxation (1 March 2015-29 February 2016) measures of (1) beverage prices at 26 Berkeley stores; (2) point-of-sale scanner data on 15.5 million checkouts for beverage prices, sales, and store revenue for two supermarket chains covering three Berkeley and six control non-Berkeley large supermarkets in adjacent cities; and (3) a representative telephone survey (17.4% cooperation rate) of 957 adult Berkeley residents. Key hypotheses were that (1) the tax would be passed through to the prices of taxed beverages among the chain stores in which Berkeley implemented the tax in 2015; (2) sales of taxed beverages would decline, and sales of untaxed beverages would rise, in Berkeley stores more than in comparison non-Berkeley stores; (3) consumer spending per transaction (checkout episode) would not increase in Berkeley stores; and (4) self-reported consumption of taxed beverages would decline. Main outcomes and measures included changes in inflation-adjusted prices (cents/ounce), beverage sales (ounces), consumers' spending measured as store revenue (inflation-adjusted dollars per transaction) in two large chains, and usual beverage intake (grams/day and kilocalories/day). Tax pass-through (changes in the price after imposition of the tax) for SSBs varied in degree and timing by store type and beverage type. Pass-through was complete in large chain supermarkets (+1.07¢/oz, p = 0.001) and small chain supermarkets and chain gas stations (1.31¢/oz, p = 0.004), partial in pharmacies (+0.45¢/oz, p = 0.03), and negative in independent corner stores and

  5. The principle of phase stability and the accelerator program at Berkeley, 1945--1954

    International Nuclear Information System (INIS)

    Lofgren, E.J.

    1994-07-01

    The discovery of the Principle of Phase Stability by Vladimir Veksler and Edwin McMillian and the end of the war released a surge of accelerator activity at the Lawrence Berkeley Laboratory (then The University of California Radiation Laboratory). Six accelerators incorporating the Principle of Phase Stability were built in the period 1945--1954

  6. Preparations for decommissioning the TRIGA Mark III Berkeley Research Reactor

    International Nuclear Information System (INIS)

    Denton, Michael M.; Lim, Tek. H.

    1988-01-01

    On December 20, 1986 the chancellor of UC Berkeley announced his decision to decommission the 20 year old Berkeley Research Reactor citing as principal reasons a decline in use and a need to erect a new computer science building over the reactor's site. In order to meet the University's construction timetable for the new building, the reactor staff together with other units of the campus administration have initiated a program to remove the reactor structure and clear the room for unlicensed use as expediently as possible. Due to the sequence of events which must occur in a limited amount of time, the University adopted a policy to contract out as much of the work as possible, including generation of the defueling and decommissioning plans.The first physical step in the decommissioning project is the removal of the irradiated fuel. This task is largely contracted out to a commercial firm with experience in the transport of radioactive materials and reactor fuel. As suggested by the NRC, the reactor will be defueled under the current operating license. This requires that all fuel must be off-site before the DP can be approved. Therefore any delay in defueling in-turn delays the decommissioning. The NRC has given no commitment or date for completion of their review. Informal discussion with NRC project managers and the experience from other facilities indicate that the review process will take between six and nine months

  7. Measurements of EUV coronal holes and open magnetic flux

    International Nuclear Information System (INIS)

    Lowder, C.; Qiu, J.; Leamon, R.; Liu, Y.

    2014-01-01

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10 22 Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10 22 Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  8. CORONAL MAGNETIC FIELDS DERIVED FROM SIMULTANEOUS MICROWAVE AND EUV OBSERVATIONS AND COMPARISON WITH THE POTENTIAL FIELD MODEL

    Energy Technology Data Exchange (ETDEWEB)

    Miyawaki, Shun; Nozawa, Satoshi [Department of Science, Ibaraki University, Mito, Ibaraki 310-8512 (Japan); Iwai, Kazumasa; Shibasaki, Kiyoto [Nobeyama Solar Radio Observatory, National Astronomical Observatory of Japan, Minamimaki, Nagano 384-1305 (Japan); Shiota, Daikou, E-mail: shunmi089@gmail.com [Solar-Terrestrial Environment Laboratory, Nagoya University, Nagoya, Aichi 464-8601 (Japan)

    2016-02-10

    We estimated the accuracy of coronal magnetic fields derived from radio observations by comparing them to potential field calculations and the differential emission measure measurements using EUV observations. We derived line-of-sight components of the coronal magnetic field from polarization observations of the thermal bremsstrahlung in the NOAA active region 11150, observed around 3:00 UT on 2011 February 3 using the Nobeyama Radioheliograph at 17 GHz. Because the thermal bremsstrahlung intensity at 17 GHz includes both chromospheric and coronal components, we extracted only the coronal component by measuring the coronal emission measure in EUV observations. In addition, we derived only the radio polarization component of the corona by selecting the region of coronal loops and weak magnetic field strength in the chromosphere along the line of sight. The upper limits of the coronal longitudinal magnetic fields were determined as 100–210 G. We also calculated the coronal longitudinal magnetic fields from the potential field extrapolation using the photospheric magnetic field obtained from the Helioseismic and Magnetic Imager. However, the calculated potential fields were certainly smaller than the observed coronal longitudinal magnetic field. This discrepancy between the potential and the observed magnetic field strengths can be explained consistently by two reasons: (1) the underestimation of the coronal emission measure resulting from the limitation of the temperature range of the EUV observations, and (2) the underestimation of the coronal magnetic field resulting from the potential field assumption.

  9. Negative-tone imaging with EUV exposure for 14nm hp and beyond

    Science.gov (United States)

    Tsubaki, Hideaki; Nihashi, Wataru; Tsuchihashi, Toru; Fujimori, Toru; Momota, Makoto; Goto, Takahiro

    2015-03-01

    Manipulation of dissolution properties by changing organic solvent developer and rinse material provides a novel technology to obtain fine pattern beyond the limitation of imaging system based on alkaline developer. QCM study showed no swelling character in negative-tone imaging (NTI) process even for current developer of n-butyl acetate (nBA). Actually, NTI process has shown advantages on resolution and line-width roughness (LWR) in loose pitch around 30 ~ 45 nm hp as a consequence of its non-swelling character. On the other hand, bridge and collapse limited its resolution below 20 nm hp, indicating that non-negligible amount of swelling still exists for tight pitch resolution. We investigated effects of solubility parameter of organic solvents on resolution below 20 nm hp. A bridge was reduced with a decrease in the solubility parameter dp from nBA. On the other hand, much lower dp caused film remaining due to its extremely slow Rmax. Based on these results, we newly developed FN-DP301 containing organic solvent with smaller dp than nBA. Although rinse solvent gave negligible effects on bridge, there is a clear improvement on pattern collapse only in case of using new rinse solvent of FN-RP311. Lithographic performances of NTI process using nBA and FN-DP301 together with the other organic solvents were described in this paper under exposures with an E-beam and a EUV light. It is emphasized that 14 nm hp resolution was obtained only using FN-DP301 as a developer and FN-RP311 as a rinse under E-beam exposure. NTI showed 43% faster photospeed in comparison with PTI at 16 nm hp, indicating that NTI is applicable to obtain high throughput with maintaining resolution. In addition, sub-20 nm trench was obtained using NTI without bridge under EUV exposure, all of which are attributed to the low swelling character of NTI process. Similarly, NTI was able to print 20 nm dots using NXE:3100 with only a little peeling. Conversely CH patterning was significantly worse with NTI

  10. Young Cluster Berkeley 59: Properties, Evolution, and Star Formation

    Science.gov (United States)

    Panwar, Neelam; Pandey, A. K.; Samal, Manash R.; Battinelli, Paolo; Ogura, K.; Ojha, D. K.; Chen, W. P.; Singh, H. P.

    2018-01-01

    Berkeley 59 is a nearby (∼1 kpc) young cluster associated with the Sh2-171 H II region. We present deep optical observations of the central ∼2.5 × 2.5 pc2 area of the cluster, obtained with the 3.58 m Telescopio Nazionale Galileo. The V/(V–I) color–magnitude diagram manifests a clear pre-main-sequence (PMS) population down to ∼0.2 M ⊙. Using the near-infrared and optical colors of the low-mass PMS members, we derive a global extinction of A V = 4 mag and a mean age of ∼1.8 Myr, respectively, for the cluster. We constructed the initial mass function and found that its global slopes in the mass ranges of 0.2–28 M ⊙ and 0.2–1.5 M ⊙ are ‑1.33 and ‑1.23, respectively, in good agreement with the Salpeter value in the solar neighborhood. We looked for the radial variation of the mass function and found that the slope is flatter in the inner region than in the outer region, indicating mass segregation. The dynamical status of the cluster suggests that the mass segregation is likely primordial. The age distribution of the PMS sources reveals that the younger sources appear to concentrate close to the inner region compared to the outer region of the cluster, a phenomenon possibly linked to the time evolution of star-forming clouds. Within the observed area, we derive a total mass of ∼103 M ⊙ for the cluster. Comparing the properties of Berkeley 59 with other young clusters, we suggest it resembles more closely the Trapezium cluster.

  11. Uncooled Radiation Hard Large Area SiC X-ray and EUV Detectors and 2D Arrays, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — This project seeks to design, fabricate, characterize and commercialize large area, uncooled and radiative hard 4H-SiC EUV ? soft X-ray detectors capable of ultra...

  12. Berkeley Lab's Saul Perlmutter wins E.O. Lawrence Award; scientist's work on supernovae reveals accelerating Universe

    CERN Multimedia

    2002-01-01

    Saul Perlmutter, from Lawrence Berkeley National Laboratory Physics Division and leader of the Supernova Cosmology Project based there, has won the DOE's 2002 E.O. Lawrence Award in the physics category (2 pages).

  13. Dilepton (e+e-) production recent pp and pd studies with DLS at Berkeley

    International Nuclear Information System (INIS)

    Schroeder, L.S.

    1991-09-01

    The use of dileptons as probes of hot, dense hadronic matter is described. Preliminary results on dileptons produced in p-p and p-d interactions at the Bevalac are presented along with potential ramifications for existing model calculations of dileptons at these energies. Future directions of the dilepton program at Berkeley are outlined. 14 refs., 3 figs

  14. Actinic inspection of EUV reticles with arbitrary pattern design

    Science.gov (United States)

    Mochi, Iacopo; Helfenstein, Patrick; Rajeev, Rajendran; Fernandez, Sara; Kazazis, Dimitrios; Yoshitake, Shusuke; Ekinci, Yasin

    2017-10-01

    The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.

  15. Gibbsian segregating alloys driven by thermal and concentration gradients: A potential grazing collector optics used in EUV lithography

    Science.gov (United States)

    Qiu, Huatan

    A critical issue for EUV lithography is the minimization of collector degradation from intense plasma erosion and debris deposition. Reflectivity and lifetime of the collector optics will be heavily dependent on surface chemistry interactions between fuels and various mirror materials, in addition to high-energy ion and neutral particle erosion effects. An innovative Gibbsian segregation (GS) concept has been developed for being a self-healing, erosion-resistant collector optics. A Mo-Au GS alloy is developed on silicon using a DC dual-magnetron co-sputtering system in order for enhanced surface roughness properties, erosion resistance, and self-healing characteristics to maintain reflectivity over a longer period of mirror lifetime. A thin Au segregating layer will be maintained through segregation during exposure, even though overall erosion is taking place. The reflective material, Mo, underneath the segregating layer will be protected by this sacrificial layer which is lost due to preferential sputtering. The two dominant driving forces, thermal (temperature) and surface concentration gradient (surface removal flux), are the focus of this work. Both theoretical and experimental efforts have been performed to prove the effectiveness of the GS alloy used as EUV collection optics, and to elucidate the underlying physics behind it. The segregation diffusion, surface balance, erosion, and in-situ reflectivity will be investigated both qualitatively and quantitatively. Results show strong enhancement effect of temperature on GS performance, while only a weak effect of surface removal rate on GS performance. When equilibrium between GS and erosion is reached, the surface smoothness could be self-healed and reflectivity could be maintained at an equilibrium level, instead of continuously dropping down to an unacceptable level as conventional optic mirrors behave. GS process also shows good erosion resistance. The effectiveness of GS alloy as EUV mirror is dependent on

  16. Catalog of Research Abstracts, 1993: Partnership opportunities at Lawrence Berkeley Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    1993-09-01

    The 1993 edition of Lawrence Berkeley Laboratory`s Catalog of Research Abstracts is a comprehensive listing of ongoing research projects in LBL`s ten research divisions. Lawrence Berkeley Laboratory (LBL) is a major multi-program national laboratory managed by the University of California for the US Department of Energy (DOE). LBL has more than 3000 employees, including over 1000 scientists and engineers. With an annual budget of approximately $250 million, LBL conducts a wide range of research activities, many that address the long-term needs of American industry and have the potential for a positive impact on US competitiveness. LBL actively seeks to share its expertise with the private sector to increase US competitiveness in world markets. LBL has transferable expertise in conservation and renewable energy, environmental remediation, materials sciences, computing sciences, and biotechnology, which includes fundamental genetic research and nuclear medicine. This catalog gives an excellent overview of LBL`s expertise, and is a good resource for those seeking partnerships with national laboratories. Such partnerships allow private enterprise access to the exceptional scientific and engineering capabilities of the federal laboratory systems. Such arrangements also leverage the research and development resources of the private partner. Most importantly, they are a means of accessing the cutting-edge technologies and innovations being discovered every day in our federal laboratories.

  17. Environmental assessment for the proposed construction and operation of a Genome Sequencing Facility in Building 64 at Lawrence Berkeley Laboratory, Berkeley, California

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-04-01

    This document is an Environmental Assessment (EA) for a proposed project to modify 14,900 square feet of an existing building (Building 64) at Lawrence Berkeley Laboratory (LBL) to operate as a Genome Sequencing Facility. This EA addresses the potential environmental impacts from the proposed modifications to Building 64 and operation of the Genome Sequencing Facility. The proposed action is to modify Building 64 to provide space and equipment allowing LBL to demonstrate that the Directed DNA Sequencing Strategy can be scaled up from the current level of 750,000 base pairs per year to a facility that produces over 6,000,000 base pairs per year, while still retaining its efficiency.

  18. Progress report on the Berkeley/Anglo-Australian Observatory high-redshift supernova search

    International Nuclear Information System (INIS)

    Goldhaber, G.; Perlmutter, S.; Pennypacker, C.; Marvin, H.; Muller, R.A.; Couch, W.; Boyle, B.

    1990-11-01

    There are two main efforts related to supernovae in progress at Berkeley. The first is an automated supernova search for nearby supernovae, which was already discussed by Carl Pennypacker at this conference. The second is a search for distant supernovae, in the z = 0.3 to 0.5 region, aimed at measuring Ω. It is the latter that I want to discuss in this paper. 3 refs., 18 figs

  19. Construction and operation of replacement hazardous waste handling facility at Lawrence Berkeley Laboratory. Environmental Assessment

    Energy Technology Data Exchange (ETDEWEB)

    1992-09-01

    The US Department of Energy (DOE) has prepared an environmental assessment (EA), DOE/EA-0423, for the construction and operation of a replacement hazardous waste handling facility (HWHF) and decontamination of the existing HWHF at Lawrence Berkeley Laboratory (LBL), Berkeley, California. The proposed facility would replace several older buildings and cargo containers currently being used for waste handling activities and consolidate the LBL`s existing waste handling activities in one location. The nature of the waste handling activities and the waste volume and characteristics would not change as a result of construction of the new facility. Based on the analysis in the EA, DOE has determined that the proposed action would not constitute a major Federal action significantly affecting the quality of the human environment within the meaning of the National Environmental Policy Act (NEPA) of 1969, 42 USC. 4321 et seq. Therefore, an environmental impact statement is not required.

  20. FIRST MEASUREMENTS OF THE MASS OF CORONAL MASS EJECTIONS FROM THE EUV DIMMING OBSERVED WITH STEREO EUVI A+B SPACECRAFT

    International Nuclear Information System (INIS)

    Aschwanden, Markus J.; Nitta, Nariaki V.; Wuelser, Jean-Pierre; Lemen, James R.; Sandman, Anne; Vourlidas, Angelos; Colaninno, Robin C.

    2009-01-01

    The masses of coronal mass ejections (CMEs) have traditionally been determined from white-light coronagraphs (based on Thomson scattering of electrons), as well as from extreme ultraviolet (EUV) dimming observed with one spacecraft. Here we develop an improved method of measuring CME masses based on EUV dimming observed with the dual STEREO/EUVI spacecraft in multiple temperature filters that includes three-dimensional volume and density modeling in the dimming region and background corona. As a test, we investigate eight CME events with previous mass determinations from STEREO/COR2, of which six cases are reliably detected with the Extreme Ultraviolet Imager (EUVI) using our automated multi-wavelength detection code. We find CME masses in the range of m CME = (2-7) x 10 15 g. The agreement between the two EUVI/A and B spacecraft is m A /m B = 1.3 ± 0.6 and the consistency with white-light measurements by COR2 is m EUVI /m COR2 = 1.1 ± 0.3. The consistency between EUVI and COR2 implies no significant mass backflows (or inflows) at r sun and adequate temperature coverage for the bulk of the CME mass in the range of T ∼ 0.5-3.0 MK. The temporal evolution of the EUV dimming allows us to also model the evolution of the CME density n e (t), volume V(t), height-time h(t), and propagation speed v(t) in terms of an adiabatically expanding self-similar geometry. We determine e-folding EUV dimming times of t D = 1.3 ± 1.4 hr. We test the adiabatic expansion model in terms of the predicted detection delay (Δt ∼ 0.7 hr) between EUVI and COR2 for the fastest CME event (2008 March 25) and find good agreement with the observed delay (Δt ∼ 0.8 hr).

  1. Lawrence Berkeley laboratory neutral-beam engineering test facility power-supply system

    International Nuclear Information System (INIS)

    Lutz, I.C.; Arthur, C.A.; deVries, G.J.; Owren, H.M.

    1981-10-01

    The Lawrence Berkeley Laboratory is upgrading the neutral beam source test facility (NBSTF) into a neutral beam engineering test facility (NBETF) with increased capabilities for the development of neutral beam systems. The NBETF will have an accel power supply capable of 170 kV, 70 A, 30 sec pulse length, 10% duty cycle; and the auxiliary power supplies required for the sources. This paper describes the major components, their ratings and capabilities, and the flexibility designed to accomodate the needs of source development

  2. PERSISTENCE MAPPING USING EUV SOLAR IMAGER DATA

    Energy Technology Data Exchange (ETDEWEB)

    Thompson, B. J. [NASA Goddard Space Flight Center, Code 671, Greenbelt, MD 20771 (United States); Young, C. A., E-mail: barbara.j.thompson@nasa.gov [NASA Goddard Space Flight Center, Code 670, Greenbelt, MD 20771 (United States)

    2016-07-01

    We describe a simple image processing technique that is useful for the visualization and depiction of gradually evolving or intermittent structures in solar physics extreme-ultraviolet imagery. The technique is an application of image segmentation, which we call “Persistence Mapping,” to isolate extreme values in a data set, and is particularly useful for the problem of capturing phenomena that are evolving in both space and time. While integration or “time-lapse” imaging uses the full sample (of size N ), Persistence Mapping rejects ( N − 1)/ N of the data set and identifies the most relevant 1/ N values using the following rule: if a pixel reaches an extreme value, it retains that value until that value is exceeded. The simplest examples isolate minima and maxima, but any quantile or statistic can be used. This paper demonstrates how the technique has been used to extract the dynamics in long-term evolution of comet tails, erupting material, and EUV dimming regions.

  3. Photoionization of atoms and molecules by intense EUV-FEL pulses and FEL seeded by high-order harmonic of ultrashort laser pulses

    International Nuclear Information System (INIS)

    Iwasaki, Atsushi; Owada, Shigeki; Yamanouchi, Kaoru; Sato, Takahiro; Nagasono, Mitsuru; Yabashi, Makina; Ishikawa, Tetsuya; Togashi, Tadashi; Takahashi, Eiji J.; Midorikawa, Katsumi; Aoyama, Makoto; Yamakawa, Koichi; Kannari, Fumihiko; Yagishita, Akira

    2012-01-01

    The advantages of SPring-8 Compact SASE Source as a light source for spectroscopic measurements in the extreme ultraviolet (EUV) wavelength region are introduced by referring to our recent study of non-linear photoionization processes of He, in which the absolute two-photon ionization cross sections of He at four different wavelengths in the 54 - 62 nm region were determined using intense pulses of the free-election laser (FEL). In addition, our recent effort to generate intense full-coherent EUV light pulses are introduced, in which significant amplification of the 13th harmonic of ultrashort laser pulses at 800 nm was achieved by FEL seeded with the 13th harmonic. (author)

  4. Fermilab and Berkeley Lab Collaborate with Meyer Tool on Key Component for European Particle Accelerator

    CERN Multimedia

    2004-01-01

    Officials of the U.S. Department of Energy's Fermi National Accelerator Laboratory and Lawrence Berkeley National Laboratory announced yesterday the completion of a key component of the U.S. contribution to the Large Hadron Collider, a particle accelerator under construction at CERN, in Geneva, Switzerland

  5. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyoung; Giannelis, Emmanuel P.; Ober, Christopher K.

    2013-01-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  6. Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning

    KAUST Repository

    Chakrabarty, Souvik

    2013-04-01

    DUV, EUV and e-beam patterning of hybrid nanoparticle photoresists have been reported previously by Ober and coworkers. The present work explores the underlying mechanism that is responsible for the dual tone patterning capability of these photoresist materials. Spectroscopic results correlated with mass loss and dissolution studies suggest a ligand exchange mechanism responsible for altering the solubility between the exposed and unexposed regions. © 2013 SPIE.

  7. Installation and experimental uses of RTNS-I at the University of California, Berkeley

    International Nuclear Information System (INIS)

    Belian, A.P.; Morse, E.C.; Tobin, M.

    1996-01-01

    The National Ignition Facility (NIF) features optical components with line-of-sight access to the 14 MeV neutrons generated by fusion reactions in the target. Two of these components are a final focusing lens, made of fused silica, and a frequency conversion crystal comprised of two potassium dihydrogen phosphate (KDP) crystals. The Rotating Target Neutron Source (RTNS-I), which was previously operated at Lawrence Livermore National Laboratory (LLNL), has now been re-installed at UC Berkeley and is being used for the studies of neutron irradiation of fused silica and KDP. The machine has been installed so as to re-utilize the concrete structure that once housed the Berkeley Research Reactor, now decommissioned. The RTNS uses a 2 - 5 mA beam of deuterons impinging upon a spinning internally cooled tritiated copper target with a 110 Ci tritium inventory. Maximum beam energy is 399 KeV. The 14 MeV neutron production rate is 1.0x10 12 n/sec. Some new features of the machine include fiber-optic coupled microprocessor control of accelerator parameters, a cryogenic tritium collection system, and a scrubber system for exhaust tritium management. 15 refs., 4 figs

  8. Control system for the 2nd generation Berkeley automounters (BAM2) at GM/CA-CAT macromolecular crystallography beamlines

    Energy Technology Data Exchange (ETDEWEB)

    Makarov, O., E-mail: makarov@anl.gov [GM/CA-CAT, Biosciences Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Hilgart, M.; Ogata, C.; Pothineni, S. [GM/CA-CAT, Biosciences Division, Argonne National Laboratory, Argonne, IL 60439 (United States); Cork, C. [Physical Biosciences Division, Lawrence Berkeley National Laboratory, Berkeley, CA 94720 (United States)

    2011-09-01

    GM/CA-CAT at Sector 23 of the Advanced Photon Source (APS) is an NIH funded facility for crystallographic structure determination of biological macromolecules by X-ray diffraction. A second-generation Berkeley automounter is being integrated into the beamline control system at the 23BM experimental station. This new device replaces the previous all-pneumatic gripper motions with a combination of pneumatics and XYZ motorized linear stages. The latter adds a higher degree of flexibility to the robot including auto-alignment capability, accommodation of a larger capacity sample Dewar of arbitrary shape, and support for advanced operations such as crystal washing, while preserving the overall simplicity and efficiency of the Berkeley automounter design.

  9. Extending CO2 cryogenic aerosol cleaning for advanced optical and EUV mask cleaning

    Science.gov (United States)

    Varghese, Ivin; Bowers, Charles W.; Balooch, Mehdi

    2011-11-01

    Cryogenic CO2 aerosol cleaning being a dry, chemically-inert and residue-free process is used in the production of optical lithography masks. It is an attractive cleaning option for the mask industry to achieve the requirement for removal of all printable soft defects and repair debris down to the 50nm printability specification. In the technique, CO2 clusters are formed by sudden expansion of liquid from high to almost atmospheric pressure through an optimally designed nozzle orifice. They are then directed on to the soft defects or debris for momentum transfer and subsequent damage free removal from the mask substrate. Unlike aggressive acid based wet cleaning, there is no degradation of the mask after processing with CO2, i.e., no critical dimension (CD) change, no transmission/phase losses, or chemical residue that leads to haze formation. Therefore no restriction on number of cleaning cycles is required to be imposed, unlike other cleaning methods. CO2 aerosol cleaning has been implemented for several years as full mask final clean in production environments at several state of the art mask shops. Over the last two years our group reported successful removal of all soft defects without damage to the fragile SRAF features, zero adders (from the cleaning and handling mechanisms) down to a 50nm printability specification. In addition, CO2 aerosol cleaning is being utilized to remove debris from Post-RAVE repair of hard defects in order to achieve the goal of no printable defects. It is expected that CO2 aerosol cleaning can be extended to extreme ultraviolet (EUV) masks. In this paper, we report advances being made in nozzle design qualification for optimum snow properties (size, velocity and flux) using Phase Doppler Anemometry (PDA) technique. In addition the two new areas of focus for CO2 aerosol cleaning i.e. pellicle glue residue removal on optical masks, and ruthenium (Ru) film on EUV masks are presented. Usually, the residue left over after the pellicle

  10. Berkeley Lab's Saul Perlmutter wins E.O. Lawrence Award scientist's work on supernovae reveals accelerating universe

    CERN Multimedia

    2002-01-01

    "Saul Perlmutter, a member of Lawrence Berkeley National Laboratory's Physics Division and leader of the international Supernova Cosmology Project based there, has won the Department of Energy's 2002 E.O. Lawrence Award in the physics category" (1/2 page).

  11. Temperature and EUV Intensity in a Coronal Prominence Cavity and Streamer

    Science.gov (United States)

    Kucera, T. A.; Gibson, S.E.; Schmit, D. J.; Landi, E.; Tripathi, D.

    2012-01-01

    We analyze the temperature and EUV line emission of a coronal cavity and surrounding streamer in terms of a morphological forward model. We use a series of iron line ratios observed with the Hinode Extreme-ultraviolet Imaging Spectrograph (EIS) on 2007 Aug. 9 to constrain temperature as a function of altitude in a morphological forward model of the streamer and cavity. We also compare model prediction of the EIS EUV line intensities and polarized brightness (pB) data from the Mauna Loa Solar Observatory (MLSO) MK4. This work builds on earlier analysis using the same model to determine geometry of and density in the same cavity and streamer. The fit to the data with altitude dependent temperature profiles indicates that both the streamer and cavity have temperatures in the range 1.4-1.7 MK. However, the cavity exhibits substantial substructure such that the altitude dependent temperature profile is not sufficient to completely model conditions in the cavity. Coronal prominence cavities are structured by magnetism so clues to this structure are to be found in their plasma properties. These temperature substructures are likely related to structures in the cavity magnetic field. Furthermore, we find that the model overestimates the line intensities by a factor of 4-10, while overestimating pB data by no more than a factor of 1.4. One possible explanation for this is that there may be a significant amount of material at temperatures outside of the range log T(K) approximately equals 5.8 - 6.7 in both the cavity and the streamer.

  12. A novel technique to measure intensity fluctuations in EUV images and to detect coronal sound waves nearby active regions

    Science.gov (United States)

    Stenborg, G.; Marsch, E.; Vourlidas, A.; Howard, R.; Baldwin, K.

    2011-02-01

    Context. In the past years, evidence for the existence of outward-moving (Doppler blue-shifted) plasma and slow-mode magneto-acoustic propagating waves in various magnetic field structures (loops in particular) in the solar corona has been found in ultraviolet images and spectra. Yet their origin and possible connection to and importance for the mass and energy supply to the corona and solar wind is still unclear. There has been increasing interest in this problem thanks to the high-resolution observations available from the extreme ultraviolet (EUV) imagers on the Solar TErrestrial RElationships Observatory (STEREO) and the EUV spectrometer on the Hinode mission. Aims: Flows and waves exist in the corona, and their signatures appear in EUV imaging observations but are extremely difficult to analyse quantitatively because of their weak intensity. Hence, such information is currently available mostly from spectroscopic observations that are restricted in their spatial and temporal coverage. To understand the nature and origin of these fluctuations, imaging observations are essential. Here, we present measurements of the speed of intensity fluctuations observed along apparently open field lines with the Extreme UltraViolet Imagers (EUVI) onboard the STEREO mission. One aim of our paper is to demonstrate that we can make reliable kinematic measurements from these EUV images, thereby complementing and extending the spectroscopic measurements and opening up the full corona for such an analysis. Another aim is to examine the assumptions that lead to flow versus wave interpretation for these fluctuations. Methods: We have developed a novel image-processing method by fusing well established techniques for the kinematic analysis of coronal mass ejections (CME) with standard wavelet analysis. The power of our method lies with its ability to recover weak intensity fluctuations along individual magnetic structures at any orientation , anywhere within the full solar disk , and

  13. Lawrence Berkeley Laboratory Affirmative Action Program. Revised

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-06-01

    The Lawrence Berkeley Laboratory`s Affirmative Action Program (AAP) serves as a working document that describes current policies, practices, and results in the area of affirmative action. It represents the Laboratory`s framework for an affirmative approach to increasing the representation of people of color and women in segments of our work force where they have been underrepresented and taking action to increase the employment of persons with disabilities and special disabled and Vietnam era veterans. The AAP describes the hierarchy of responsibility for Laboratory affirmative action, the mechanisms that exist for full Laboratory participation in the AAP, the policies and procedures governing recruitment at all levels, the Laboratory`s plan for monitoring, reporting, and evaluating affirmative action progress, and a description of special affirmative action programs and plans the Laboratory has used and will use in its efforts to increase the representation and retention of groups historically underrepresented in our work force.

  14. Changes in prices, sales, consumer spending, and beverage consumption one year after a tax on sugar-sweetened beverages in Berkeley, California, US: A before-and-after study

    Science.gov (United States)

    Ryan-Ibarra, Suzanne; Taillie, Lindsey Smith; Induni, Marta

    2017-01-01

    Background Taxes on sugar-sweetened beverages (SSBs) meant to improve health and raise revenue are being adopted, yet evaluation is scarce. This study examines the association of the first penny per ounce SSB excise tax in the United States, in Berkeley, California, with beverage prices, sales, store revenue/consumer spending, and usual beverage intake. Methods and findings Methods included comparison of pre-taxation (before 1 January 2015) and first-year post-taxation (1 March 2015–29 February 2016) measures of (1) beverage prices at 26 Berkeley stores; (2) point-of-sale scanner data on 15.5 million checkouts for beverage prices, sales, and store revenue for two supermarket chains covering three Berkeley and six control non-Berkeley large supermarkets in adjacent cities; and (3) a representative telephone survey (17.4% cooperation rate) of 957 adult Berkeley residents. Key hypotheses were that (1) the tax would be passed through to the prices of taxed beverages among the chain stores in which Berkeley implemented the tax in 2015; (2) sales of taxed beverages would decline, and sales of untaxed beverages would rise, in Berkeley stores more than in comparison non-Berkeley stores; (3) consumer spending per transaction (checkout episode) would not increase in Berkeley stores; and (4) self-reported consumption of taxed beverages would decline. Main outcomes and measures included changes in inflation-adjusted prices (cents/ounce), beverage sales (ounces), consumers’ spending measured as store revenue (inflation-adjusted dollars per transaction) in two large chains, and usual beverage intake (grams/day and kilocalories/day). Tax pass-through (changes in the price after imposition of the tax) for SSBs varied in degree and timing by store type and beverage type. Pass-through was complete in large chain supermarkets (+1.07¢/oz, p = 0.001) and small chain supermarkets and chain gas stations (1.31¢/oz, p = 0.004), partial in pharmacies (+0.45¢/oz, p = 0.03), and

  15. Robust design of broadband EUV multilayer beam splitters based on particle swarm optimization

    International Nuclear Information System (INIS)

    Jiang, Hui; Michette, Alan G.

    2013-01-01

    A robust design idea for broadband EUV multilayer beam splitters is introduced that achieves the aim of decreasing the influence of layer thickness errors on optical performances. Such beam splitters can be used in interferometry to determine the quality of EUVL masks by comparing with a reference multilayer. In the optimization, particle swarm techniques were used for the first time in such designs. Compared to conventional genetic algorithms, particle swarm optimization has stronger ergodicity, simpler processing and faster convergence

  16. Mesospheric front observations by the OH airglow imager carried out at Ferraz Station on King George Island, Antarctic Peninsula, in 2011

    Directory of Open Access Journals (Sweden)

    G. A. Giongo

    2018-02-01

    Full Text Available The main goals of this work are to characterize and investigate the potential wave sources of four mesospheric fronts identified in the hydroxyl near-infrared (OH-NIR airglow images, obtained with an all-sky airglow imager installed at Comandante Ferraz Antarctic Station (EACF, as per its Portuguese acronym located on King George Island in the Antarctic Peninsula. We identified and analyzed four mesospheric fronts in 2011 over King George Island. In addition, we investigate the atmospheric background environment between 80 and 100 km altitude and discuss the ducts and propagation conditions for these waves. For that, we used wind data obtained from a meteor radar operated at EACF and temperature data obtained from the TIMED/SABER satellite. The vertical wavenumber squared, m2, was calculated for each of the four waves. Even though no clearly defined duct (indicated by positive values of m2 sandwiched between layers above and below with m2 < 0 was found in any of the events, favorable propagation conditions for horizontal propagation of the fronts were found in three cases. In the fourth case, the wave front did not find any duct support and it appeared to dissipate near the zenith, transferring energy and momentum to the medium and, consequently, accelerating the wind in the wave propagation direction (near to south above the OH peak (88–92 km. The likely wave sources for these four cases were investigated by using meteorological satellite images and in two cases we could find that strong instabilities were potential sources, i.e., a cyclonic activity and a large convective cloud cell. In the other two cases it was not possible to associate troposphere sources as potential candidates for the generation of such wave fronts observed in the mesosphere and secondary wave sources were attributed to these cases.

  17. Analysis of a Failed Eclipse Plasma Ejection Using EUV Observations

    Science.gov (United States)

    Tavabi, E.; Koutchmy, S.; Bazin, C.

    2018-03-01

    The photometry of eclipse white-light (W-L) images showing a moving blob is interpreted for the first time together with observations from space with the PRoject for On Board Autonomy (PROBA-2) mission (ESA). An off-limb event seen with great details in W-L was analyzed with the SWAP imager ( Sun Watcher using Active pixel system detector and image Processing) working in the EUV near 174 Å. It is an elongated plasma blob structure of 25 Mm diameter moving above the east limb with coronal loops under. Summed and co-aligned SWAP images are evaluated using a 20-h sequence, in addition to the 11 July, 2010 eclipse W-L images taken from several sites. The Atmospheric Imaging Assembly (AIA) instrument on board the Solar Dynamics Observatory (SDO) recorded the event suggesting a magnetic reconnection near a high neutral point; accordingly, we also call it a magnetic plasmoid. The measured proper motion of the blob shows a velocity up to 12 km s^{-1}. Electron densities of the isolated condensation (cloud or blob or plasmoid) are photometrically evaluated. The typical value is 108 cm^{-3} at r=1.7 R_{⊙}, superposed on a background corona of 107 cm^{-3} density. The mass of the cloud near its maximum brightness is found to be 1.6×10^{13} g, which is typically 0.6×10^{-4} of the overall mass of the corona. From the extrapolated magnetic field the cloud evolves inside a rather broad open region but decelerates, after reaching its maximum brightness. The influence of such small events for supplying material to the ubiquitous slow wind is noticed. A precise evaluation of the EUV photometric data, after accurately removing the stray light, suggests an interpretation of the weak 174 Å radiation of the cloud as due to resonance scattering in the Fe IX/X lines.

  18. Environmental surveillance program of the Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    Thomas, R.H.

    1976-04-01

    The major radiological environmental impact of the Lawrence Berkeley Laboratory is due to the operation of four particle accelerators. Potential sources of population exposure at the Laboratory are discussed. The major source of population exposure due to accelerator operation arises from the prompt radiation field which consists principally of neutrons and photons. Release of small quantities of radionuclides is also a potential source of population exposure but is usually an order of magnitude less significant. Accelerator produced radiation levels at the Laboratory boundary are comparable with the magnitudes of the fluctuations found in the natural background radiation. Environmental monitoring of accelerator-produced radiation and of radionuclides is carried on throughout the Laboratory, at the Laboratory perimeter, and in the regions surrounding the Laboratory. The techniques used are described. The models used to calculate population exposure are described and discussed

  19. The Advanced Light Source at Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    Robinson, A.L.; Perera, R.C.C.; Schlachter, A.S.

    1991-10-01

    The Advanced Light Source (ALS) at the Lawrence Berkeley Laboratory (LBL), scheduled to be operational in the spring of 1993 as a US Department of Energy national user facility, will be a next- generation source of soft x-ray and ultraviolet (XUV) synchrotron radiation. Undulators will provide the world's brightest synchrotron radiation at photon energies from below 10 eV to above 2 keV; wiggler and bend-magnet radiation will extend the spectral coverage with high fluxes above 10 keV. These capabilities will support an extensive research program in a broad spectrum of scientific and technological areas in which XUV radiation is used to study and manipulate matter in all its varied gaseous, liquid, and solid forms. The ALS will also serve those interested in developing the fabrication technology for micro- and nanostructures, as well as characterizing them

  20. An Evaluation of the New Curriculum at the University of California, Berkeley, School of Optometry.

    Science.gov (United States)

    Harris, Michael G.; Kashani, Sandy; Saroj, Namrata

    2001-01-01

    Evaluated the new curriculum at the University of California, Berkeley, School of Optometry by comparing the content of the new curriculum to the old curriculum and by surveying faculty and students regarding their opinion of the new curriculum. Findings indicated that the curriculum is successful in implementing desired changes, including reduced…

  1. Measurements of EUV coronal holes and open magnetic flux

    Energy Technology Data Exchange (ETDEWEB)

    Lowder, C.; Qiu, J.; Leamon, R. [Department of Physics, Montana State University, Bozeman, MT 59717 (United States); Liu, Y., E-mail: clowder@solar.physics.montana.edu [W. W. Hansen Experimental Physics Laboratory, Stanford University, Stanford, CA 94305 (United States)

    2014-03-10

    Coronal holes are regions on the Sun's surface that map the footprints of open magnetic field lines. We have developed an automated routine to detect and track boundaries of long-lived coronal holes using full-disk extreme-ultraviolet (EUV) images obtained by SOHO/EIT, SDO/AIA, and STEREO/EUVI. We measure coronal hole areas and magnetic flux in these holes, and compare the measurements with calculations by the potential field source surface (PFSS) model. It is shown that, from 1996 through 2010, the total area of coronal holes measured with EIT images varies between 5% and 17% of the total solar surface area, and the total unsigned open flux varies between (2-5)× 10{sup 22} Mx. The solar cycle dependence of these measurements is similar to the PFSS results, but the model yields larger hole areas and greater open flux than observed by EIT. The AIA/EUVI measurements from 2010-2013 show coronal hole area coverage of 5%-10% of the total surface area, with significant contribution from low latitudes, which is under-represented by EIT. AIA/EUVI have measured much enhanced open magnetic flux in the range of (2-4)× 10{sup 22} Mx, which is about twice the flux measured by EIT, and matches with the PFSS calculated open flux, with discrepancies in the location and strength of coronal holes. A detailed comparison between the three measurements (by EIT, AIA-EUVI, and PFSS) indicates that coronal holes in low latitudes contribute significantly to the total open magnetic flux. These low-latitude coronal holes are not well measured with either the He I 10830 line in previous studies, or EIT EUV images; neither are they well captured by the static PFSS model. The enhanced observations from AIA/EUVI allow a more accurate measure of these low-latitude coronal holes and their contribution to open magnetic flux.

  2. Validity and Reliability of Preschool, First and Second Grade Versions of Berkeley Parenting Self-Efficacy Scale

    Directory of Open Access Journals (Sweden)

    Shahrbanoo Tajeri

    2009-02-01

    Full Text Available "nObjective: The purpose of this study is to examine the factor structure, internal consistency, and construct validity of preschool, first and second grade versions of Berkeley Parenting self-efficacy scale. "nMethod:  The subjects were 317 mothers: (102 mothers of preschool children, 111 mothers of first grade children and 104 mothers of second grade children who were randomly selected from schools in Tehran. They completed Berkeley parenting self-efficacy and Rotter `s locus of control scales. Factor analysis using the principle component method was used to identify the factor structure of parenting self-efficacy scale. Cronbach`s alpha coefficient was used to identify the reliability of parenting self efficacy scale. "nResults: Results of this study indicated that the cronbach`s alpha coefficient was 0.84, 0.87, 0.64 for preschool, first grade and second grade versions respectively. Based on the scree test ,,factor analysis produced two factors of maternal strategy and child outcome, and it also produced the highest level of total variance explained by these 2 factors. The Parenting self-efficacy scale was negatively associated with measure of locus of control(r=-0.54 for the preschool version, -0.64 for the first grade version and -0.54 for the second grade version. "nConclusion: Due to relatively high reliability and validity of preschool, first and second grade versions of Berkeley Parenting Self-Efficacy scale, this scale could be used as a reliable and valid scale in other research areas

  3. Public census data on CD-ROM at Lawrence Berkeley Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Merrill, D.W.

    1992-07-02

    In connection with the Comprehensive Epidemiologic Data Resource (CEDR) and Populations at Risk to Environmental Pollution (PAREP) projects, of the Information and Computing Sciences Division (ICSD) at Lawrence Berkeley Laboratory (LBL), are using public socioeconomic and geographic data files which are available to CEDR and PAREP collaborators via LBL's computing network. At this time 67 CD-ROM diskettes (approximately 35 gigabytes) are on line via the Unix file server cedrcd.lbl.gov. Most of the files are from the US Bureau of the Census, and most pertain to the 1990 Census of Population and Housing. This paper contains a list of the CD-ROMs available.

  4. Laser-produced plasma EUV source using a colloidal microjet target containing tin dioxide nanoparticles

    Science.gov (United States)

    Higashiguchi, Takeshi; Dojyo, Naoto; Sasaki, Wataru; Kubodera, Shoichi

    2006-10-01

    We realized a low-debris laser-produced plasma extreme ultraviolet (EUV) source by use of a colloidal microjet target, which contained low-concentration (6 wt%) tin-dioxide nanoparticles. An Nd:YAG laser was used to produce a plasma at the intensity on the order of 10^11 W/cm^2. The use of low concentration nanoparticles in a microjet target with a diameter of 50 μm regulated the neutral debris emission from a target, which was monitored by a silicon witness plate placed 30 cm apart from the source in a vacuum chamber. No XPS signals of tin and/or oxygen atoms were observed on the plate after ten thousand laser exposures. The low concentration nature of the target was compensated and the conversion efficiency (CE) was improved by introducing double pulses of two Nd:YAG lasers operated at 532 and 1064 nm as a result of controlling the micro-plasma characteristics. The EUV CE reached its maximum of 1.2% at the delay time of approximately 100 ns with the main laser intensiy of 2 x10^11 W/cm^2. The CE value was comparable to that of a tin bulk target, which, however, produced a significant amount of neutral debris.

  5. LAUE lens development at UC Berkeley: status and prospects

    Science.gov (United States)

    Barrière, Nicolas M.; Tomsick, John A.; Ackermann, Marcelo D.; Bastie, Pierre; Boggs, Steven E.; Hanlon, Lorraine; Jentschel, Michael; Lowell, Alexander; Roudil, Gilles; von Ballmoos, Peter; Wade, Colin

    2013-09-01

    We report on the status of the Laue lens development effort led by UC Berkeley, where a dedicated X-ray beamline and a Laue lens assembly station were built. This allowed the realization of a first lens prototype in June 2012. Based on this achievement, and thanks to a new NASA APRA grant, we are moving forward to enable Laue lenses. Several parallel activities are in progress. Firstly, we are refining the method to glue quickly and accurately crystals on a lens substrate. Secondly, we are conducting a study of high-Z crystals to diffract energies up to 900 keV efficiently. And thirdly, we are exploring new concepts of Si-based lenses that could further improve the focusing capabilities, and thus the sensitivity of Laue lenses.

  6. Validation of the Earth atmosphere models using the EUV solar occultation data from the CORONAS and PROBA 2 instruments

    Science.gov (United States)

    Slemzin, Vladimir; Kuzin, Sergey; Berghmans, David; Pertsov, Andrey; Dominique, Marie; Ulyanov, Artyom; Gaikovich, Konstantin

    Absorption in the atmosphere below 500 km results in attenuation of the solar EUV flux, variation of its spectra and distortion of solar images acquired by solar EUV instruments operating on LEO satellites even on solar synchronous orbits. Occultation measurements are important for planning of solar observations from these satellites, and can be used for monitoring the upper atmosphere as well as for studying its response to the solar activity. We present the results of the occultation measurements of the solar EUV radiation obtained by the CORONAS-F/SPIRIT telescope at high solar activity (2002), by the CORONAS-Photon/TESIS telescope at low activity (2009), and by the SWAP telescope and LYRA radiometer onboard the PROBA 2 satellite at moderate activity (2010). The measured attenuation profiles and the retrieved linear extinction coefficients at the heights 200-500 km are compared with simulations by the NRLMSIS-00 and DTM2013 atmospheric models. It was shown that the results of simulations by the DTM2013 model are well agreed with the data of measurements at all stages of solar activity and in presence of the geomagnetic storm, whereas the results of the NRLMSISE-00 model significantly diverge from the measurements, in particular, at high and low activity. The research leading to these results has received funding from the European Union’s Seventh Programme for Research, Technological Development and Demonstration under Grant Agreement “eHeroes” (project No.284461, www.eheroes.eu).

  7. X ray and EUV spectroscopic measurements of highly charged tungsten ions relevant to fusion plasmas

    International Nuclear Information System (INIS)

    Radtke, R; Biedermann, C; Mandelbaum, P; Schwob, J L

    2007-01-01

    Using high-resolution x ray and extreme ultraviolet (EUV) spectrometry, the line emission of W 28+ - W 50+ ions was measured at the Berlin Electron Beam Ion Trap (EBIT). Our study encompasses a wide range of wavelengths (5-800 A) and includes the observation of electric and magnetic dipole lines. The results of our measurements are compared with predicted transition wavelengths from ab initioatomic structure calculations

  8. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    Science.gov (United States)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  9. Follow the Money: Engineering at Stanford and UC Berkeley during the Rise of Silicon Valley

    Science.gov (United States)

    Adams, Stephen B.

    2009-01-01

    A comparison of the engineering schools at UC Berkeley and Stanford during the 1940s and 1950s shows that having an excellent academic program is necessary but not sufficient to make a university entrepreneurial (an engine of economic development). Key factors that made Stanford more entrepreneurial than Cal during this period were superior…

  10. Ultra-high accuracy optical testing: creating diffraction-limited short-wavelength optical systems

    International Nuclear Information System (INIS)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman, Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli, Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-01-01

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-(angstrom) and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date

  11. Public census data on CD-ROM at Lawrence Berkeley Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    Merrill, D.W.

    1993-01-16

    The Comprehensive Epidemiologic Data Resource (CEDR) and Populations at Risk to Environmental Pollution (PAREP) projects, of the Information and Computing Sciences Division (ICSD) at Lawrence Berkeley Laboratory (LBL), are using public socioeconomic and geographic data files which are available to CEDR and PAREP collaborators via LBL's computing network. At this time 72 CD-ROM diskettes (approximately 37 gigabytes) are on line via the Unix file server cedrcd.lbl.gov''. Most of the files are from the US Bureau of the Census, and many of these pertain to the 1990 Census of Population and Housing. All the CD-ROM diskettes contain documentation in the form of ASCII text files. In addition, printed documentation for most files is available for inspection at University of California Data and Technical Assistance (UC DATA), tel. (510) 642-6571, or the UC Documents Library, tel. (510) 642-2569, both located on the UC Berkeley Campus. Many of the CD-ROM diskettes distributed by the Census Bureau contain software for PC compatible computers, for easily accessing the data. Shared access to the data is maintained through a collaboration among the CEDR and PAREP projects at LBL, and UC DATA, and the UC Documents Library. LBL is grateful to UC DATA and the UC Documents Library for the use of their CD-ROM diskettes. Shared access to LBL facilities may be restricted in the future if costs become prohibitive. Via the Sun Network File System (NFS), these data can be exported to Internet computers for direct access by the user's application program(s). Due to the size of the files, this access method is preferred over File Transfer Protocol (FTP) access. Please contact Deane Merrill (dwmerrill lbl.gov) if you wish to make use of the data.

  12. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    International Nuclear Information System (INIS)

    Kumar, Pankaj; Cho, Kyung-Suk; Nakariakov, Valery M.

    2015-01-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s −1 for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s −1 ). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed

  13. Estimation of soft X-ray and EUV transition radiation power emitted from the MIRRORCLE-type tabletop synchrotron.

    Science.gov (United States)

    Toyosugi, N; Yamada, H; Minkov, D; Morita, M; Yamaguchi, T; Imai, S

    2007-03-01

    The tabletop synchrotron light sources MIRRORCLE-6X and MIRRORCLE-20SX, operating at electron energies E(el) = 6 MeV and E(el) = 20 MeV, respectively, can emit powerful transition radiation (TR) in the extreme ultraviolet (EUV) and the soft X-ray regions. To clarify the applicability of these soft X-ray and EUV sources, the total TR power has been determined. A TR experiment was performed using a 385 nm-thick Al foil target in MIRRORCLE-6X. The angular distribution of the emitted power was measured using a detector assembly based on an NE102 scintillator, an optical bundle and a photomultiplier. The maximal measured total TR power for MIRRORCLE-6X is P(max) approximately equal 2.95 mW at full power operation. Introduction of an analytical expression for the lifetime of the electron beam allows calculation of the emitted TR power by a tabletop synchrotron light source. Using the above measurement result, and the theoretically determined ratio between the TR power for MIRRORCLE-6X and MIRRORCLE-20SX, the total TR power for MIRRORCLE-20SX can be obtained. The one-foil TR target thickness is optimized for the 20 MeV electron energy. P(max) approximately equal 810 mW for MIRRORCLE-20SX is obtained with a single foil of 240 nm-thick Be target. The emitted bremsstrahlung is negligible with respect to the emitted TR for optimized TR targets. From a theoretically known TR spectrum it is concluded that MIRRORCLE-20SX can emit 150 mW of photons with E > 500 eV, which makes it applicable as a source for performing X-ray lithography. The average wavelength, \\overline\\lambda = 13.6 nm, of the TR emission of MIRRORCLE-20SX, with a 200 nm Al target, could provide of the order of 1 W EUV.

  14. Off-limb EUV observations of the solar corona and transients with the CORONAS-F/SPIRIT telescope-coronagraph

    Directory of Open Access Journals (Sweden)

    V. Slemzin

    2008-10-01

    Full Text Available The SPIRIT telescope aboard the CORONAS-F satellite (in orbit from 26 July 2001 to 5 December 2005, observed the off-limb solar corona in the 175 Å (Fe IX, X and XI lines and 304 Å (He II and Si XI lines bands. In the coronagraphic mode the mirror was tilted to image the corona at the distance of 1.1...5 Rsun from the solar center, the outer occulter blocked the disk radiation and the detector sensitivity was enhanced. This intermediate region between the fields of view of ordinary extreme-ultraviolet (EUV telescopes and most of the white-light (WL coronagraphs is responsible for forming the streamer belt, acceleration of ejected matter and emergence of slow and fast solar wind. We present here the results of continuous coronagraphic EUV observations of the solar corona carried out during two weeks in June and December 2002. The images showed a "diffuse" (unresolved component of the corona seen in both bands, and non-radial, ray-like structures seen only in the 175 Å band, which can be associated with a streamer base. The correlations between latitudinal distributions of the EUV brightness in the corona and at the limb were found to be high in 304 Å at all distances and in 175 Å only below 1.5 Rsun. The temporal correlation of the coronal brightness along the west radial line, with the brightness at the underlying limb region was significant in both bands, independent of the distance. On 2 February 2003 SPIRIT observed an expansion of a transient associated with a prominence eruption seen only in the 304 Å band. The SPIRIT data have been compared with the corresponding data of the SOHO LASCO, EIT and UVCS instruments.

  15. X-RAY AND EUV OBSERVATIONS OF SIMULTANEOUS SHORT AND LONG PERIOD OSCILLATIONS IN HOT CORONAL ARCADE LOOPS

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Pankaj; Cho, Kyung-Suk [Korea Astronomy and Space Science Institute (KASI), Daejeon, 305-348 (Korea, Republic of); Nakariakov, Valery M., E-mail: pankaj@kasi.re.kr [Centre for Fusion, Space and Astrophysics, Department of Physics, University of Warwick, CV4 7AL (United Kingdom)

    2015-05-01

    We report decaying quasi-periodic intensity oscillations in the X-ray (6–12 keV) and extreme-ultraviolet (EUV) channels (131, 94, 1600, 304 Å) observed by the Fermi Gamma-ray Burst Monitor and Solar Dynamics Observatory/Atmospheric Imaging Assembly (AIA), respectively, during a C-class flare. The estimated periods of oscillation and decay time in the X-ray channel (6–12 keV) were about 202 and 154 s, respectively. A similar oscillation period was detected at the footpoint of the arcade loops in the AIA 1600 and 304 Å channels. Simultaneously, AIA hot channels (94 and 131 Å) reveal propagating EUV disturbances bouncing back and forth between the footpoints of the arcade loops. The period of the oscillation and decay time were about 409 and 1121 s, respectively. The characteristic phase speed of the wave is about 560 km s{sup −1} for about 115 Mm of loop length, which is roughly consistent with the sound speed at the temperature about 10–16 MK (480–608 km s{sup −1}). These EUV oscillations are consistent with the Solar and Heliospheric Observatory/Solar Ultraviolet Measurement of Emitted Radiation Doppler-shift oscillations interpreted as the global standing slow magnetoacoustic wave excited by a flare. The flare occurred at one of the footpoints of the arcade loops, where the magnetic topology was a 3D fan-spine with a null-point. Repetitive reconnection at this footpoint could have caused the periodic acceleration of non-thermal electrons that propagated to the opposite footpoint along the arcade and that are precipitating there, causing the observed 202 s periodicity. Other possible interpretations, e.g., the second harmonics of the slow mode, are also discussed.

  16. Presentation of the National Center for Research in Vocational Education [Berkeley, California] at the AVA Annual Conference.

    Science.gov (United States)

    National Center for Research in Vocational Education, Berkeley, CA.

    This collection contains the following conference presentations about the National Center for Research in Vocational Education at the University of California at Berkeley: "Visions and Principles" (Charles Benson); "How the Center Sees Its Role" (Gordon Swanson); "The Research Agenda" (Sue Berryman); "The Service…

  17. EUV beam splitter for use in the wavelength region around 6 nm

    International Nuclear Information System (INIS)

    Takenaka, Hisataka; Ichimaru, Satoshi; Gullikson, E.M.

    2005-01-01

    Extreme ultraviolet (EUV) beam splitters for use at a wavelength of around 6 nm were fabricated. The designs were optimized for Cr/C multilayers and incident angles of 45 deg. and 80 deg. . Measurements revealed the reflectivity of a Cr/C beam splitter to be 3.3% and the transmittance to be 5.6% at a wavelength of 6.36 nm and an incident angle of 45 deg. . The reflectivity of a Cr/C beam splitter was 5.8% and the transmittance was 6.6% at a wavelength of 6.15 nm and an incident angle of 80 deg.

  18. Life sciences: Lawrence Berkeley Laboratory, 1988

    International Nuclear Information System (INIS)

    1989-07-01

    Life Sciences Research at LBL has both a long history and a new visibility. The physics technologies pioneered in the days of Ernest O. Lawrence found almost immediate application in the medical research conducted by Ernest's brother, John Lawrence. And the tradition of nuclear medicine continues today, largely uninterrupted for more than 50 years. Until recently, though, life sciences research has been a secondary force at the Lawrence Berkeley Laboratory (LBL). Today, a true multi-program laboratory has emerged, in which the life sciences participate as a full partner. The LBL Human Genome Center is a contribution to the growing international effort to map the human genome. Its achievements represent LBL divisions, including Engineering, Materials and Chemical Sciences, and Information and Computing Sciences, along with Cell and Molecular Biology and Chemical Biodynamics. The Advanced Light Source Life Sciences Center will comprise not only beamlines and experimental end stations, but also supporting laboratories and office space for scientists from across the US. This effort reflects a confluence of scientific disciplines --- this time represented by individuals from the life sciences divisions and by engineers and physicists associated with the Advanced Light Source project. And finally, this report itself, the first summarizing the efforts of all four life sciences divisions, suggests a new spirit of cooperation. 30 figs

  19. Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

    Science.gov (United States)

    Wang, Yow-Gwo; Neureuther, Andrew; Naulleau, Patrick

    2016-03-01

    In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.

  20. Spectral tailoring of nanoscale EUV and soft x-ray multilayer optics

    Science.gov (United States)

    Huang, Qiushi; Medvedev, Viacheslav; van de Kruijs, Robbert; Yakshin, Andrey; Louis, Eric; Bijkerk, Fred

    2017-03-01

    Extreme ultraviolet and soft X-ray (XUV) multilayer optics have experienced significant development over the past few years, particularly on controlling the spectral characteristics of light for advanced applications like EUV photolithography, space observation, and accelerator- or lab-based XUV experiments. Both planar and three dimensional multilayer structures have been developed to tailor the spectral response in a wide wavelength range. For the planar multilayer optics, different layered schemes are explored. Stacks of periodic multilayers and capping layers are demonstrated to achieve multi-channel reflection or suppression of the reflective properties. Aperiodic multilayer structures enable broadband reflection both in angles and wavelengths, with the possibility of polarization control. The broad wavelength band multilayer is also used to shape attosecond pulses for the study of ultrafast phenomena. Narrowband multilayer monochromators are delivered to bridge the resolution gap between crystals and regular multilayers. High spectral purity multilayers with innovated anti-reflection structures are shown to select spectrally clean XUV radiation from broadband X-ray sources, especially the plasma sources for EUV lithography. Significant progress is also made in the three dimensional multilayer optics, i.e., combining micro- and nanostructures with multilayers, in order to provide new freedom to tune the spectral response. Several kinds of multilayer gratings, including multilayer coated gratings, sliced multilayer gratings, and lamellar multilayer gratings are being pursued for high resolution and high efficiency XUV spectrometers/monochromators, with their advantages and disadvantages, respectively. Multilayer diffraction optics are also developed for spectral purity enhancement. New structures like gratings, zone plates, and pyramids that obtain full suppression of the unwanted radiation and high XUV reflectance are reviewed. Based on the present achievement

  1. Early History of Heavy Isotope Research at Berkeley

    Energy Technology Data Exchange (ETDEWEB)

    Glenn T. Seaborg

    1976-06-01

    I have had the idea for some time that it would be interesting and worthwhile to put together an account of the early work on heavy isotopes at Berkeley. Of a special interest is the discovery of plutonium (atomic number 94) and the isotope U{sup 233}, and the demonstration of their fission with slow neutrons. This work served as a prelude to the subsequent Plutonium Project (Metallurgical Project) centered at the University of Chicago, in connection with which I have also had the idea of putting together a history of the work of my chemistry group. I have decided that it would be an interesting challenge to write this account on a day-to-day basis in a style that would be consistent with the entries having been written at the end of each day. The aim would be to make this history as accurate as possible by going back to the original records and using them with meticulous care.

  2. Spin-Off Successes of SETI Research at Berkeley

    Science.gov (United States)

    Douglas, K. A.; Anderson, D. P.; Bankay, R.; Chen, H.; Cobb, J.; Korpela, E. J.; Lebofsky, M.; Parsons, A.; von Korff, J.; Werthimer, D.

    2009-12-01

    Our group contributes to the Search for Extra-Terrestrial Intelligence (SETI) by developing and using world-class signal processing computers to analyze data collected on the Arecibo telescope. Although no patterned signal of extra-terrestrial origin has yet been detected, and the immediate prospects for making such a detection are highly uncertain, the SETI@home project has nonetheless proven the value of pursuing such research through its impact on the fields of distributed computing, real-time signal processing, and radio astronomy. The SETI@home project has spun off the Center for Astronomy Signal Processing and Electronics Research (CASPER) and the Berkeley Open Infrastructure for Networked Computing (BOINC), both of which are responsible for catalyzing a smorgasbord of new research in scientific disciplines in countries around the world. Futhermore, the data collected and archived for the SETI@home project is proving valuable in data-mining experiments for mapping neutral galatic hydrogen and for detecting black-hole evaporation.

  3. Optimizing Excited-State Electronic-Structure Codes for Intel Knights Landing: A Case Study on the BerkeleyGW Software

    Energy Technology Data Exchange (ETDEWEB)

    Deslippe, Jack; da Jornada, Felipe H.; Vigil-Fowler, Derek; Barnes, Taylor; Wichmann, Nathan; Raman, Karthik; Sasanka, Ruchira; Louie, Steven G.

    2016-10-06

    We profile and optimize calculations performed with the BerkeleyGW code on the Xeon-Phi architecture. BerkeleyGW depends both on hand-tuned critical kernels as well as on BLAS and FFT libraries. We describe the optimization process and performance improvements achieved. We discuss a layered parallelization strategy to take advantage of vector, thread and node-level parallelism. We discuss locality changes (including the consequence of the lack of L3 cache) and effective use of the on-package high-bandwidth memory. We show preliminary results on Knights-Landing including a roofline study of code performance before and after a number of optimizations. We find that the GW method is particularly well-suited for many-core architectures due to the ability to exploit a large amount of parallelism over plane-wave components, band-pairs, and frequencies.

  4. BErkeley Atmospheric CO2 Network (BEACON) - Bringing Measurements of CO2 Emissions to a School Near You

    Science.gov (United States)

    Teige, V. E.; Havel, E.; Patt, C.; Heber, E.; Cohen, R. C.

    2011-12-01

    The University of California at Berkeley in collaboration with the Chabot Space and Science Center describe a set of educational programs, workshops, and exhibits based on a multi-node greenhouse gas and air quality monitoring network being deployed over Oakland, California. Examining raw numerical data using highly engaging and effective geo-data visualization tools like Google Earth can make the science come alive for students, and provide a hook for drawing them into deeper investigations. The Climate Science Investigations teacher workshop at the Chabot Space and Science Center will make use of Google Earth, Excel, and other geo-data visualization tools to step students through the process from data acquisition to discovery. Using multiple data sources, including output from the BErkeley Atmospheric CO2 Network (BEACON) project, participants will be encouraged to explore a variety of different modes of data display toward producing a unique, and ideally insightful, illumination of the data.

  5. Laboratory studies on the excitation and collisional deactivation of metastable atoms and molecules in the aurora and airglow

    International Nuclear Information System (INIS)

    Zipf, E.C.

    1974-02-01

    The aeronomy group at the University of Pittsburgh is actively engaged in a series of coordinated satellite, sounding rocket, and laboratory studies designed to expand and clarify knowledge of the physics and chemistry of planetary atmospheres. Three major discoveries have been made that will lead ultimately to a complete and dramatic revision of our ideas on the ionospheres of Mars, Venus, and the Earth and on the origin of their vacuum ultraviolet airglows. The results have already suggested a new generation of ionosphere studies which probably can be carried out best by laser heterodyning techniques. Laboratory studies have also identified, for the first time, the physical mechanism responsible for the remarkable nitric oxide buildup observed in some auroral arcs. This development is an important break-through in auroral physics, and has military ramifications of considerable interest to the Department of Defense. This work may also shed some light on related NO and atomic nitrogen problems in the mesosphere. (U.S.)

  6. Summary of daily observational results of solar phenomena, cosmic ray, geomagnetic variation, ionosphere, radio wave propagation and airglow

    International Nuclear Information System (INIS)

    Anon.

    1976-01-01

    The diagrams in this section of the publication illustrate the summary of daily observational results of solar phenomena, cosmic ray, geomagnetic variation, ionosphere, radio wave propagation and airglow observed in Japan. For convenience, the observational results are arranged by the solar rotation number. The aim of this illustration is to disseminate an outline of daily events observed in Japan for the benefit of active research workers who plan to make detailed study of the specific solar and terrestrial events. Therefore, the illustrations do not show all observational results in Japan but only representative ones at some key stations in Japan. They will suffice for the present purpose. The method of illustration shown in the instruction on the next page is still a preliminary one, and it is subject to change resulting from the kind advice of the users of this part of the publication. We welcome any advice for making the data arrangement and expression better and more convenient. (auth.)

  7. Creation and investigation of powerful EUV sources (λ ∼ 13.5 nm)

    International Nuclear Information System (INIS)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-01-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ∼4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  8. Creation and investigation of powerful EUV sources (λ ≈ 13.5 nm)

    Science.gov (United States)

    Borisov, V. M.; Borisova, G. N.; Vinokhodov, A. Yu.; Ivanov, A. S.; Kiryukhin, Yu. B.; Mishchenko, V. A.; Prokofiev, A. V.; Khristoforov, O. B.

    2010-03-01

    Results are presented from experimental studies of repetitively pulsed EUV (λ = 13.5 ± 0.135 nm) sources based on a laser-initiated discharge in tin vapor between rotating disk electrodes. Radiative characteristics of two sources with different systems of tin supply onto the electrode surface and different types of power supply have been compared. A number of new effects have been revealed at pulse repetition rates as high as ˜4000 Hz. A mean radiation power of 520 W into the 2π solid angle has been achieved in the spectral band 13.5 ± 0.135 nm at a deposited electrical power of 24 kW.

  9. Radioactive and mixed waste management plan for the Lawrence Berkeley Laboratory Hazardous Waste Handling Facility

    International Nuclear Information System (INIS)

    1995-01-01

    This Radioactive and Mixed Waste Management Plan for the Hazardous Waste Handling Facility at Lawrence Berkeley Laboratory is written to meet the requirements for an annual report of radioactive and mixed waste management activities outlined in DOE Order 5820.2A. Radioactive and mixed waste management activities during FY 1994 listed here include principal regulatory and environmental issues and the degree to which planned activities were accomplished

  10. Life sciences: Lawrence Berkeley Laboratory, 1988

    Energy Technology Data Exchange (ETDEWEB)

    1989-07-01

    Life Sciences Research at LBL has both a long history and a new visibility. The physics technologies pioneered in the days of Ernest O. Lawrence found almost immediate application in the medical research conducted by Ernest's brother, John Lawrence. And the tradition of nuclear medicine continues today, largely uninterrupted for more than 50 years. Until recently, though, life sciences research has been a secondary force at the Lawrence Berkeley Laboratory (LBL). Today, a true multi-program laboratory has emerged, in which the life sciences participate as a full partner. The LBL Human Genome Center is a contribution to the growing international effort to map the human genome. Its achievements represent LBL divisions, including Engineering, Materials and Chemical Sciences, and Information and Computing Sciences, along with Cell and Molecular Biology and Chemical Biodynamics. The Advanced Light Source Life Sciences Center will comprise not only beamlines and experimental end stations, but also supporting laboratories and office space for scientists from across the US. This effort reflects a confluence of scientific disciplines --- this time represented by individuals from the life sciences divisions and by engineers and physicists associated with the Advanced Light Source project. And finally, this report itself, the first summarizing the efforts of all four life sciences divisions, suggests a new spirit of cooperation. 30 figs.

  11. Exploratory Research and Development Fund, FY 1990. Report on Lawrence Berkeley Laboratory

    Energy Technology Data Exchange (ETDEWEB)

    1992-05-01

    The Lawrence Berkeley Laboratory Exploratory R&D Fund FY 1990 report is compiled from annual reports submitted by principal investigators following the close of the fiscal year. This report describes the projects supported and summarizes their accomplishments. It constitutes a part of an Exploratory R&D Fund (ERF) planning and documentation process that includes an annual planning cycle, projection selection, implementation, and review. The research areas covered in this report are: Accelerator and fusion research; applied science; cell and molecular biology; chemical biodynamics; chemical sciences; earth sciences; engineering; information and computing sciences; materials sciences; nuclear science; physics and research medicine and radiation biophysics.

  12. Grazing incidence EUV study of the Alcator tokamaks

    International Nuclear Information System (INIS)

    Castracane, J.

    1982-01-01

    The use of impurity radiation to examine plasma conditions is a well known technique. To gain access, however, to the hot, central portion of the plasma created in the present confinement machines it is necessary to be able to observe radiation from medium and heavy elements such as molybdenum and iron. These impurities radiate primarily in the extreme ultra violet region of the spectrum and can play a role in the power balance of the tokamak. Radiation from highly ionized molybdenum was examined on the Alcator A and C tokamaks using a photometrically calibrated one meter grazing incidence monochromator. On Alcator A, a pseudo-continuum of Mo emissions in the 60 to 100 A ranges were seen to comprise 17% of the radiative losses from the plasma. This value closely matched measurements by a broad band bolometer array. Following these preliminary measurements, the monochromator was transferred to Alcator C for a more thorough examination of EUV emissions. Deviations from predicted scaling laws for energy confinement time vs density were observed on this machine

  13. RCI Simulation for EUV spectra from Sn ions

    International Nuclear Information System (INIS)

    Kagawa, T; Tanuma, H; Ohashi, H; Nishihara, K

    2007-01-01

    Using the relativistic-configuration-interaction atomic structure code, RCI simulations for EUV spectra from Sn 10+ , Sn 11+ and Sn 12+ ions are carried out, where it is assumed that each ion is embedded in a LTE plasma with the electron temperature of 30 eV. To make clear assignment of the measured spectra, the value of the excitation energy limit, which is introduced to limit the number of excited states in the simulation, is changed to see the excitation-energy-limit dependence of the spectral shape. The simulated spectra are obtained as a superposition of line intensities due to all possible transitions between two states whose excitation energy from the ground state is lower than the excitation energy limit assumed. The RCI simulated spectra are compared to the spectra measured with the chargeexchange- collision experiment in which a rare gas such as Xe or He as a target is bombarded by a charge-selected tin ion. Applicability of the LTE model to a decay model in the charge exchange collision experiment is also discussed

  14. Ultra-high accuracy optical testing: creating diffraction-limitedshort-wavelength optical systems

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Naulleau, Patrick P.; Rekawa, Senajith B.; Denham, Paul E.; Liddle, J. Alexander; Gullikson, Eric M.; Jackson, KeithH.; Anderson, Erik H.; Taylor, John S.; Sommargren, Gary E.; Chapman,Henry N.; Phillion, Donald W.; Johnson, Michael; Barty, Anton; Soufli,Regina; Spiller, Eberhard A.; Walton, Christopher C.; Bajt, Sasa

    2005-08-03

    Since 1993, research in the fabrication of extreme ultraviolet (EUV) optical imaging systems, conducted at Lawrence Berkeley National Laboratory (LBNL) and Lawrence Livermore National Laboratory (LLNL), has produced the highest resolution optical systems ever made. We have pioneered the development of ultra-high-accuracy optical testing and alignment methods, working at extreme ultraviolet wavelengths, and pushing wavefront-measuring interferometry into the 2-20-nm wavelength range (60-600 eV). These coherent measurement techniques, including lateral shearing interferometry and phase-shifting point-diffraction interferometry (PS/PDI) have achieved RMS wavefront measurement accuracies of 0.5-1-{angstrom} and better for primary aberration terms, enabling the creation of diffraction-limited EUV optics. The measurement accuracy is established using careful null-testing procedures, and has been verified repeatedly through high-resolution imaging. We believe these methods are broadly applicable to the advancement of short-wavelength optical systems including space telescopes, microscope objectives, projection lenses, synchrotron beamline optics, diffractive and holographic optics, and more. Measurements have been performed on a tunable undulator beamline at LBNL's Advanced Light Source (ALS), optimized for high coherent flux; although many of these techniques should be adaptable to alternative ultraviolet, EUV, and soft x-ray light sources. To date, we have measured nine prototype all-reflective EUV optical systems with NA values between 0.08 and 0.30 (f/6.25 to f/1.67). These projection-imaging lenses were created for the semiconductor industry's advanced research in EUV photolithography, a technology slated for introduction in 2009-13. This paper reviews the methods used and our program's accomplishments to date.

  15. Radioactive waste management research at CEGB Berkeley nuclear laboratories

    International Nuclear Information System (INIS)

    Bradbury, D.

    1988-01-01

    The CEGB is the major electric utility in the United Kingdom. This paper discusses how, at the research laboratories at Berkeley (BNL), several programs of work are currently taking place in the radioactive waste management area. The theme running through all this work is the safe isolation of radionuclides from the environment. Normally this means disposal of waste in solid form, but it may also be desirable to segregate and release nonradioactive material from the waste to reduce volume or improve the solid waste characteristics (e.g., the release of liquid or gaseous effluents after treatment to convert the radioactivity to solid form). The fuel cycle and radioactive waste section at BNL has a research program into these aspects for wastes arising from the operation or decommissioning of power stations. The work is done both in-house and on contract, with primarily the UKAEA

  16. How to measure a-few-nanometer-small LER occurring in EUV lithography processed feature

    Science.gov (United States)

    Kawada, Hiroki; Kawasaki, Takahiro; Kakuta, Junichi; Ikota, Masami; Kondo, Tsuyoshi

    2018-03-01

    For EUV lithography features we want to decrease the dose and/or energy of CD-SEM's probe beam because LER decreases with severe resist-material's shrink. Under such conditions, however, measured LER increases from true LER, due to LER bias that is fake LER caused by random noise in SEM image. A gap error occurs between the right and the left LERs. In this work we propose new procedures to obtain true LER by excluding the LER bias from the measured LER. To verify it we propose a LER's reference-metrology using TEM.

  17. Impulsive EUV bursts observed in C IV with OSO-8

    International Nuclear Information System (INIS)

    Grant Athay, R.; White, O.R.; Lites, B.W.

    1980-01-01

    Time sequences of profiles of the lambda 1548 line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness. Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2'' x 20''. Mean burst diameters are estimated to be 3'', or smaller. All but three of the bursts show Doppler shift with velocities sometimes exceeding 75 km s -1 ; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. We interpret the bursts as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer. (orig.)

  18. Berkeley lab checkpoint/restart (BLCR) for Linux clusters

    International Nuclear Information System (INIS)

    Hargrove, Paul H; Duell, Jason C

    2006-01-01

    This article describes the motivation, design and implementation of Berkeley Lab Checkpoint/Restart (BLCR), a system-level checkpoint/restart implementation for Linux clusters that targets the space of typical High Performance Computing applications, including MPI. Application-level solutions, including both checkpointing and fault-tolerant algorithms, are recognized as more time and space efficient than system-level checkpoints, which cannot make use of any application-specific knowledge. However, system-level checkpointing allows for preemption, making it suitable for responding to ''fault precursors'' (for instance, elevated error rates from ECC memory or network CRCs, or elevated temperature from sensors). Preemption can also increase the efficiency of batch scheduling; for instance reducing idle cycles (by allowing for shutdown without any queue draining period or reallocation of resources to eliminate idle nodes when better fitting jobs are queued), and reducing the average queued time (by limiting large jobs to running during off-peak hours, without the need to limit the length of such jobs). Each of these potential uses makes BLCR a valuable tool for efficient resource management in Linux clusters

  19. TIMED solar EUV experiment: preflight calibration results for the XUV photometer system

    Science.gov (United States)

    Woods, Thomas N.; Rodgers, Erica M.; Bailey, Scott M.; Eparvier, Francis G.; Ucker, Gregory J.

    1999-10-01

    The Solar EUV Experiment (SEE) on the NASA Thermosphere, Ionosphere, and Mesosphere Energetics and Dynamics (TIMED) mission will measure the solar vacuum ultraviolet (VUV) spectral irradiance from 0.1 to 200 nm. To cover this wide spectral range two different types of instruments are used: a grating spectrograph for spectra between 25 and 200 nm with a spectral resolution of 0.4 nm and a set of silicon soft x-ray (XUV) photodiodes with thin film filters as broadband photometers between 0.1 and 35 nm with individual bandpasses of about 5 nm. The grating spectrograph is called the EUV Grating Spectrograph (EGS), and it consists of a normal- incidence, concave diffraction grating used in a Rowland spectrograph configuration with a 64 X 1024 array CODACON detector. The primary calibrations for the EGS are done using the National Institute for Standards and Technology (NIST) Synchrotron Ultraviolet Radiation Facility (SURF-III) in Gaithersburg, Maryland. In addition, detector sensitivity and image quality, the grating scattered light, the grating higher order contributions, and the sun sensor field of view are characterized in the LASP calibration laboratory. The XUV photodiodes are called the XUV Photometer System (XPS), and the XPS includes 12 photodiodes with thin film filters deposited directly on the silicon photodiodes' top surface. The sensitivities of the XUV photodiodes are calibrated at both the NIST SURF-III and the Physikalisch-Technische Bundesanstalt (PTB) electron storage ring called BESSY. The other XPS calibrations, namely the electronics linearity and field of view maps, are performed in the LASP calibration laboratory. The XPS and solar sensor pre-flight calibration results are primarily discussed as the EGS calibrations at SURF-III have not yet been performed.

  20. Atise: a miniature Fourier-transform spectro-imaging concept for surveying auroras and airglow monitoring from a 6/12u cubesat

    Science.gov (United States)

    Le Courer, E.; Barthelemy, M.; Vialatte, A.; Prugniaux, M.; Bourdarot, G.; Sequies, T.; Monsinjon, P.; Puget, R.; Guerineau, N.

    2017-09-01

    The nanosatellite ATISE is a mission dedicated to the observation of the emission spectra of the upper atmosphere (i.e. Airglow and Auroras) mainly related to both the solar UV flux and the precipitation of suprathermal particles coming from the solar wind through the magnetosphere. ATISE will measure specifically the auroral emissions, and the airglow (day- and night) in the spectral range between 380 and 900 nm at altitudes between 100 and 350 km. The exposure time will be 1 second in auroral region and 20 s at low latitude regions. The 5 year expected lifetime of this mission should cover almost a half of solar cycle (2 years nominal). This instrument concept is based on an innovative miniaturized Fourier-transform spectrometer (FTS) allowing simultaneous 1 Rayleigh sensitivity detection along six 1.5°x1° limb lines of sight. This 1-2kg payload instrument is hosted in a 12U cubeSat where 6U are allocated to the payload and 6U to the plateform subsystems. This represents a miniaturisation by a factor of 500 on weight and volume compared to previous Arizona-GLO instrument for equivalent performances in the visible. The instrument is based on microSPOC concept developed by ONERA and IPAG using one Fizeau interferometer per line of sight directly glued on top of the half of a very sensitive CMOS Pyxalis HDPYX detector. Three detectors are necessary with a total electrical consumption compatible with a 6U nanoSat. Each interferometer occupies a 1.4 M pixel part of detector, each is placed on an image of the entrance pupil corresponding to a unique direction of the six lines of sight, this in order to have a uniform illumination permitting good spectral Fourier reconstruction from fringes created between the Fizeau plate and the detector itself. Despite a limited 8x6 cm telescope, this configuration takes advantage of FTS multiplex effect and permits us to maximize the throughput and to integrate very faint emission lines over a wide field of view even if the 1

  1. Model simulations of line-of-sight effects in airglow imaging of acoustic and fast gravity waves from ground and space

    Science.gov (United States)

    Aguilar Guerrero, J.; Snively, J. B.

    2017-12-01

    Acoustic waves (AWs) have been predicted to be detectable by imaging systems for the OH airglow layer [Snively, GRL, 40, 2013], and have been identified in spectrometer data [Pilger et al., JASP, 104, 2013]. AWs are weak in the mesopause region, but can attain large amplitudes in the F region [Garcia et al., GRL, 40, 2013] and have local impacts on the thermosphere and ionosphere. Similarly, fast GWs, with phase speeds over 100 m/s, may propagate to the thermosphere and impart significant local body forcing [Vadas and Fritts, JASTP, 66, 2004]. Both have been clearly identified in ionospheric total electron content (TEC), such as following the 2013 Moore, OK, EF5 tornado [Nishioka et al., GRL, 40, 2013] and following the 2011 Tohoku-Oki tsunami [e.g., Galvan et al., RS, 47, 2012, and references therein], but AWs have yet to be unambiguously imaged in MLT data and fast GWs have low amplitudes near the threshold of detection; nevertheless, recent imaging systems have sufficient spatial and temporal resolution and sensitivity to detect both AWs and fast GWs with short periods [e.g., Pautet et al., AO, 53, 2014]. The associated detectability challenges are related to the transient nature of their signatures and to systematic challenges due to line-of-sight (LOS) effects such as enhancements and cancelations due to integration along aligned or oblique wavefronts and geometric intensity enhancements. We employ a simulated airglow imager framework that incorporates 2D and 3D emission rate data and performs the necessary LOS integrations for synthetic imaging from ground- and space-based platforms to assess relative intensity and temperature perturbations. We simulate acoustic and fast gravity wave perturbations to the hydroxyl layer from a nonlinear, compressible model [e.g., Snively, 2013] for different idealized and realistic test cases. The results show clear signal enhancements when acoustic waves are imaged off-zenith or off-nadir and the temporal evolution of these

  2. SCR series switch and impulse crowbar at the Lawrence Berkeley Laboratory for CTR neutral beam source development

    International Nuclear Information System (INIS)

    Franck, J.V.; Arthur, A.A.; Brusse, L.A.; Low, W.

    1977-10-01

    The series switch is designed to operate at 120kV and pass 65A for 0.5 sec every 30 sec on the Lawrence Berkeley Laboratory CTR Neutral Beam Source Test Stand IIIB. The series switch consists of 400 individual SCR circuits connected in series and is turned on by a simple system of cascaded pulse transformers with multiple single turn secondaries each driving the individual SCR gates. It is turned off by an SCR impulse crowbar that momentarily shorts the power supply allowing the series switch to recover. The SCR switch has been tested in the impulse crowbar configuration and will reliably commutate up to 90A at 120kV. The series switch and impulse crowbar are now in service in Test Stand IIIB. A series switch and impulse crowbar similar in concept is routinely powering a 10 x 10 cm source at 150kV, 20A, 0.5 sec with a 1% duty cycle on the Lawrence Berkeley Laboratory CTR NSB Test Stand IIIA

  3. Public census data on CD-ROM at Lawrence Berkeley Laboratory. Revision 4

    Energy Technology Data Exchange (ETDEWEB)

    Merrill, D.W.

    1993-03-12

    The Comprehensive Epidemiologic Data Resource (CEDR) and Populations at Risk to Environmental Pollution (PAREP) projects, of the Information and Computing sciences Division (ICSD) at Lawrence Berkeley Laboratory (LBL), are using public socioeconomic and geographic data files which are available to CEDR and PAREP collaborators via LBL`s computing network. At this time 89 CD-ROM diskettes (approximately 45 gigabytes) are on line via the Unix file server cedrcd.lbl.gov. Most of the files are from the US Bureau of the Census, and many of these pertain to the 1990 Census of Population and Housing. All the CD-ROM diskettes contain documentation in the form of ASCII text files. In addition, printed documentation for most files is available for inspection at University of California Data and Technical Assistance (UC DATA), tel. (510) 642-6571, or the UC Documents Library, tel. (510) 642-2569, both located on the UC Berkeley Campus. Many of the CD-ROM diskettes distributed by the Census Bureau contain software for PC compatible computers, for easily accessing the data. Shared access to the data is maintained through a collaboration among the CEDR and PAREP projects at LBL, and UC DATA, and the UC Documents Library. LBL is grateful to UC DATA and the UC Documents Library for the use of their CD-ROM diskettes. Shared access to LBL facilities may be restricted in the future if costs become prohibitive. Via the Sun Network File System (NFS), these data can be exported to Internet computers for direct access by the user`s application program(s). Due to the size of the files, this access method is preferred over File Transfer Protocol (FTP) access.

  4. Public census data on CD-ROM at Lawrence Berkeley Laboratory. Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Merrill, D.W.

    1992-07-02

    In connection with the Comprehensive Epidemiologic Data Resource (CEDR) and Populations at Risk to Environmental Pollution (PAREP) projects, of the Information and Computing Sciences Division (ICSD) at Lawrence Berkeley Laboratory (LBL), are using public socioeconomic and geographic data files which are available to CEDR and PAREP collaborators via LBL`s computing network. At this time 67 CD-ROM diskettes (approximately 35 gigabytes) are on line via the Unix file server cedrcd.lbl.gov. Most of the files are from the US Bureau of the Census, and most pertain to the 1990 Census of Population and Housing. This paper contains a list of the CD-ROMs available.

  5. The LBL [Lawrence Berkeley Laboratory] 1-2 GeV synchrotron radiation source

    International Nuclear Information System (INIS)

    Cornacchia, M.

    1987-03-01

    A description is presented of the conceptual design of the 1 to 2 GeV Synchrotron Radiation Source proposed for construction at Lawrence Berkeley Laboratory. This facility is designed to produce ultraviolet and soft x-ray radiation. The accelerator complex consists of an injection system (linac plus booster synchrotron) and a low-emittance storage ring optimized for insertion devices. Eleven straight sections are available for undulators and wigglers, and up to 48 photon beam lines may ultimately emanate from bending magnets. Design features of the radiation source are the high brightness of the photon beams, the very short pulses (tens of picoseconds), and the tunability of the radiation

  6. Decommissioning of fuel PIE caves at Berkeley Nuclear Laboratories

    International Nuclear Information System (INIS)

    Brant, A.W.

    1990-01-01

    This paper describes the first major contract awarded to private industry to carry out decommissioning of a facility with significant radiation levels. The work required operatives to work in pressurised suits, entry times were significantly affected by sources of radiation in the Caves, being as low as thirty minutes per day initially. The Caves at Berkeley Nuclear Laboratories carry out post irradiation examination of fuel elements support units and reactor core components from CEGB power stations. The decommissioning work is part of an overall refurbishment of the facility to allow the receipt of AGR Fuel Stringer Component direct from power stations. The paper describes the decommissioning and decontamination of the facility from the remote removal and clean up work carried out by the client to the hands-on work. It includes reference to entry times, work patterns, interfaces with the client and the operations of the laboratory. Details of a specially adapted size reduction method are given. (Author)

  7. Development of high power pumping system for capillary discharge EUV laser

    International Nuclear Information System (INIS)

    Sakai, Yusuke; Komatsu, Takanori; Watanabe, Masato; Okino, Akitoshi; Hotta, Eiki

    2008-01-01

    Development of high power pumping system for capillary discharge soft X-ray laser is reported. The pulsed power system consists of a 2.2 μF LC generator, a 2:54 step-up transformer and a 3 nF water capacitor. Taking advantage of high efficiency configuration, step-up ratio of water capacitor voltage to LC generator initial voltage is about 40 times. Consequently, obtained water capacitor voltage reaches about 450 kV when LC generator was charged to 12.5 kV. As a consequent, possibility of charging a water capacitor to 1 MV is demonstrated. With this extremely compact system, discharge current could be increased to nearly 100 kA through moderately long capillary, which leads to generation of high-density and high-temperature plasma column in order to realize EUV laser. (author)

  8. kW-class picosecond thin-disc prepulse laser Perla for efficient EUV generation

    Czech Academy of Sciences Publication Activity Database

    Endo, Akira; Smrž, Martin; Mužík, Jiří; Novák, Ondřej; Chyla, Michal; Mocek, Tomáš

    2017-01-01

    Roč. 16, č. 4 (2017), s. 1-6, č. článku 041011. ISSN 1932-5150 R&D Projects: GA MŠk LO1602; GA ČR GA16-12960S; GA MŠk LM2015086 EU Projects: European Commission(XE) 739573 - HiLASE CoE Grant - others:OP VVV - HiLASE-CoE(XE) CZ.02.1.01/0.0/0.0/15_006/0000674 Institutional support: RVO:68378271 Keywords : EUV source * laser produced plasma * FEL * prepulse * thin-disc laser Subject RIV: BH - Optics, Masers, Laser s OBOR OECD: Optics (including laser optics and quantum optics) Impact factor: 1.350, year: 2016

  9. A compact, quasi-monochromatic laser-plasma EUV source based on a double-stream gas-puff target at 13.8 nm wavelength

    Czech Academy of Sciences Publication Activity Database

    Wachulak, P.W.; Bartnik, A.; Fiedorowicz, H.; Feigl, T.; Jarocki, R.; Kostecki, J.; Rudawski, P.; Sawicka, Magdalena; Szczurek, M.; Szczurek, A.; Zawadzki, Z.

    2010-01-01

    Roč. 100, č. 3 (2010), 461-469 ISSN 0946-2171 Institutional research plan: CEZ:AV0Z10100523 Keywords : laser-plasma * EUV source * gas puff target * elliptical multi- layer * mirror * table-top setup Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.239, year: 2010

  10. Extreme ultraviolet lithography: A few more pieces of the puzzle

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, Christopher N. [Univ. of California, Berkeley, CA (United States)

    2009-05-20

    dissertation describes MOSAIC, a new wavefront metrology that enables complete wavefront recovery from print or aerial image based measurements. This new technique, based on measuring the local focal length of the optic at sampled positions in the pupil, recovers the curvature of the aberration and uses the curvature to recover the aberration itself. In a modeled EUV implementation, MOSAIC is shown to recover the SEMATECH Berkeley MET wavefront with a 4.2% RMS error: a 4% improvement over the reported errors of the original lateral shearing interferometry wavefront measurement.

  11. Edge placement error control and Mask3D effects in High-NA anamorphic EUV lithography

    Science.gov (United States)

    van Setten, Eelco; Bottiglieri, Gerardo; de Winter, Laurens; McNamara, John; Rusu, Paul; Lubkoll, Jan; Rispens, Gijsbert; van Schoot, Jan; Neumann, Jens Timo; Roesch, Matthias; Kneer, Bernhard

    2017-10-01

    To enable cost-effective shrink at the 3nm node and beyond, and to extend Moore's law into the next decade, ASML is developing a new high-NA EUV platform. The high-NA system is targeted to feature a numerical aperture (NA) of 0.55 to extend the single exposure resolution limit to 8nm half pitch. The system is being designed to achieve an on-product-overlay (OPO) performance well below 2nm, a high image contrast to drive down local CD errors and to obtain global CDU at sub-1nm level to be able to meet customer edge placement error (EPE) requirements for the devices of the future. EUV scanners employ reflective Bragg multi-layer mirrors in the mask and in the Projection Optics Box (POB) that is used to project the mask pattern into the photoresist on the silicon wafer. These MoSi multi-layer mirrors are tuned for maximum reflectivity, and thus productivity, at 13.5nm wavelength. The angular range of incident light for which a high reflectivity at the reticle can be obtained is limited to +/- 11o, exceeding the maximum angle occurring in current 0.33NA scanners at 4x demagnification. At 0.55NA the maximum angle at reticle level would extend up to 17o in the critical (scanning) direction and compromise the imaging performance of horizontal features severely. To circumvent this issue a novel anamorphic optics design has been introduced, which has a 4x demagnification in the X- (slit) direction and 8x demagnification in the Y- (scanning) direction as well as a central obscuration in the exit pupil. In this work we will show that the EUV high-NA anamorphic concept can successfully solve the angular reflectivity issues and provide good imaging performance in both directions. Several unique imaging challenges in comparison to the 0.33NA isomorphic baseline are being studied, such as the impact of the central obscuration in the POB and Mask-3D effects at increased NA that seem most pronounced for vertical features. These include M3D induced contrast loss and non

  12. Analysis of euv limb-brightening observations from ATM. I. Model for the transition layer and the corona

    Energy Technology Data Exchange (ETDEWEB)

    Mariska, J T; Withbroe, G L [Harvard Coll. Observatory, Cambridge, Mass. (USA)

    1975-09-01

    Limb-brightening curves for euv resonance lines of O VI and Mg X have been constructed from spectroheliograms (5 sec resolution) of quiet limb regions observed with the Harvard experiment on Skylab. The observations are interpreted with a simple model for the transition layer and the corona. A comparison of theoretical and observed limb-brightening curves indicates that the lower boundary of the corona, where T/sub e/ = 10/sup 6/K, is at a height of about 8000 km in typical quiet areas. For 1.01 R(sun) approximately = to or < r < 1.25(sun), the corona can be represented by a homogeneous model in hydrostatic equilibrium with a temperature of 10/sup 6/K for 1.01 R(sun) approximately = to or < r < 1.1 R(sun) and 1.1x10/sup 6/K for r > approximately = to 1.1 R(sun). The model for the transition layer is inhomogeneous, with the temperature gradient a factor of 3 shallower in the network than in the intranetwork regions. It appears that spicules should be included in the model in order to account for the penetration into the corona of cool (T/sub e/ < 10/sup 6/K) euv-emitting material to heights up to 20000 km above the limb.

  13. The EUV chromospheric network in the quiet Sun

    International Nuclear Information System (INIS)

    Reeves, E.M.

    1976-01-01

    Investigations on the structure and intensity of the chromospheric network from quiet solar regions have been carried out with EUV data obtained from the Harvard spectroheliometer on the Apollo Telescope Mount of Skylab. The distribution of intensities within supergranulation cell interiors follows a near normal function, where the standard deviation exceeds the value expected from the counting rate, which indicates fine-scale structure below the 5 arc sec resolution of the data. The intensities from the centers of supergranulation cells appear to be the same in both quiet regions and coronal holes, although the network is significantly different in the two types of regions. The average halfwidth of the network elements was measured as 10 arc sec, and was independent of the temperature of formation of the observing line for 3.8< logTsub(e)<5.8. The contrast between the network and the centers of cells is greatest for lines with logTsub(e)approximately5.2, where the network contributes approximately 75% of the intensity of quiet solar regions. The contrast and fractional intensity contributions decrease to higher and lower temperatures characteristic of the corona and chromosphere. (Auth.)

  14. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    Science.gov (United States)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  15. Public census data on CD-ROM at Lawrence Berkeley Laboratory. Revision 3

    Energy Technology Data Exchange (ETDEWEB)

    Merrill, D.W.

    1993-01-16

    The Comprehensive Epidemiologic Data Resource (CEDR) and Populations at Risk to Environmental Pollution (PAREP) projects, of the Information and Computing Sciences Division (ICSD) at Lawrence Berkeley Laboratory (LBL), are using public socioeconomic and geographic data files which are available to CEDR and PAREP collaborators via LBL`s computing network. At this time 72 CD-ROM diskettes (approximately 37 gigabytes) are on line via the Unix file server ``cedrcd.lbl.gov``. Most of the files are from the US Bureau of the Census, and many of these pertain to the 1990 Census of Population and Housing. All the CD-ROM diskettes contain documentation in the form of ASCII text files. In addition, printed documentation for most files is available for inspection at University of California Data and Technical Assistance (UC DATA), tel. (510) 642-6571, or the UC Documents Library, tel. (510) 642-2569, both located on the UC Berkeley Campus. Many of the CD-ROM diskettes distributed by the Census Bureau contain software for PC compatible computers, for easily accessing the data. Shared access to the data is maintained through a collaboration among the CEDR and PAREP projects at LBL, and UC DATA, and the UC Documents Library. LBL is grateful to UC DATA and the UC Documents Library for the use of their CD-ROM diskettes. Shared access to LBL facilities may be restricted in the future if costs become prohibitive. Via the Sun Network File System (NFS), these data can be exported to Internet computers for direct access by the user`s application program(s). Due to the size of the files, this access method is preferred over File Transfer Protocol (FTP) access. Please contact Deane Merrill (dwmerrill@lbl.gov) if you wish to make use of the data.

  16. Lawrence Berkeley National Laboratory 1995 site environmental report: Volume 2, Data appendix

    International Nuclear Information System (INIS)

    1996-07-01

    Ernest Orlando Lawrence Berkeley National Laboratory presents Volume II, Data Appendix as a reference document to supplement the 1995 Site Environmental Report. Volume II contains the raw environmental monitoring and sampling data used to generate many of the summary results included in the main report. Supplemental data is provided for sitewide activities involving the media of stack and ambient air quality, rainwater, surface water, stormwater, wastewater, and soil and sediment. Volume II also contains supplemental data on the special preoperational monitoring study for the new Hazardous Waste Handling Facility. The Table of Contents provides a cross-reference to the data tables of the main report and this appendix. Data are given in System International (SI) units

  17. AN INTRODUCTION TO EXPLORING LAW, DISABILITY, AND THE CHALLENGE OF EQUALITY IN CANADA AND THE UNITED STATES: PAPERS FROM THE BERKELEY SYMPOSIUM

    Directory of Open Access Journals (Sweden)

    Laverne Jacobs

    2015-10-01

    Full Text Available It brings me great pleasure to write this Introduction to Exploring Law, Disability, and the Challenge of Equality in Canada and the United States. This special collection of articles in the Windsor Yearbook of Access to Justice [WYAJ] stems from a symposium of the same name held at the Berkeley Law School at the University of California on 5 December 2014. Writing this introduction allows me to bring together my identities as a law and disability scholar, the principal organizer and convener of the Berkeley Symposium, and editor-in-chief of the WYAJ. In these roles, I have had the opportunity to engage with this set of articles and their authors in a distinct way – from the early versions of these articles through to the final peer-reviewed publications. The Berkeley Symposium is the first conference, of which we are aware, to bring together scholars and experts from both Canada and the United States to present research and exchange ideas on equality issues affecting persons with disabilities in both countries.1 Each academic was invited to write about an equality issue of their choice that is of contemporary concern to persons with disabilities, and to focus on Canada, the United States,or both, at their  option. The result is a set of articles that is simultaneously introspective and comparative.

  18. The High-Resolution Lightweight Telescope for the EUV (HiLiTE)

    Energy Technology Data Exchange (ETDEWEB)

    Martinez-Galarce, D S; Boerner, P; Soufli, R; De Pontieu, B; Katz, N; Title, A; Gullikson, E M; Robinson, J C; Baker, S L

    2008-06-02

    The High-resolution Lightweight Telescope for the EUV (HiLiTE) is a Cassegrain telescope that will be made entirely of Silicon Carbide (SiC), optical substrates and metering structure alike. Using multilayer coatings, this instrument will be tuned to operate at the 465 {angstrom} Ne VII emission line, formed in solar transition region plasma at {approx}500,000 K. HiLiTE will have an aperture of 30 cm, angular resolution of {approx}0.2 arc seconds and operate at a cadence of {approx}5 seconds or less, having a mass that is about 1/4 that of one of the 20 cm aperture telescopes on the Atmospheric Imaging Assembly (AIA) instrument aboard NASA's Solar Dynamics Observatory (SDO). This new instrument technology thus serves as a path finder to a post-AIA, Explorer-class missions.

  19. Simultaneous EUV and radio observations of bidirectional plasmoids ejection during magnetic reconnection

    Science.gov (United States)

    Kumar, Pankaj; Cho, Kyung-Suk

    2013-09-01

    We present a multiwavelength study of the X-class flare, which occurred in active region (AR) NOAA 11339 on 3 November 2011. The extreme ultraviolet (EUV) images recorded by SDO/AIA show the activation of a remote filament (located north of the AR) with footpoint brightenings about 50 min prior to the flare's occurrence. The kinked filament rises up slowly, and after reaching a projected height of ~49 Mm, it bends and falls freely near the AR, where the X-class flare was triggered. Dynamic radio spectrum from the Green Bank Solar Radio Burst Spectrometer (GBSRBS) shows simultaneous detection of both positive and negative drifting pulsating structures (DPSs) in the decimetric radio frequencies (500-1200 MHz) during the impulsive phase of the flare. The global negative DPSs in solar flares are generally interpreted as a signature of electron acceleration related to the upward-moving plasmoids in the solar corona. The EUV images from AIA 94 Å reveal the ejection of multiple plasmoids, which move simultaneously upward and downward in the corona during the magnetic reconnection. The estimated speeds of the upward- and downward-moving plasmoids are ~152-362 and ~83-254 km s-1, respectively. These observations strongly support the recent numerical simulations of the formation and interaction of multiple plasmoids due to tearing of the current-sheet structure. On the basis of our analysis, we suggest that the simultaneous detection of both the negative and positive DPSs is most likely generated by the interaction or coalescence of the multiple plasmoids moving upward and downward along the current-sheet structure during the magnetic reconnection process. Moreover, the differential emission measure (DEM) analysis of the active region reveals a hot flux-rope structure (visible in AIA 131 and 94 Å) prior to the flare initiation and ejection of the multitemperature plasmoids during the flare impulsive phase. Movie is available in electronic form at http://www.aanda.org

  20. EUV patterning using CAR or MOX photoresist at low dose exposure for sub 36nm pitch

    Science.gov (United States)

    Thibaut, Sophie; Raley, Angélique; Lazarrino, Frederic; Mao, Ming; De Simone, Danilo; Piumi, Daniele; Barla, Kathy; Ko, Akiteru; Metz, Andrew; Kumar, Kaushik; Biolsi, Peter

    2018-04-01

    The semiconductor industry has been pushing the limits of scalability by combining 193nm immersion lithography with multi-patterning techniques for several years. Those integrations have been declined in a wide variety of options to lower their cost but retain their inherent variability and process complexity. EUV lithography offers a much desired path that allows for direct print of line and space at 36nm pitch and below and effectively addresses issues like cycle time, intra-level overlay and mask count costs associated with multi-patterning. However it also brings its own sets of challenges. One of the major barrier to high volume manufacturing implementation has been hitting the 250W power exposure required for adequate throughput [1]. Enabling patterning using a lower dose resist could help move us closer to the HVM throughput targets assuming required performance for roughness and pattern transfer can be met. As plasma etching is known to reduce line edge roughness on 193nm lithography printed features [2], we investigate in this paper the level of roughness that can be achieved on EUV photoresist exposed at a lower dose through etch process optimization into a typical back end of line film stack. We will study 16nm lines printed at 32 and 34nm pitch. MOX and CAR photoresist performance will be compared. We will review step by step etch chemistry development to reach adequate selectivity and roughness reduction to successfully pattern the target layer.

  1. Breakout Reconnection Observed by the TESIS EUV Telescope

    Science.gov (United States)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s-1. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5-4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  2. An injector for the proposed Berkeley Ultrafast X-Ray Light Source

    International Nuclear Information System (INIS)

    Lidia, Steven; Corlett, John; Pusina, Jan; Staples, John; Zholents, Alexander

    2003-01-01

    Berkeley Lab has proposed to build a recirculating linac based X-ray source for ultra-fast dynamic studies [1]. This machine requires a flat electron beam with a small vertical emittance and large x/y emittance ratio to allow for compression of spontaneous undulator emission of soft and hard x-ray pulses, and a low-emittance, round electron beam for coherent emission of soft x-rays via the FEL process based on cascaded harmonic generation [2]. We propose an injector system consisting of two high gradient high repetition rate photo cathode guns [3] (one for each application), an ∼120 MeV super conducting linear accelerator, a 3rd harmonic cavity for linearization of the longitudinal phase space, and a bunch compressor. We present details of the design and the results of particle tracking studies using several computer codes

  3. Determination of gravity wave parameters in the airglow combining photometer and imager data

    Science.gov (United States)

    Nyassor, Prosper K.; Arlen Buriti, Ricardo; Paulino, Igo; Medeiros, Amauri F.; Takahashi, Hisao; Wrasse, Cristiano M.; Gobbi, Delano

    2018-05-01

    Mesospheric airglow measurements of two or three layers were used to characterize both vertical and horizontal parameters of gravity waves. The data set was acquired coincidentally from a multi-channel filter (Multi-3) photometer and an all-sky imager located at São João do Cariri (7.4° S, 36.5° W) in the equatorial region from 2001 to 2007. Using a least-square fitting and wavelet analysis technique, the phase and amplitude of each observed wave were determined, as well as the amplitude growth. Using the dispersion relation of gravity waves, the vertical and horizontal wavelengths were estimated and compared to the horizontal wavelength obtained from the keogram analysis of the images observed by an all-sky imager. The results show that both horizontal and vertical wavelengths, obtained from the dispersion relation and keogram analysis, agree very well for the waves observed on the nights of 14 October and 18 December 2006. The determined parameters showed that the observed wave on the night of 18 December 2006 had a period of ˜ 43.8 ± 2.19 min, with the horizontal wavelength of 235.66 ± 11.78 km having a downward phase propagation, whereas that of 14 October 2006 propagated with a period of ˜ 36.00 ± 1.80 min with a horizontal wavelength of ˜ 195 ± 9.80 km, and with an upward phase propagation. The observation of a wave taken by a photometer and an all-sky imager allowed us to conclude that the same wave could be observed by both instruments, permitting the investigation of the two-dimensional wave parameter.

  4. Resist-based measurement of contrast transfer function in a 0.3-NA microfield optic

    International Nuclear Information System (INIS)

    Cain, Jason P.; Naulleau, Patrick; Spanos, Costas J.

    2005-01-01

    Although extreme ultraviolet (EUV) lithography offers the possibility of very high-resolution patterning, the projection optics must be of extremely high quality in order to meet this potential. One key metric of the projection optic quality is the contrast transfer function (CTF), which is a measure of the aerial image contrast as a function of pitch. A static microfield exposure tool based on the 0.3-NA MET optic and operating at a wavelength of 13.5 nm has been installed at the Advanced Light Source, a synchrotron facility at the Lawrence Berkeley National Laboratory. This tool provides a platform for a wide variety of research into EUV lithography. In this work we present resist-based measurements of the contrast transfer function for the MET optic. These measurements are based upon line/space patterns printed in several different EUV photoresists. The experimental results are compared with the CTF in aerial-image simulations using the aberrations measured in the projection optic using interferometry. In addition, the CTF measurements are conducted for both bright-field and dark-field mask patterns. Finally, the orientation dependence of the CTF is measured in order to evaluate the effect of non-rotationally symmetric lens aberrations. These measurements provide valuable information in interpreting the results of other experiments performed using the MET and similar systems

  5. Mixed waste certification plan for the Lawrence Berkeley Laboratory Hazardous Waste Handling Facility. Revision 1

    International Nuclear Information System (INIS)

    1995-01-01

    The purpose of this plan is to describe the organization and methodology for the certification of mixed waste handled in the Hazardous Waste Handling Facility (HWHF) at Lawrence Berkeley Laboratory (LBL). This plan is composed to meet the requirements found in the Westinghouse Hanford Company (WHC) Solid Waste Acceptance Criteria (WAC) and follows the suggested outline provided by WHC in the letter of April 26, 1990, to Dr. R.H. Thomas, Occupational Health Division, LBL. Mixed waste is to be transferred to the WHC Hanford Site Central Waste Complex and Burial Grounds in Hanford, Washington

  6. BERKELEY: Collaboration on PEP-II

    International Nuclear Information System (INIS)

    Anon.

    1995-01-01

    Since the announcement by President Clinton in October 1993 that the US Department of Energy would going ahead the PEPII Asymmetric B Factory project (a joint proposal of the Stanford Linear Accelerator Center - SLAC, the Lawrence Berkeley National Laboratory - LBNL, and the Lawrence Livermore National Laboratory - LLNL), LBNL has continued its strong support of the project (for a review, see October, page 9). LBNL accelerator physicists have been active in the design of PEP-II since 1988 - shortly after the original concept was suggested by LBNL Deputy Director Pier Oddone. Indeed, the original feasibility study for such a machine was a joint LBNLSLAC- Caltech effort led by Swapan Chattopadhyay, now head of LBNL's Center for Beam Physics (CBP) in the Accelerator & Fusion Research Division (AFRD). The effort grew to include about seven full-time LBNL accelerator physicists (along with about 15 SLAC and LLNL physicists) during the formal design phase, which began in late 1989. This effort encompassed three editions of the Conceptual Design Report, along with innumerable reviews, as is typical of today's accelerator projects. Taking advantage of an experienced engineering staff, fresh from the successful completion of the Advanced Light Source (ALS), LBNL has been assigned lead responsibility for the challenging Low Energy Ring (LER) of the PEP-II project, an entirely new storage ring to be added to the PEP tunnel. The LBNL design team is headed by CBP accelerator physicist Michael Zisman and senior engineers Ron Yourd (who served as the Project Manager for the ALS) and Hank Hsieh (a recent addition to the LBNL staff who was Project Engineer for the NSLS storage rings at BNL and most recently served as Project Engineer for the DAFNE project at Frascati). LBNL is also represented in the overall management of the PEP-II project by Tom Elioff, who serves as Deputy to the Project Director Jonathan Dorfan at SLAC. (Elioff served in the same role for the original

  7. EUV emission from Kr and Xe capillary discharge plasmas

    International Nuclear Information System (INIS)

    Juschkin, L.; Ellwi, S.; Kunze, H-J.; Chuvatin, A.; Zakharov, S.V.

    2002-01-01

    Kr and Xe plasmas are very intensive emitters in the spectral range of 100-150 A, which is relevant for a number of applications (for example microlithography). We present investigations of the extreme utraviolet (EUV) emission from a slow capillary discharge with Kr and Xe fillings. The emission of Kr ions (Kr VIII to Kr XI) within the range of 70-150 A consists of three bands of lines of about 10 A width with maxima at 116, 103 and 86 A. Xe emission bands of about 15 A width have their maxima at 136 and 115 A (Xe IX to Xe XII). The radiation duration in this spectral range is ∼150 ns for both elements. At the optimum conditions, the Kr emission at 103 A is 2-3 times more intense than the Xe emission at 136 A. The measured spectral energy of Kr radiation is about 0.1 J sr -1 A -1 . Experimental results are compared with numerical modellings of the dynamics and emission of the capillary discharge plasma, which enables the determination of plasma parameters and the future use of the codes as additional instruments for plasma diagnostics. (author)

  8. Berkeley Nuclear Laboratories Reactor Physics Mk. III Experimental Programme. Description of facility and programme for 1971

    Energy Technology Data Exchange (ETDEWEB)

    Nunn, R M; Waterson, R H; Young, J D

    1971-01-15

    Reactor physics experiments have been carried out at Berkeley Nuclear Laboratories during the past few years in support of the Civil Advanced Gas-Cooled Reactors (Mk. II) the Generating Board is building. These experiments are part of an overall programme whose objective is to assess the accuracy of the calculational methods used in the design and operation of these reactors. This report provides a description of the facility for the Mk. III experimental programme and the planned programme for 1971.

  9. Use of a krypton isotope for rapid ion changeover at the Lawrence Berkeley Laboratory 88-inch cyclotron

    Science.gov (United States)

    Soli, George A.; Nichols, Donald K.

    1989-01-01

    An isotope of krypton, Kr86, has been combined with a mix of Ar, Ne, and N ions at the electron cyclotron resonance (ECR) source, at the Lawrence Berkeley Laboratory cyclotron, to provide rapid ion changeover in Single Event Phenomena (SEP) testing. The new technique has been proved out successfully by a recent Jet Propulsion Laboratory (JPL) test in which it was found that there was no measurable contamination from other isotopes.

  10. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H2S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    International Nuclear Information System (INIS)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S.; Nuevo, M.; Jiménez-Escobar, A.; Muñoz Caro, G. M.; Wu, C.-Y. R.; Fung, H.-S.; Ip, W.-H.

    2015-01-01

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H 2 S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H 2 S and CO 2 :H 2 S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS 2 , OCS, SO 2 , etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H 2 S ice mixtures is higher than that of CO 2 :H 2 S ice mixtures; (2) a lower concentration of H 2 S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS 2 differ significantly upon VUV and EUV irradiations. Furthermore, CS 2 was produced only after VUV photoprocessing of CO:H 2 S ices, while the VUV-induced production of SO 2 occurred only in CO 2 :H 2 S ice mixtures. More generally, the production yields of OCS, H 2 S 2 , and CS 2 were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H 2 S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments

  11. Preliminary result on quantitative analysis using Zn-like tungsten EUV spectrum in Large Helical Device

    International Nuclear Information System (INIS)

    Morita, Shigeru; Dong, Chunfeng; Wang, Erhui

    2013-01-01

    Tungsten study through visible, vacuum ultraviolet (VUV) and extreme ultraviolet (EUV) spectroscopy has been recently started in Large Helical Device (LHD) for developing the diagnostic method in International Thermonuclear Experimental Reactor (ITER) and understanding the tungsten transport in helical system. In order to study the tungsten spectra from core plasmas of LHD, several tungsten spectra are observed in EUV range by injecting a carbon pellet with tungsten. Zn-like tungsten spectrum with 4p-4s transition is clearly identified at 60.9Å in high-temperature phase (T_e ≥ 2.3 keV) of NBI discharges in addition to several unresolved transition arrays with 6g-4f, 5g-4f, 5f-4d, 5g-4f, 4f-4d and 4d-4p transitions in range of 10-70Å. Radial profile of the Zn-like tungsten is also successfully observed with enough intensity in order of 10"1"6 photons.cm"-"2.s"-"1. The radial emissivity profile reconstructed from the chord-integrated intensity profile is analyzed with combination of HULLAC code for emission coefficient calculation of the Zn-like transition and impurity transport code included ADPAK code for calculation of ionization and recombination rate coefficients. Thus, a total tungsten ion density of 3.5x10"1"0 cm"-"3 at the plasma center is reasonably obtained in discharge with central electron density of 4x10"1"3 cm"-"3 as the first experimental trial. The present result demonstrates that the Zn-like 4p-4s transition is applicable to the tungsten diagnostics in high-temperature plasmas. (author)

  12. System integration and performance of the EUV engineering test stand

    International Nuclear Information System (INIS)

    Tichenor, Daniel A.; Ray-Chaudhuri, Avijit K.; Replogle, William C.; Stulen, Richard H.; Kubiak, Glenn D.; Rockett, Paul D.; Klebanoff, Leonard E.; Jefferson, Karen L.; Leung, Alvin H.; Wronosky, John B.; Hale, Layton C.; Chapman, Henry N.; Taylor, John S.; Folta, James A.; Montcalm, Claude; Soufli, Regina; Spiller, Eberhard; Blaedel, Kenneth; Sommargren, Gary E.; Sweeney, Donald W.; Naulleau, Patrick; Goldberg, Kenneth A.; Gullikson, Eric M.; Bokor, Jeffrey; Batson, Phillip J.; Attwood, David T.; Jackson, Keith H.; Hector, Scott D.; Gwyn, Charles W.; Yan, Pei-Yang; Yan, P.

    2001-01-01

    The Engineering Test Stand (ETS) is a developmental lithography tool designed to demonstrate full-field EUV imaging and provide data for commercial-tool development. In the first phase of integration, currently in progress, the ETS is configured using a developmental projection system, while fabrication of an improved projection system proceeds in parallel. The optics in the second projection system have been fabricated to tighter specifications for improved resolution and reduced flare. The projection system is a 4-mirror, 4x-reduction, ring-field design having a numeral aperture of 0.1, which supports 70 nm resolution at a k 1 of 0.52. The illuminator produces 13.4 nm radiation from a laser-produced plasma, directs the radiation onto an arc-shaped field of view, and provides an effective fill factor at the pupil plane of 0.7. The ETS is designed for full-field images in step-and-scan mode using vacuum-compatible, magnetically levitated, scanning stages. This paper describes system performance observed during the first phase of integration, including static resist images of 100 nm isolated and dense features

  13. Stability of the Zagreb realization of the Carnegie-Mellon-Berkeley coupled-channels unitary model

    International Nuclear Information System (INIS)

    Osmanovic, H.; Hadzimehmedovic, M.; Stahov, J.; Ceci, S.; Svarc, A.

    2011-01-01

    In Hadzimehmedovicet al.[Phys. Rev. C 84, 035204 (2011)] we have used the Zagreb realization of Carnegie-Melon-Berkeley coupled-channel, unitary model as a tool for extracting pole positions from the world collection of partial-wave data, with the aim of eliminating model dependence in pole-search procedures. In order that the method is sensible, we in this paper discuss the stability of the method with respect to the strong variation of different model ingredients. We show that the Zagreb CMB procedure is very stable with strong variation of the model assumptions and that it can reliably predict the pole positions of the fitted partial-wave amplitudes.

  14. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    Energy Technology Data Exchange (ETDEWEB)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V., E-mail: reva.antoine@gmail.com [Lebedev Physical Institute, Russian Academy of Sciences (Russian Federation)

    2016-01-10

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R{sub ⊙} from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R{sub ⊙} above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s{sup −1}. At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario.

  15. BREAKOUT RECONNECTION OBSERVED BY THE TESIS EUV TELESCOPE

    International Nuclear Information System (INIS)

    Reva, A. A.; Ulyanov, A. S.; Shestov, S. V.; Kuzin, S. V.

    2016-01-01

    We present experimental evidence of the coronal mass ejection (CME) breakout reconnection, observed by the TESIS EUV telescope. The telescope could observe solar corona up to 2 R ⊙ from the Sun center in the Fe 171 Å line. Starting from 2009 April 8, TESIS observed an active region (AR) that had a quadrupolar structure with an X-point 0.5 R ⊙ above photosphere. A magnetic field reconstructed from the Michelson Doppler Imager data also has a multipolar structure with an X-point above the AR. At 21:45 UT on April 9, the loops near the X-point started to move away from each other with a velocity of ≈7 km s −1 . At 01:15 UT on April 10, a bright stripe appeared between the loops, and the flux in the GOES 0.5–4 Å channel increased. We interpret the loops’ sideways motion and the bright stripe as evidence of the breakout reconnection. At 01:45 UT, the loops below the X-point started to slowly move up. At 15:10 UT, the CME started to accelerate impulsively, while at the same time a flare arcade formed below the CME. After 15:50 UT, the CME moved with constant velocity. The CME evolution precisely followed the breakout model scenario

  16. FORMATION OF S-BEARING SPECIES BY VUV/EUV IRRADIATION OF H{sub 2}S-CONTAINING ICE MIXTURES: PHOTON ENERGY AND CARBON SOURCE EFFECTS

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Y.-J.; Juang, K.-J.; Qiu, J.-M.; Chu, C.-C.; Yih, T.-S. [Department of Physics, National Central University, Jhongli City, Taoyuan County 32054, Taiwan (China); Nuevo, M. [NASA Ames Research Center, Moffett Field, CA 94035 (United States); Jiménez-Escobar, A.; Muñoz Caro, G. M. [Centro de Astrobiología, INTA-CSIC, Torrejón de Ardoz, E-28850 Madrid (Spain); Wu, C.-Y. R. [Space Sciences Center and Department of Physics and Astronomy, University of Southern California, Los Angeles, CA 90089-1341 (United States); Fung, H.-S. [National Synchrotron Radiation Research Center, Hsinchu 30076, Taiwan (China); Ip, W.-H. [Graduate Institute of Astronomy, National Central University, Jhongli City, Taoyuan County 32049, Taiwan (China)

    2015-01-10

    Carbonyl sulfide (OCS) is a key molecule in astrobiology that acts as a catalyst in peptide synthesis by coupling amino acids. Experimental studies suggest that hydrogen sulfide (H{sub 2}S), a precursor of OCS, could be present in astrophysical environments. In the present study, we used a microwave-discharge hydrogen-flow lamp, simulating the interstellar UV field, and a monochromatic synchrotron light beam to irradiate CO:H{sub 2}S and CO{sub 2}:H{sub 2}S ice mixtures at 14 K with vacuum ultraviolet (VUV) or extreme ultraviolet (EUV) photons in order to study the effect of the photon energy and carbon source on the formation mechanisms and production yields of S-containing products (CS{sub 2}, OCS, SO{sub 2}, etc.). Results show that (1) the photo-induced OCS production efficiency in CO:H{sub 2}S ice mixtures is higher than that of CO{sub 2}:H{sub 2}S ice mixtures; (2) a lower concentration of H{sub 2}S enhances the production efficiency of OCS in both ice mixtures; and (3) the formation pathways of CS{sub 2} differ significantly upon VUV and EUV irradiations. Furthermore, CS{sub 2} was produced only after VUV photoprocessing of CO:H{sub 2}S ices, while the VUV-induced production of SO{sub 2} occurred only in CO{sub 2}:H{sub 2}S ice mixtures. More generally, the production yields of OCS, H{sub 2}S{sub 2}, and CS{sub 2} were studied as a function of the irradiation photon energy. Heavy S-bearing compounds were also observed using mass spectrometry during the warm-up of VUV/EUV-irradiated CO:H{sub 2}S ice mixtures. The presence of S-polymers in dust grains may account for the missing sulfur in dense clouds and circumstellar environments.

  17. A simulation package for soft X-ray and EUV spectroscopy of astrophysical and laboratory plasmas in different environments

    International Nuclear Information System (INIS)

    Liang, G Y; Li, F; Wang, F L; Zhong, J Y; Zhao, G; Wu, Y

    2014-01-01

    Spectroscopic researches in astronomy are significantly dependent on theoretical modelling methods, such as Chianti, Xstar, Cloudy etc. Recently, a different research community - Laboratory Astrophysics tries to benchmark these theoretical models or simulate the astrophysical phenomenon directly in conditions accessed in ground laboratory. Those unavoidable differences between the astrophysical objects and laboratory provide a need for a self-consistent model to make a bridge for the two cases. So we setup a visualized simulation package for soft X-ray and EUV spectroscopy in astrophysical and laboratory plasmas.

  18. Analysis, Design, and Evaluation of the UC-Berkeley Wave-Energy Extractor

    KAUST Repository

    Yeung, Ronald W.; Peiffer, Antoine; Tom, Nathan; Matlak, Tomasz

    2010-01-01

    This paper evaluates the technical feasibility and performance characteristics of an ocean-wave energy to electrical energy conversion device that is based on a moving linear generator. The UC-Berkeley design consists of a cylindrical floater, acting as a rotor, which drives a stator consisting of two banks of wound coils. The performance of such a device in waves depends on the hydrodynamics of the floater, the motion of which is strongly coupled to the electromagnetic properties of the generator. Mathematical models are developed to reveal the critical hurdles that can affect the efficiency of the design. A working physical unit is also constructed. The linear generator is first tested in a dry environment to quantify its performance. The complete physical floater and generator system is then tested in a wave tank with a computer-controlled wavemaker. Measurements are compared with theoretical predictions to allow an assessment of the viability of the design and future directions for improvements. Copyright © 2010 by ASME.

  19. Design, Analysis, and Evaluation of the UC-Berkeley Wave-Energy Extractor

    KAUST Repository

    Yeung, Ronald W.; Peiffer, Antoine; Tom, Nathan; Matlak, Tomasz

    2012-01-01

    This paper evaluates the technical feasibility and performance characteristics of an ocean-wave energy to electrical energy conversion device that is based on a moving linear generator. The UC-Berkeley design consists of a cylindrical floater, acting as a rotor, which drives a stator consisting of two banks of wound coils. The performance of such a device in waves depends on the hydrodynamics of the floater, the motion of which is strongly coupled to the electromagnetic properties of the generator. Mathematical models are developed to reveal the critical hurdles that can affect the efficiency of the design. A working physical unit is also constructed. The linear generator is first tested in a dry environment to quantify its performance. The complete physical floater and generator system is then tested in a wave tank with a computer-controlled wavemaker. Measurements are compared with theoretical predictions to allow an assessment of the viability of the design and the future directions for improvements. © 2012 American Society of Mechanical Engineers.

  20. Development of an accelerator-based BNCT facility at the Berkeley Lab

    International Nuclear Information System (INIS)

    Ludewigt, B.A.; Bleuel, D.; Chu, W.T.; Donahue, R.J.; Kwan, J.; Reginato, L.L.; Wells, R.P.

    1998-01-01

    An accelerator-based BNCT facility is under construction at the Berkeley Lab. An electrostatic-quadrupole (ESQ) accelerator is under development for the production of neutrons via the 7 Li(p,n) 7 Be reaction at proton energies between 2.3 and 2.5 MeV. A novel type of power supply, an air-core coupled transformer power supply, is being built for the acceleration of beam currents exceeding 50 mA. A metallic lithium target has been developed for handling such high beam currents. Moderator, reflector and neutron beam delimiter have extensively been modeled and designs have been identified which produce epithermal neutron spectra sharply peaked between 10 and 20 keV. These. neutron beams are predicted to deliver significantly higher doses to deep seated brain tumors, up to 50% more near the midline of the brain than is possible with currently available reactor beams. The accelerator neutron source will be suitable for future installation at hospitals

  1. Hazardous Waste Cerification Plan: Hazardous Waste Handling Facility, Lawrence Berkeley Laboratory

    International Nuclear Information System (INIS)

    1992-02-01

    The purpose of this plan is to describe the organization and methodology for the certification of hazardous waste (HW) handled in the Lawrence Berkeley Laboratory (LBL) Hazardous Waste Handling Facility (HWHF). The plan also incorporates the applicable elements of waste reduction, which include both up-front minimization and end- product treatment to reduce the volume and toxicity of the waste; segregation of the waste as it applies to certification; and executive summary of the Quality Assurance Program Plan (QAPP) for the HWHF and a list of the current and planned implementing procedures used in waste certification. The plan provides guidance from the HWHF to waste generators, waste handlers, and the Systems Group Manager to enable them to conduct their activities and carry out their responsibilities in a manner that complies with several requirements of the Federal Resource Conservation and Resource Recovery Act (RCRA), the Federal Department of Transportation (DOT), and the State of California, Code of Regulations (CCR), Title 22

  2. Simulated solar wind plasma interaction with the Martian exosphere: influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary

    Directory of Open Access Journals (Sweden)

    R. Modolo

    2006-12-01

    Full Text Available The solar wind plasma interaction with the Martian exosphere is investigated by means of 3-D multi-species hybrid simulations. The influence of the solar EUV flux on the bow shock and the magnetic pile-up boundary is examined by comparing two simulations describing the two extreme states of the solar cycle. The hybrid formalism allows a kinetic description of each ions species and a fluid description of electrons. The ionization processes (photoionization, electron impact and charge exchange are included self-consistently in the model where the production rate is computed locally, separately for each ionization act and for each neutral species. The results of simulations are in a reasonable agreement with the observations made by Phobos 2 and Mars Global Surveyor spacecraft. The position of the bow shock and the magnetic pile-up boundary is weakly dependent of the solar EUV flux. The motional electric field creates strong asymmetries for the two plasma boundaries.

  3. Spectroscopy of the extreme ultraviolet dayglow at 6.5A resolution - Atomic and ionic emissions between 530 and 1240A

    Science.gov (United States)

    Gentieu, E. P.; Feldman, P. D.; Meier, R. R.

    1979-01-01

    EUV spectra (530-1500A) of the day airglow in up, down and horizontal aspect orientations have been obtained with 6.5A resolution and a limiting sensitivity of 5R from a rocket experiment. Below 834A the spectrum is rich in previously unobserved OII transitions connecting with 4S(0), 2D(0), and 2P(0) states. Recent broad-band photometric observations of geocoronal HeI 584A emission in terms of the newly observed OII emissions are shown. The OI 989A and OI 1304A emissions exhibit similar dependence on altitude and viewing geometry with the OI 989A brightness 1/15 that of OI 1340. Emission at 1026A is identified as geocoronal HI Lyman beta rather than OI multiplet emission and observed intensities agree well with model estimates. An unexpectedly high NI 1200/NI 1134A brightness ratio is evidence of a significant contribution from photodissociative excitation of N2 to the NI 1200A source function.

  4. Parametric excitation of electron Bernstein waves by radio waves in the ionosphere and its possible consequence for airglow

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, Ashok; Tripathi, V K [Department of Physics, Indian Institute of Technology Delhi, New Delhi-110016 (India)

    2007-06-07

    A high power radio wave, launched into the polar ionosphere at angle {theta} with the earth's magnetic field from a ground-based transmitter in the vicinity of twice the electron cyclotron frequency (2.75 MHz), is reported to create an airglow at an effective radiated power (ERP) = 10 MW. We interpret this result as a consequence of parametric decay of the radio wave into an electron Bernstein wave (EBW) and an ion acoustic wave (IAW). The oscillatory velocity of electrons due to the pump couples with the density perturbation due to the IAW to produce a current, driving the Bernstein mode. The latter, in connection with the pump, exerts a ponderomotive force on electrons, driving the IAW. The growth rate of the parametric instability is maximum for {theta} = 0. At the same time, for any given value of {theta}, the growth rate increases with b(=k{sub 1}{sup 2}v{sub th}{sup 2}/2{omega}{sub c}{sup 2}) and attains a maximum around b {approx} 2, then falls gradually. The EBW produces energetic electrons via cyclotron damping. These electrons collide with the neutral atoms of the plasma to excite them to higher energy states. As the excited atoms return to lower energy states, they radiate in the visible.

  5. Parametric excitation of electron Bernstein waves by radio waves in the ionosphere and its possible consequence for airglow

    International Nuclear Information System (INIS)

    Kumar, Ashok; Tripathi, V K

    2007-01-01

    A high power radio wave, launched into the polar ionosphere at angle θ with the earth's magnetic field from a ground-based transmitter in the vicinity of twice the electron cyclotron frequency (2.75 MHz), is reported to create an airglow at an effective radiated power (ERP) = 10 MW. We interpret this result as a consequence of parametric decay of the radio wave into an electron Bernstein wave (EBW) and an ion acoustic wave (IAW). The oscillatory velocity of electrons due to the pump couples with the density perturbation due to the IAW to produce a current, driving the Bernstein mode. The latter, in connection with the pump, exerts a ponderomotive force on electrons, driving the IAW. The growth rate of the parametric instability is maximum for θ = 0. At the same time, for any given value of θ, the growth rate increases with b(=k 1 2 v th 2 /2ω c 2 ) and attains a maximum around b ∼ 2, then falls gradually. The EBW produces energetic electrons via cyclotron damping. These electrons collide with the neutral atoms of the plasma to excite them to higher energy states. As the excited atoms return to lower energy states, they radiate in the visible

  6. Summary of daily observational results of solar phenomena, cosmic ray, geomagnetic variation, ionosphere, radio wave propagation and airglow. During October 1973 through September 1975

    Energy Technology Data Exchange (ETDEWEB)

    1976-12-01

    The diagrams in this section of the publication illustrate the summary of daily observational results of solar phenomena, cosmic ray, geomagnetic variation, ionosphere, radio wave propagation and airglow observed in Japan. For convenience, the observational results are arranged by the solar rotation number. The aim of this illustration is to disseminate an outline of daily events observed in Japan for the benefit of active research workers who plan to make detailed study of the specific solar and terrestrial events. Therefore, the illustrations do not show all observational results in Japan but only representative ones at some key stations in Japan. They will suffice for the present purpose. The method of illustration shown in the instruction on the next page is still a preliminary one, and it is subject to change resulting from the kind advice of the users of this part of the publication.

  7. Tidal and solar cycle effects on the OI 5577 A, NaD and OH(8,3) airglow emissions observed at 23 deg S

    International Nuclear Information System (INIS)

    Takahashi, H.; Sahai, Y.; Batista, P.P.

    1984-01-01

    The upper mesosphere airglow emissions OI 5577, NaD and OH have been observed at Cachoeira Paulista (22.7 deg S; 45.0 deg W) Brazil. Nocturnal variations and their seasonal dependencies in amplitude and phase, and the annual variations of these emissions are presented, analysing the data obtained from 1977 to 1982 during the ascending phase of the last solar cycle. The nocturnal variations of the OI 5577 emission and the OH rotational temperature showed a significant semidiurnal oscillation, with the phase of maximum moving from midnight in January to early morning in June. Semiannual variation of the OI 5577 and NaD emissions with the maximum intensities in April/May and October/November were observed. The OH rotational temperature, however, showed an annual variation, maximum in summer and minimum in winter, while no significant seasonal variation was found in the OH emission intensities. Long-term intensity variations are also presented with the solar sunspot numbers and the 10.7 cm flux. (author)

  8. Stability of the Zagreb realization of the Carnegie-Mellon-Berkeley coupled-channels unitary model

    Science.gov (United States)

    Osmanović, H.; Ceci, S.; Švarc, A.; Hadžimehmedović, M.; Stahov, J.

    2011-09-01

    In Hadžimehmedović [Phys. Rev. CPRVCAN0556-281310.1103/PhysRevC.84.035204 84, 035204 (2011)] we have used the Zagreb realization of Carnegie-Melon-Berkeley coupled-channel, unitary model as a tool for extracting pole positions from the world collection of partial-wave data, with the aim of eliminating model dependence in pole-search procedures. In order that the method is sensible, we in this paper discuss the stability of the method with respect to the strong variation of different model ingredients. We show that the Zagreb CMB procedure is very stable with strong variation of the model assumptions and that it can reliably predict the pole positions of the fitted partial-wave amplitudes.

  9. Impulsive EUV bursts observed in C IV with OSO-8. [UV solar spectra

    Science.gov (United States)

    Athay, R. G.; White, O. R.; Lites, B. W.; Bruner, E. C., Jr.

    1980-01-01

    Time sequences of profiles of the 1548 A line of C IV containing 51 EUV bursts observed in or near active regions are analyzed to determine the brightness, Doppler shift and line broadening characteristics of the bursts. The bursts have mean lifetimes of approximately 150 s, and mean increases in brightness at burst maximum of four-fold as observed with a field of view of 2 x 20 arc sec. Mean burst diameters are estimated to be 3 arc sec, or smaller. All but three of the bursts show Doppler shifts with velocities sometimes exceeding 75 km/s; 31 are dominated by red shifts and 17 are dominated by blue shifts. Approximately half of the latter group have red-shifted precursors. The bursts are interpreted as prominence material, such as surges and coronal rain, moving through the field of view of the spectrometer.

  10. Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

    International Nuclear Information System (INIS)

    Cardinale, G. F.; Henderson, C. C.; Goldsmith, J. E. M.; Mangat, P. J. S.; Cobb, J.; Hector, S. D.

    1999-01-01

    In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50-90 nm thick) and was subsequently exposed to EUV radiation using a 10x reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO 2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85 degree sign ) sidewalls, employed a HBr/Cl chemistry with a large (>10:1) etch selectivity of polysilicon to silicon dioxide. In the second set of experiments, hard mask films of SiON (50 nm thick) and SiO 2 (87 nm thick) were used. A RIE was performed at Motorola using a halogen gas chemistry that resulted in a hard mask-to-photoresist etch selectivity >3:1 and sidewall profile angles ≥85 degree sign . Line edge roughness (LER) and linewidth critical dimension (CD) measurements were performed using Sandia's GORA(c) CD digital image analysis software. Low LER values (6-9 nm, 3σ, one side) and good CD linearity (better than 10%) were demonstrated for the final pattern-transferred dense polysilicon L/S features from 80 to 175 nm. In addition, pattern transfer (into polysilicon) of loose-pitch (1:2) L/S features with CDs≥60 nm was demonstrated. (c) 1999 American Vacuum Society

  11. Berkeley SuperNova Ia Program (BSNIP): Initial Spectral Analysis

    Science.gov (United States)

    Silverman, Jeffrey; Kong, J.; Ganeshalingam, M.; Li, W.; Filippenko, A. V.

    2011-01-01

    The Berkeley SuperNova Ia Program (BSNIP) has been observing nearby (z analysis of this dataset consists of accurately and robustly measuring the strength and position of various spectral features near maximum brightness. We determine the endpoints, pseudo-continuum, expansion velocity, equivalent width, and depth of each major feature observed in our wavelength range. For objects with multiple spectra near maximum brightness we investigate how these values change with time. From these measurements we also calculate velocity gradients and various flux ratios within a given spectrum which will allow us to explore correlations between spectral and photometric observables. Some possible correlations have been studied previously, but our dataset is unique in how self-consistent the data reduction and spectral feature measurements have been, and it is a factor of a few larger than most earlier studies. We will briefly summarize the contents of the full dataset as an introduction to our initial analysis. Some of our measurements of SN Ia spectral features, along with a few initial results from those measurements, will be presented. Finally, we will comment on our current progress and planned future work. We gratefully acknowledge the financial support of NSF grant AST-0908886, the TABASGO Foundation, and the Marc J. Staley Graduate Fellowship in Astronomy.

  12. Low-level waste certification plan for the Lawrence Berkeley Laboratory Hazardous Waste Handling Facility. Revision 1

    International Nuclear Information System (INIS)

    1995-01-01

    The purpose of this plan is to describe the organization and methodology for the certification of low-level radioactive waste (LLW) handled in the Hazardous Waste Handling Facility (HWHF) at Lawrence Berkeley Laboratory (LBL). This plan is composed to meet the requirements found in the Westinghouse Hanford Company (WHC) Solid Waste Acceptance Criteria (WAC) and follows the suggested outline provided by WHC in the letter of April 26, 1990, to Dr. R.H. Thomas, Occupational Health Division, LBL. LLW is to be transferred to the WHC Hanford Site Central Waste Complex and Burial Grounds in Hanford, Washington

  13. Low-level waste certification plan for the Lawrence Berkeley Laboratory Hazardous Waste Handling Facility. Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-01-10

    The purpose of this plan is to describe the organization and methodology for the certification of low-level radioactive waste (LLW) handled in the Hazardous Waste Handling Facility (HWHF) at Lawrence Berkeley Laboratory (LBL). This plan is composed to meet the requirements found in the Westinghouse Hanford Company (WHC) Solid Waste Acceptance Criteria (WAC) and follows the suggested outline provided by WHC in the letter of April 26, 1990, to Dr. R.H. Thomas, Occupational Health Division, LBL. LLW is to be transferred to the WHC Hanford Site Central Waste Complex and Burial Grounds in Hanford, Washington.

  14. A preliminary estimate of the EUVE cumulative distribution of exposure time on the unit sphere. [Extreme Ultra-Violet Explorer

    Science.gov (United States)

    Tang, C. C. H.

    1984-01-01

    A preliminary study of an all-sky coverage of the EUVE mission is given. Algorithms are provided to compute the exposure of the celestial sphere under the spinning telescopes, taking into account that during part of the exposure time the telescopes are blocked by the earth. The algorithms are used to give an estimate of exposure time at different ecliptic latitudes as a function of the angle of field of view of the telescope. Sample coverage patterns are also given for a 6-month mission.

  15. Tiger Team assessment of the Lawrence Berkeley Laboratory, Washington, DC

    Energy Technology Data Exchange (ETDEWEB)

    1991-02-01

    This report documents the results of the Department of Energy's (DOE's) Tiger Team Assessment of the Lawrence Berkeley Laboratory (LBL) conducted from January 14 through February 15, 1991. The purpose of the assessment was to provide the Secretary of Energy with the status of environment, safety, and health (ES H) programs at LBL. The Tiger Team concluded that curtailment of cessation of any operations at LBL is not warranted. However, the number and breadth of findings and concerns from this assessment reflect a serious condition at this site. In spite of its late start, LBL has recently made progress in increasing ES H awareness at all staff levels and in identifying ES H deficiencies. Corrective action plans are inadequate, however, many compensatory actions are underway. Also, LBL does not have the technical expertise or training programs nor the tracking and followup to effectively direct and control sitewide guidance and oversight by DOE of ES H activities at LBL. As a result of these deficiencies, the Tiger Team has reservations about LBL's ability to implement effective actions in a timely manner and, thereby, achieve excellence in their ES H program. 4 figs., 24 tabs.

  16. Tiger Team assessment of the Lawrence Berkeley Laboratory, Washington, DC

    International Nuclear Information System (INIS)

    1991-02-01

    This report documents the results of the Department of Energy's (DOE's) Tiger Team Assessment of the Lawrence Berkeley Laboratory (LBL) conducted from January 14 through February 15, 1991. The purpose of the assessment was to provide the Secretary of Energy with the status of environment, safety, and health (ES ampersand H) programs at LBL. The Tiger Team concluded that curtailment of cessation of any operations at LBL is not warranted. However, the number and breadth of findings and concerns from this assessment reflect a serious condition at this site. In spite of its late start, LBL has recently made progress in increasing ES ampersand H awareness at all staff levels and in identifying ES ampersand H deficiencies. Corrective action plans are inadequate, however, many compensatory actions are underway. Also, LBL does not have the technical expertise or training programs nor the tracking and followup to effectively direct and control sitewide guidance and oversight by DOE of ES ampersand H activities at LBL. As a result of these deficiencies, the Tiger Team has reservations about LBL's ability to implement effective actions in a timely manner and, thereby, achieve excellence in their ES ampersand H program. 4 figs., 24 tabs

  17. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    Science.gov (United States)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  18. CONTRIBUTION OF VELOCITY VORTICES AND FAST SHOCK REFLECTION AND REFRACTION TO THE FORMATION OF EUV WAVES IN SOLAR ERUPTIONS

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongjuan; Liu, Siqing; Gong, Jiancun [Center for Space Science and Applied Research, Chinese Academy of Sciences, Beijing 100190 (China); Wu, Ning [School of Tourism and Geography, Yunnan Normal University, Kunming, Yunnan 650031 (China); Lin, Jun [Yunnan Observatories, Chinese Academy of Sciences, Kunming, Yunnan 650011 (China)

    2015-06-01

    We numerically study the detailed evolutionary features of the wave-like disturbance and its propagation in the eruption. This work is a follow-up to Wang et al., using significantly upgraded new simulations. We focus on the contribution of the velocity vortices and the fast shock reflection and refraction in the solar corona to the formation of the EUV waves. Following the loss of equilibrium in the coronal magnetic structure, the flux rope exhibits rapid motions and invokes the fast-mode shock at the front of the rope, which then produces a type II radio burst. The expansion of the fast shock, which is associated with outward motion, takes place in various directions, and the downward expansion shows the reflection and the refraction as a result of the non-uniform background plasma. The reflected component of the fast shock propagates upward and the refracted component propagates downward. As the refracted component reaches the boundary surface, a weak echo is excited. The Moreton wave is invoked as the fast shock touches the bottom boundary, so the Moreton wave lags the type II burst. A secondary echo occurs in the area where reflection of the fast shock encounters the slow-mode shock, and the nearby magnetic field lines are further distorted because of the interaction between the secondary echo and the velocity vortices. Our results indicate that the EUV wave may arise from various processes that are revealed in the new simulations.

  19. Anti­-parallel Filament Flows and Bright Dots Observed in the EUV with Hi-­C

    Science.gov (United States)

    Alexander, Caroline E.; Regnier, Stephane; Walsh, Robert; Winebarger, Amy

    2013-01-01

    Hi-C obtained the highest spatial and temporal resolution observations ever taken in the solar EUV corona. Hi-C reveals dynamics and structure at the limit of its temporal and spatial resolution. Hi-C observed various fine-scale features that SDO/AIA could not pick out. For the first time in the corona, Hi-C revealed magnetic braiding and component reconnection consistent with coronal heating. Hi-C shows evidence of reconnection and heating in several different regions and magnetic configurations with plasma being heated to 0.3 - 8 x 10(exp 6) K temperatures. Surprisingly, many of the first results highlight plasma at temperatures that are not at the peak of the response functions.

  20. Studies, Transport and Treatment Concept for Boilers from Berkeley NPP, England - 13599

    International Nuclear Information System (INIS)

    Wirendal, Bo; Saul, David; Robinson, Joe; Davidson, Gavin

    2013-01-01

    In November 2011 Studsvik was awarded a contract to transport five decommissioned boilers from the Berkeley Nuclear Licensed Site in the UK to the Studsvik Nuclear Site in Sweden for metal treatment and recycling. A key objective of the project was to remove the boilers from the site by 31 March 2012 and this was successfully achieved with all boilers off site by 22 March and delivered to Studsvik on 6 April. Four boilers have been processed and the fifth is planned for completion by end of December 2012.The project had many challenges including a very tight timescale and has been successfully delivered to cost and ahead of the baseline programme. This paper describes the project and the experience gained from treatment of the first four boilers. It is the first UK project to send large components overseas for recycling and provides new insight into the processing of Magnox gas-circuit components. (authors)