WorldWideScience

Sample records for beam writing technique

  1. Molecule-by-Molecule Writing Using a Focused Electron Beam

    DEFF Research Database (Denmark)

    Van Dorp, Willem F.; Zhang, Xiaoyan; Feringa, Ben L.

    2012-01-01

    atoms also be written with an electron beam? We verify this with focused electron-beam-induced deposition (FEBID), a direct-write technique that has the current record for the smallest feature written by (electron) optical lithography. We show that the deposition of an organometallic precursor...... on graphene can be followed molecule-by-molecule with FEBID. The results show that mechanisms that are inherent to the process inhibit a further increase in control over the process. Hence, our results present the resolution limit of (electron) optical lithography techniques. The writing of isolated...

  2. Proton beam writing of passive waveguides in PMMA

    International Nuclear Information System (INIS)

    Sum, T.C.; Bettiol, A.A.; Seng, H.L.; Rajta, I.; Kan, J.A. van; Watt, F.

    2003-01-01

    Symmetric y-branch buried channel waveguides in poly-methylmethacrylate (PMMA) were fabricated by proton beam writing using a focused sub-micron beam of 1.5 and 2.0 MeV protons with a dose ranging from 25 to 160 nC/mm 2 (i.e. ∼1.6 x 10 13 to 1.0 x 10 14 particles/cm 2 ) and beam currents of approximately 5-10 pA. The proton beam modifies the PMMA (i.e. changes the refractive index), forming buried channel waveguides near the end of range. The buried channel waveguides were end-coupled with monochromatic light (633 nm) and the transmitted intensity profiles were measured, indicating an intensity distribution of 0.45/0.55 from each branch. The surface compaction of the PMMA as a result of the irradiation for doses up to 160 nC/mm 2 was also investigated. From these investigations, the optimal fabrication conditions for proton beam writing of PMMA were established. Waveguides of arbitrary design can be easily fabricated using proton beam writing, making the technique ideal for the rapid prototyping of optical circuits

  3. Electron beam writing on semiconductors

    International Nuclear Information System (INIS)

    Bierhenke, H.; Kutzer, E.; Pascher, A.; Plitzner, H.; Rummel, P.; Siemens A.G., Muenchen; Siemens A.G., Muenchen

    1979-08-01

    Reported are the results of the 3 1/2 year research project 'Electron beam Writing on Semiconductors'. Work has been done in the field of direct wafer exposure techniques, and of mask making. Described are resist technology, setting up of a research device, exploration of alignment procedures, manufacturing of devices and their radiation influence. Furthermore, investigations and measurements of an electron beam machine bought for mask making purposes, the development of LSI-circuits with this machine, the software necessary and important developments of digital subsystems are reported. (orig.) [de

  4. Advanced applications in microphotonics using proton beam writing

    International Nuclear Information System (INIS)

    Bettiol, A.A.; Chiam, S.Y.; Teo, E.J.; Udalagama, C.; Chan, S.F.; Hoi, S.K.; Kan, J.A. van; Breese, M.B.H.; Watt, F.

    2009-01-01

    Proton beam writing (PBW) is a powerful tool for prototyping microphotonic structures in a wide variety of materials including polymers, insulators, semiconductors and metals. Prototyping is achieved either through direct fabrication with the proton beam, or by the fabrication of a master that can be used for replication. In recent times we have explored the use of PBW for various advanced optical applications including fabrication of subwavelength metallic structures and metamaterials, direct write of silicon waveguides for mid IR applications and integrated waveguides for lab-on-a-chip devices. This paper will review the recent progress made in these areas with particular emphasis on the main advantages of using the PBW technique for these novel applications.

  5. Fabrication of high-transmission microporous membranes by proton beam writing-based molding technique

    Science.gov (United States)

    Wang, Liping; Meyer, Clemens; Guibert, Edouard; Homsy, Alexandra; Whitlow, Harry J.

    2017-08-01

    Porous membranes are widely used as filters in a broad range of micro and nanofluidic applications, e.g. organelle sorters, permeable cell growth substrates, and plasma filtration. Conventional silicon fabrication approaches are not suitable for microporous membranes due to the low mechanical stability of thin film substrates. Other techniques like ion track etching are limited to the production of randomly distributed and randomly orientated pores with non-uniform pore sizes. In this project, we developed a procedure for fabricating high-transmission microporous membranes by proton beam writing (PBW) with a combination of spin-casting and soft lithography. In this approach, focused 2 MeV protons were used to lithographically write patterns consisting of hexagonal arrays of high-density pillars of few μm size in a SU-8 layer coated on a silicon wafer. After development, the pillars were conformably coated with a thin film of poly-para-xylylene (Parylene)-C release agent and spin-coated with polydimethylsiloxane (PDMS). To facilitate demolding, a special technique based on the use of a laser-cut sealing tape ring was developed. This method facilitated the successful delamination of 20-μm thick PDMS membrane with high-density micropores from the mold without rupture or damage.

  6. Proton Beam Writing

    International Nuclear Information System (INIS)

    Rajta, I.; Szilasi, S.Z.; Csige, I.; Baradacs, E.

    2005-01-01

    Complete text of publication follows. Refractive index depth profile in PMMA due to proton irradiation Proton Beam Writing has been successfully used to create buried channel waveguides in PMMA, which suggested that proton irradiation increases the refractive index. To investigate this effect, PMMA samples were irradiated by 1.7-2.1 MeV proton beam. Spectroscopic Ellipsometry has been used to investigate the depth profile of the refractive index. An increase of the refractive index was observed in the order of 0.01, which is approximately one order of magnitude higher than the detection limit. The highest increase of the refractive index occurs at the end of range, i.e. we found a good correlation with the Bragg curve of the energy loss. Hardness changes in PMMA due to proton beam micromachining As protons penetrate a target material and lose their energy according to the Bragg curve, the energy loss is different at different depths. This causes depth-dependent changes of some physical properties in the target material (e.g. refractive index, hardness). In order to characterize the changes of hardness and other mechanical properties as a function of beam penetration depth, systematic investigations have been performed on PMMA, the most common resist material used in proton beam micromachining. Silicon check valve made by proton beam micromachining The possible application of Proton Beam Micromachining (PBM) has been demonstrated by a few authors for creating 3D Si microstructures. In this work we present alternative methods for the formation of a simple a non-return valve for microfluidic applications. Two different approaches have been applied, in both cases we exploited characteristic features of the PBM technique and the selective formation and dissolution of porous Si over the implantation damaged areas. In the first case we implanted 10 μm thick cantilever-type membrane of the valve normally to the crystal surface and at 30-60 degrees to the sidewalls of the

  7. Exposure parameters in proton beam writing for hydrogen silsesquioxane

    International Nuclear Information System (INIS)

    Kan, J.A. van; Zhang, F.; Zhang, C.; Bettiol, A.A.; Watt, F.

    2008-01-01

    In proton beam writing (PBW) a focused MeV proton beam is scanned in a predetermined pattern over a resist (e.g. PMMA, SU-8 or HSQ), which is subsequently chemically developed. In e-beam writing as well as p-beam writing the energy loss of the primary beam is dominated by energy transfer to substrate electrons. Unlike the high energy secondary electrons generated during e-beam writing the secondary electrons induced by the primary proton beam have low energy and therefore a limited range, resulting in minimal proximity effects. The low proximity effects exhibited by p-beam writing coupled with the straight trajectory and high penetration of the proton beam enables the production of high aspect ratio, high density 3D micro and nanostructures with well defined smooth side walls to be directly written into resist materials. This property together with the stability and focusing power of the end station ensures even exposures with nm smoothness and allows fabrication of details down to the 20 nm level. In this paper, we present results like contrast and sensitivity for PBW using, hydrogen silsesquioxane (HSQ) and XR-1541, both are non-C based resists. Unlike PMMA and SU-8 resist HSQ shows aging effects, requiring optimized processing parameters in PBW

  8. Fast‐writing E‐beam for defining large arrays of nano‐holes

    DEFF Research Database (Denmark)

    Højlund-Nielsen, Emil; Clausen, Jeppe Sandvik; Christiansen, Alexander Bruun

    2013-01-01

    Efficient nanoscale patterning of large areas is required for sub-wavelength optics. For example, 200 nm periodic structures are often too small to be made with standard UV- and DUV-equipment. Still, the final product must be made at an economic cost. Here we use a fast-writing strategy described...... in [1], where electron beam lithography (EBL) with a focused Gaussian beam is used to define shapes directly. The serial technique is optimized for speed and pattern fidelity to a maximum writing speed of around 30 min/cm2 for 200 nm periods in 2D lattices. The overall costs in terms of machine time...

  9. Three-dimensional metamaterials fabricated using Proton Beam Writing

    Energy Technology Data Exchange (ETDEWEB)

    Bettiol, A.A., E-mail: a.bettiol@nus.edu.sg [Centre for Ion Beam Applications, Department of Physics, National University of Singapore, 2 Science Dr. 3, Singapore 117542 (Singapore); Turaga, S.P.; Yan, Y.; Vanga, S.K. [Centre for Ion Beam Applications, Department of Physics, National University of Singapore, 2 Science Dr. 3, Singapore 117542 (Singapore); Chiam, S.Y. [NUS High School for Maths and Science, 20 Clementi Avenue 1, Singapore 129957 (Singapore)

    2013-07-01

    Proton Beam Writing (PBW) is a direct write lithographic technique that has recently been applied to the fabrication of three dimensional metamaterials. In this work, we show that the unique capabilities of PBW, namely the ability to fabricate arrays of high resolution, high aspect ratio microstructures in polymer or replicated into metal, is well suited to metamaterials research. We have also developed a novel method for selectively electroless plating silver directly onto polymer structures that were fabricated using PBW. This method opens up new avenues for utilizing PBW for making metamaterials and other sub-wavelength metallic structures. Several potential applications of three dimensional metamaterials fabricated using PBW are discussed, including sensing and negative refractive index materials.

  10. Single beam write and/or replay of spatial heterodyne holograms

    Science.gov (United States)

    Thomas, Clarence E.; Hanson, Gregory R.

    2007-11-20

    A method of writing a spatially heterodyne hologram having spatially heterodyne fringes includes: passing a single write beam through a spatial light modulator that digitally modulates said single write beam; and focusing the single write beam at a focal plane of a lens to impose a holographic diffraction grating pattern on the photorefractive crystal, the holographic diffraction grating pattern including the spatially heterodyne hologram having spatially heterodyne fringes, wherein only said single write beam is incident on said photorefractive crystal without a reference beam. A method of replaying a spatially heterodyne hologram having spatially heterodyne fringes at a replay angle includes: illuminating a photorefractive crystal having a holographic diffraction grating with a beam from a laser at an illumination angle, the holographic diffraction grating pattern including the spatially heterodyne hologram having spatially heterodyne fringes, wherein a difference between said illumination angle and said replay angle defines a diffraction angle .alpha. that is a function of a plane wave mathematically added to original object wave phase and amplitude data of said spatially heterodyne hologram having spatially heterodyne fringes.

  11. Maskless proton beam writing in gallium arsenide

    Energy Technology Data Exchange (ETDEWEB)

    Mistry, P. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom) and Nano-Electronics Centre, Advanced Technology Institute, University of Surrey, Guildford GU2 7XH (United Kingdom)]. E-mail: p.mistry@surrey.ac.uk; Gomez-Morilla, I. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Smith, R.C. [Nano-Electronics Centre, Advanced Technology Institute, University of Surrey, Guildford GU2 7XH (United Kingdom); Thomson, D. [Advanced Technology Institute, University of Surrey, Guildford GU2 7XH (United Kingdom); Grime, G.W. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Webb, R.P. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Gwilliam, R. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Jeynes, C. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Cansell, A. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Merchant, M. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom); Kirkby, K.J. [Ion Beam Centre, University of Surrey, Guildford GU2 7XH (United Kingdom)

    2007-07-15

    Proton beam writing (PBW) is a direct write technique that employs a focused MeV proton beam which is scanned in a pre-determined pattern over a target material which is subsequently electrochemically etched or chemically developed. By changing the energy of the protons the range of the protons can be changed. The ultimate depth of the structure is determined by the range of the protons in the material and this allows structures to be formed to different depths. PBW has been successfully employed on etchable glasses, polymers and semiconductor materials such as silicon (Si) and gallium arsenide (GaAs). This study reports on PBW in p-type GaAs and compares experimental results with computer simulations using the Atlas (copy right) semiconductor device package from SILVACO. It has already been proven that hole transport is required for the electrochemical etching of GaAs using Tiron (4,5-dihydroxy-m-benzenedisulfonic acid, di-sodium salt). PBW in GaAs results in carrier removal in the irradiated regions and consequently minimal hole transport (in these regions) during electrochemical etching. As a result the irradiated regions are significantly more etch resistant than the non-irradiated regions. This allows high aspect ratio structures to be formed.

  12. Maskless proton beam writing in gallium arsenide

    International Nuclear Information System (INIS)

    Mistry, P.; Gomez-Morilla, I.; Smith, R.C.; Thomson, D.; Grime, G.W.; Webb, R.P.; Gwilliam, R.; Jeynes, C.; Cansell, A.; Merchant, M.; Kirkby, K.J.

    2007-01-01

    Proton beam writing (PBW) is a direct write technique that employs a focused MeV proton beam which is scanned in a pre-determined pattern over a target material which is subsequently electrochemically etched or chemically developed. By changing the energy of the protons the range of the protons can be changed. The ultimate depth of the structure is determined by the range of the protons in the material and this allows structures to be formed to different depths. PBW has been successfully employed on etchable glasses, polymers and semiconductor materials such as silicon (Si) and gallium arsenide (GaAs). This study reports on PBW in p-type GaAs and compares experimental results with computer simulations using the Atlas (copy right) semiconductor device package from SILVACO. It has already been proven that hole transport is required for the electrochemical etching of GaAs using Tiron (4,5-dihydroxy-m-benzenedisulfonic acid, di-sodium salt). PBW in GaAs results in carrier removal in the irradiated regions and consequently minimal hole transport (in these regions) during electrochemical etching. As a result the irradiated regions are significantly more etch resistant than the non-irradiated regions. This allows high aspect ratio structures to be formed

  13. Binary codes storage and data encryption in substrates with single proton beam writing technology

    International Nuclear Information System (INIS)

    Zhang Jun; Zhan Furu; Hu Zhiwen; Chen Lianyun; Yu Zengliang

    2006-01-01

    It has been demonstrated that characters can be written by proton beams in various materials. In contributing to the rapid development of proton beam writing technology, we introduce a new method for binary code storage and data encryption by writing binary codes of characters (BCC) in substrates with single proton beam writing technology. In this study, two kinds of BCC (ASCII BCC and long bit encrypted BCC) were written in CR-39 by a 2.6 MeV single proton beam. Our results show that in comparison to directly writing character shapes, writing ASCII BCC turned out to be about six times faster and required about one fourth the area in substrates. The approach of writing long bit encrypted BCC by single proton beams supports preserving confidential information in substrates. Additionally, binary codes fabricated by MeV single proton beams in substrates are more robust than those formed by lasers, since MeV single proton beams can make much deeper pits in the substrates

  14. Micromachining of commodity plastics by proton beam writing and fabrication of spatial resolution test-chart for neutron radiography

    International Nuclear Information System (INIS)

    Sakai, T.; Yasuda, R.; Iikura, H.; Nojima, T.; Matsubayashi, M.; Kada, W.; Kohka, M.; Satoh, T.; Ohkubo, T.; Ishii, Y.; Takano, K.

    2013-01-01

    Proton beam writing is a direct-write technique and a promising method for the micromachining of commodity plastics such as acrylic resins. Herein, we describe the fabrication of microscopic devices made from a relatively thick (∼75 μm) acrylic sheet using proton beam writing. In addition, a software package that converts image pixels into coordinates data was developed, and the successful fabrication of a very fine jigsaw puzzle was achieved. The size of the jigsaw puzzle pieces was 50 × 50 μm. For practical use, a prototype of a line and space test-chart was also successfully fabricated for the determination of spatial resolution in neutron radiography

  15. THEMATIC PROGRESSION PATTERN : A TECHNIQUE TO IMPROVE STUDENTS’ WRITING SKILL VIEWED FROM WRITING APPREHENSION

    Directory of Open Access Journals (Sweden)

    Fitri Nurdianingsih

    2017-10-01

    Full Text Available The objective of conducting this research was to find out : (1 whether or not the use of thematic progression pattern is more effective than direct instruction in teaching writing to the second semester students at English Education Department; (2 the students who have a low writing apprehension have better writing skill than those who have a high writng apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. This reasearch was an experimental research design. The population of this research was the second semester students at English Education Department of IKIP PGRI Bojonegoro. Meanwhile the sample of this research was selected by using cluster random sampling. The instruments of data collection were witing test and writing apprehension questionnaire. The findings of this study are: (1 thematic progression pattern is more effective than direct instruction in teaching writing; (2 the students who have low writing apprehension have better writing skill than those who have high writing apprehension; and (3 there is an interaction between teaching technique and writing apprehension in teaching writing skill. It can be summarized that thematic progression pattern is an effective technique in teaching writing skill at the second semester students of English Education Department in IKIP PGRI Bojonegoro. The effectiveness of the technique is affected by writing apprehension.

  16. Proton beam writing of long, arbitrary structures for micro/nano photonics and fluidics applications

    International Nuclear Information System (INIS)

    Udalagama, Chammika; Teo, E.J.; Chan, S.F.; Kumar, V.S.; Bettiol, A.A.; Watt, F.

    2011-01-01

    The last decade has seen proton beam writing maturing into a versatile lithographic technique able to produce sub-100 nm, high aspect ratio structures with smooth side walls. However, many applications in the fields of photonics and fluidics require the fabrication of structures with high spatial resolution that extends over several centimetres. This cannot be achieved by purely magnetic or electrostatic beam scanning due to the large off-axis beam aberrations in high demagnification systems. As a result, this has limited us to producing long straight structures using a combination of beam and stage scanning. In this work we have: (1) developed an algorithm to include any arbitrary pattern into the writing process by using a more versatile combination of beam and stage scanning while (2) incorporating the use of the ubiquitous AutoCAD DXF (drawing exchange format) into the design process. We demonstrate the capability of this approach in fabricating structures such as Y-splitters, Mach-Zehnder modulators and microfluidic channels that are over several centimetres in length, in polymer. We also present optimisation of such parameters as scanning speed and scanning loops to improve on the surface roughness of the structures. This work opens up new possibilities of using CAD software in PBW for microphotonics and fluidics device fabrication.

  17. Proton beam writing of long, arbitrary structures for micro/nano photonics and fluidics applications

    Science.gov (United States)

    Udalagama, Chammika; Teo, E. J.; Chan, S. F.; Kumar, V. S.; Bettiol, A. A.; Watt, F.

    2011-10-01

    The last decade has seen proton beam writing maturing into a versatile lithographic technique able to produce sub-100 nm, high aspect ratio structures with smooth side walls. However, many applications in the fields of photonics and fluidics require the fabrication of structures with high spatial resolution that extends over several centimetres. This cannot be achieved by purely magnetic or electrostatic beam scanning due to the large off-axis beam aberrations in high demagnification systems. As a result, this has limited us to producing long straight structures using a combination of beam and stage scanning. In this work we have: (1) developed an algorithm to include any arbitrary pattern into the writing process by using a more versatile combination of beam and stage scanning while (2) incorporating the use of the ubiquitous AutoCAD DXF (drawing exchange format) into the design process. We demonstrate the capability of this approach in fabricating structures such as Y-splitters, Mach-Zehnder modulators and microfluidic channels that are over several centimetres in length, in polymer. We also present optimisation of such parameters as scanning speed and scanning loops to improve on the surface roughness of the structures. This work opens up new possibilities of using CAD software in PBW for microphotonics and fluidics device fabrication.

  18. Proton beam writing of long, arbitrary structures for micro/nano photonics and fluidics applications

    Energy Technology Data Exchange (ETDEWEB)

    Udalagama, Chammika, E-mail: chammika@nus.edu.sg [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore (NUS), 2 Science Drive 3, Singapore 117542 (Singapore); Teo, E.J. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore (NUS), 2 Science Drive 3, Singapore 117542 (Singapore); Chan, S.F. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore (NUS), 2 Science Drive 3, Singapore 117542 (Singapore); NUS Nanoscience and Nanotechnology Initiative, 2 Science Drive 3, 117542 (Singapore); Department of Chemistry, NUS, 3 Science Drive 3, 117543 (Singapore); Kumar, V.S.; Bettiol, A.A.; Watt, F. [Centre for Ion Beam Applications (CIBA), Department of Physics, National University of Singapore (NUS), 2 Science Drive 3, Singapore 117542 (Singapore)

    2011-10-15

    The last decade has seen proton beam writing maturing into a versatile lithographic technique able to produce sub-100 nm, high aspect ratio structures with smooth side walls. However, many applications in the fields of photonics and fluidics require the fabrication of structures with high spatial resolution that extends over several centimetres. This cannot be achieved by purely magnetic or electrostatic beam scanning due to the large off-axis beam aberrations in high demagnification systems. As a result, this has limited us to producing long straight structures using a combination of beam and stage scanning. In this work we have: (1) developed an algorithm to include any arbitrary pattern into the writing process by using a more versatile combination of beam and stage scanning while (2) incorporating the use of the ubiquitous AutoCAD DXF (drawing exchange format) into the design process. We demonstrate the capability of this approach in fabricating structures such as Y-splitters, Mach-Zehnder modulators and microfluidic channels that are over several centimetres in length, in polymer. We also present optimisation of such parameters as scanning speed and scanning loops to improve on the surface roughness of the structures. This work opens up new possibilities of using CAD software in PBW for microphotonics and fluidics device fabrication.

  19. Computer controlling of writing beam in laser microfabrication of diffractive optics

    OpenAIRE

    Korolkov, V.; Shimansky, R.; Cherkashin, V.; Denk, D.

    2003-01-01

    Laser microfabrication of diffractive optics with continuous relief is based on the direct local action of focused laser radiation on the recording material. Control of writing beam parameters (beam power, spot size, waist position) is one of the main tasks in microfabrication using laser writing systems. Method of the control defines the correspondence between the fabricated microrelief of the diffractive optical element and a designed one. Complexity of this task consists in the necessity t...

  20. Microfabrication of biocompatible hydrogels by proton beam writing

    Science.gov (United States)

    Nagasawa, Naotsugu; Kimura, Atsushi; Idesaki, Akira; Yamada, Naoto; Koka, Masashi; Satoh, Takahiro; Ishii, Yasuyuki; Taguchi, Mitsumasa

    2017-10-01

    Functionalization of biocompatible materials is expected to be widely applied in biomedical engineering and regenerative medicine fields. Hydrogel has been expected as a biocompatible scaffold which support to keep an organ shape during cell multiplying in regenerative medicine. Therefore, it is important to understanding a surface microstructure (minute shape, depth of flute) and a chemical characteristic of the hydrogel affecting the cell culture. Here, we investigate the microfabrication of biocompatible polymeric materials, such as the water-soluble polysaccharide derivatives hydroxypropyl cellulose and carboxymethyl cellulose, by use of proton beam writing (PBW). These polymeric materials were dissolved thoroughly in pure water using a planetary centrifugal mixer, and a sample sheet (1 mm thick) was formed on polyethylene terephthalate (PET) film. Crosslinking to form hydrogels was induced using a 3.0 MeV focused proton beam from the single-ended accelerator at Takasaki Ion Accelerators for Advanced Radiation Application. The aqueous samples were horizontally irradiated with the proton beam through the PET cover film, and then rinsed with deionized water. Microstructured hydrogels were obtained on the PET film using the PBW technique without toxic crosslinking reagents. Cell adhesion and proliferation on the microfabricated biocompatible hydrogels were investigated. Microfabrication of HPC and CMC by the use of PBW is expected to produce new biocompatible materials that can be applied in biological and medical applications.

  1. Low current beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Saint, A.; Laird, J.S.; Bardos, R.A.; Legge, G.J.F. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Nishijima, T.; Sekiguchi, H. [Electrotechnical Laboratory, Tsukuba (Japan).

    1993-12-31

    Since the development of Scanning Transmission Microscopy (STIM) imaging in 1983 many low current beam techniques have been developed for the scanning (ion) microprobe. These include STIM tomography, Ion Beam Induced Current, Ion Beam Micromachining and Microlithography and Ionoluminense. Most of these techniques utilise beam currents of 10{sup -15} A down to single ions controlled by beam switching techniques This paper will discuss some of the low beam current techniques mentioned above, and indicate, some of their recent applications at MARC. A new STIM technique will be introduced that can be used to obtain Z-contrast with STIM resolution. 4 refs., 3 figs.

  2. Low current beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Saint, A; Laird, J S; Bardos, R A; Legge, G J.F. [Melbourne Univ., Parkville, VIC (Australia). School of Physics; Nishijima, T; Sekiguchi, H [Electrotechnical Laboratory, Tsukuba (Japan).

    1994-12-31

    Since the development of Scanning Transmission Microscopy (STIM) imaging in 1983 many low current beam techniques have been developed for the scanning (ion) microprobe. These include STIM tomography, Ion Beam Induced Current, Ion Beam Micromachining and Microlithography and Ionoluminense. Most of these techniques utilise beam currents of 10{sup -15} A down to single ions controlled by beam switching techniques This paper will discuss some of the low beam current techniques mentioned above, and indicate, some of their recent applications at MARC. A new STIM technique will be introduced that can be used to obtain Z-contrast with STIM resolution. 4 refs., 3 figs.

  3. Laser-based direct-write techniques for cell printing

    Energy Technology Data Exchange (ETDEWEB)

    Schiele, Nathan R; Corr, David T [Biomedical Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States); Huang Yong [Department of Mechanical Engineering, Clemson University, Clemson, SC (United States); Raof, Nurazhani Abdul; Xie Yubing [College of Nanoscale Science and Engineering, University at Albany, SUNY, Albany, NY (United States); Chrisey, Douglas B, E-mail: schien@rpi.ed, E-mail: chrisd@rpi.ed [Material Science and Engineering Department, Rensselaer Polytechnic Institute, Troy, NY (United States)

    2010-09-15

    Fabrication of cellular constructs with spatial control of cell location ({+-}5 {mu}m) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  4. Laser-based direct-write techniques for cell printing

    International Nuclear Information System (INIS)

    Schiele, Nathan R; Corr, David T; Huang Yong; Raof, Nurazhani Abdul; Xie Yubing; Chrisey, Douglas B

    2010-01-01

    Fabrication of cellular constructs with spatial control of cell location (±5 μm) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. (topical review)

  5. Proton-beam writing channel based on an electrostatic accelerator

    Science.gov (United States)

    Lapin, A. S.; Rebrov, V. A.; Kolin'ko, S. V.; Salivon, V. F.; Ponomarev, A. G.

    2016-09-01

    We have described the structure of the proton-beam writing channel as a continuation of a nuclear scanning microprobe channel. The problem of the accuracy of positioning a probe by constructing a new high-frequency electrostatic scanning system has been solved. Special attention has been paid to designing the probe-forming system and its various configurations have been considered. The probe-forming system that best corresponds to the conditions of the lithographic process has been found based on solving the problem of optimizing proton beam formation. A system for controlling beam scanning using multifunctional module of integrated programmable logic systems has been developed.

  6. Time-resolved scanning Kerr microscopy of flux beam formation in hard disk write heads

    International Nuclear Information System (INIS)

    Valkass, Robert A. J.; Spicer, Timothy M.; Burgos Parra, Erick; Hicken, Robert J.; Bashir, Muhammad A.; Gubbins, Mark A.; Czoschke, Peter J.; Lopusnik, Radek

    2016-01-01

    To meet growing data storage needs, the density of data stored on hard disk drives must increase. In pursuit of this aim, the magnetodynamics of the hard disk write head must be characterized and understood, particularly the process of “flux beaming.” In this study, seven different configurations of perpendicular magnetic recording (PMR) write heads were imaged using time-resolved scanning Kerr microscopy, revealing their detailed dynamic magnetic state during the write process. It was found that the precise position and number of driving coils can significantly alter the formation of flux beams during the write process. These results are applicable to the design and understanding of current PMR and next-generation heat-assisted magnetic recording devices, as well as being relevant to other magnetic devices.

  7. E-beam direct write versus reticle/stepper technology for ASICS in small volume production

    International Nuclear Information System (INIS)

    Wheeler, M.J.

    1987-01-01

    The pros and cons of using e-beam direct writing or reticles plus optical/UV steppers in fast prototyping and the small volume production of ASICs are discussed. The main conclusion is that fast prototyping is best achieved by e-beam direct write whereas small volume production of ASICs is best done via reticles and optical/UV stepping provided that the reticles are made in-house rather than by commercial maskhouses

  8. Electron multi-beam technology for mask and wafer writing at 0.1nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-03-01

    An overview of electron beam tool configurations is provided. The adoption of multi-beam writing is mandatory in order to fulfill industrial needs for 11nm HP nodes and below. IMS Nanofabrication realized a 50keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1nm address grid and lithography performance capability. The new architecture will be introduced for mask writing at first, but has also the potential for 1xmask (master template) and direct wafer writing. The POC system achieves the predicted 5nm 1sigma blur across the 82μm x 82μm array of 512 x 512 (262,144) programmable 20nm beams. 24nm HP has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta) and 1st generation HVM mask writer tools in 2016. Implementing a multi-axis column configuration, 50x / 100x productivity enhancements are possible for direct 300mm / 450mm wafer writing.

  9. Fabrication of micro-prominences on PTFE surface using proton beam writing

    Energy Technology Data Exchange (ETDEWEB)

    Kitamura, Akane, E-mail: ogawa.akane@jaea.go.jp [Department of Advanced Radiation Technology, Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, 1233 Watanuki-Machi, Takasaki, Gunma 370-1292 (Japan); Satoh, Takahiro; Koka, Masashi [Department of Advanced Radiation Technology, Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, 1233 Watanuki-Machi, Takasaki, Gunma 370-1292 (Japan); Kobayashi, Tomohiro [Advanced Science Institute, RIKEN, 2-1 Hirosawa, Wako-shi, Saitama 350-0198 (Japan); Kamiya, Tomihiro [Department of Advanced Radiation Technology, Takasaki Advanced Radiation Research Institute, Japan Atomic Energy Agency, 1233 Watanuki-Machi, Takasaki, Gunma 370-1292 (Japan)

    2013-07-01

    Polytetrafluoroethylene (PTFE) is a typical fluoropolymer and it has several desirable technological properties such as electrical insulation, solid lubrication etc. However, the conventional microstructuring methods have not been well applied to PTFE due to its chemical inertness. Some effective micromachining using synchrotron radiation or ion beam irradiation has been reported. In this study, we create micro-prominences by raising the original surface using proton beam writing (PBW) without chemical etching. A conical prominence was formed by spiral drawing from the center with a 3 MeV proton beam. The body was porous, and the bulk PTFE below the prominence changed to fragmented structures. With decreasing writing speed, the prominence became taller but the height peaked. The prominence gradually reduced in size after the speed reached the optimum value. We expect that these porous projections with high aspect ratio will be versatile in medical fields and microelectromechanical systems (MEMS) technology.

  10. Si Micro-turbine by Proton BeamWriting and Porous Silicon Micromachining

    International Nuclear Information System (INIS)

    Rajta, I.; Szilasi, S.Z.; Fekete, Z.

    2008-01-01

    aspect ratio, completely or partially released microelements embedded in a cavity or a channel, thereby enabling us to form mobile components in the microfluidic MEMS. Although the process opens a new way in micromachining, the widening of the implanted regions around the projected range limits the dimensions and the geometry of the processed devices. The described technique can be exploited in fabrication of various MEMS with embedded mobile elements. This work is the first demonstration of a silicon device containing a moving part made by proton beam writing. Acknowledgements The support of the Hungarian National Research Found (OTKA) via grants T047002, A080, M041939, M36324 and F042474; and EU co-funded Economic Competitiveness Operative Programme (GVOP-3.2.1.-2004-04-0402/3.0) is gratefully acknowledged. The authors also thank the contribution of Dr. A.L. Toth with SEM analysis and Mr. B. Forgacs with design and fabrication of plastic encapsulation of the microturbine chip

  11. Long-period fibre grating writing with a slit-apertured femtosecond laser beam (λ = 1026 nm)

    International Nuclear Information System (INIS)

    Dostovalov, A V; Wolf, A A; Babin, S A

    2015-01-01

    We report on long-period grating (LPG) writing in a standard telecom fibre, SMF-28e+, via refractive index modification by femtosecond pulses. A method is proposed for grating writing with a slit-apertured beam, which enables one to produce LPGs with reduced background losses and a resonance peak markedly stronger than that in the case of grating writing with a Gaussian beam. The method can be used to fabricate LPGs for use as spectral filters of fibre lasers and sensing elements of sensor systems. (fibre and integrated-optical structures)

  12. Proton beam writing for producing holographic images

    International Nuclear Information System (INIS)

    Ow, Y.S.; Breese, M.B.H.; Bettiol, A.A.

    2009-01-01

    This work reports on the writing of computer generated hologram diffraction patterns using focused 2 MeV proton beam irradiation. These patterns were designed using a ray tracing algorithm and written directly into a thick polymethylmethacrylate layer. When the developed holographic pattern was illuminated with a 650 nm laser it produced a good reconstructed image. This work provides means of forming high-resolution, high aspect ratio holographic images in polymers for applications in data storage using switchable holography.

  13. IMPROVING STUDENTS’ WRITING ABILITY THROUGH CUE CARDS TECHNIQUE

    Directory of Open Access Journals (Sweden)

    Fenny Thresia

    2017-05-01

    Full Text Available This research is a qualitative research with two variables. The classroom action research is conducted at phisics study program of Muhammadiyah University of Metro. The subject of this research is first semester students of physics study program. The number of the students is 22. The objective of this research was to know how cue cards technique improve the students’ writing ability in descriptive text. The researcher choose one class because they have problem in writing ability. This research was conducted in two cycles. Each cycle consisted of planning, acting, observing and reflecting. The data were collected from test (pre test and post test, observation. The result of this research can be seen from the average score of pre test (before treatment was 61,86, in cycle 1 was 70,90 and in post test cycle 2 was 78. That was involved in upgrading maximally. It implied that cue cards technique can improve the students writing ability in descriptive text. In other words, the class had fulfilled criteria success of standard students competency from the school.It was supported by the observation of the students. From the result of the discussion, the researcher concludes that in this research there is an improvement student writing ability in descriptive text using cue cards technique at the students of physics academic year 2015/2016. Key Words : Descriptive Text, Writing Ability, Cue Cards Technique

  14. THE EFFECT OF CLUSTERING TECHNIQUE ON WRITING EXPOSITORY ESSAYS OF EFL STUDENTS

    Directory of Open Access Journals (Sweden)

    Sabarun Sabarun

    2013-03-01

    Full Text Available The study is aimed at investigating the effectiveness of using clustering technique in writing expository essays. The aim of the study is to prove whether there is a significant difference between writing using clustering technique and writing without using it on the students’ writing achievement or not. The study belonged to experimental study by applying counterbalance procedure to collect the data. The study was conducted at the fourth semester English department students of Palangka Raya State Islamic College of 2012/ 2013 academic year. The number of the sample was 13 students. This study was restricted to two focuses: using clustering technique and without using clustering technique to write composition. Using clustering technique to write essay was one of the pre writing strategies in writing process. To answer the research problem, the t test for correlated samples was applied. The research findings showed that,it was found that the t value was 10.554.It was also found that the df (Degree of freedom of the distribution observed was 13-1= 12.  Based on the Table of t value, if df was 12, the 5% of significant level of t value was at 1.782 and the 1% of significant level of t value was at 2.179. It meant that using clustering gave facilitative effect on the students’ essay writing performance. Keywords: reading comprehension, text, scaffolding

  15. Beam Techniques - Beam Control and Manipulation

    International Nuclear Information System (INIS)

    Minty, Michiko G

    2003-01-01

    We describe commonly used strategies for the control of charged particle beams and the manipulation of their properties. Emphasis is placed on relativistic beams in linear accelerators and storage rings. After a brief review of linear optics, we discuss basic and advanced beam control techniques, such as transverse and longitudinal lattice diagnostics, matching, orbit correction and steering, beam-based alignment, and linac emittance preservation. A variety of methods for the manipulation of particle beam properties are also presented, for instance, bunch length and energy compression, bunch rotation, changes to the damping partition number, and beam collimation. The different procedures are illustrated by examples from various accelerators. Special topics include injection and extraction methods, beam cooling, spin transport and polarization

  16. Beam Techniques - Beam Control and Manipulation

    Energy Technology Data Exchange (ETDEWEB)

    Minty, Michiko G

    2003-04-24

    We describe commonly used strategies for the control of charged particle beams and the manipulation of their properties. Emphasis is placed on relativistic beams in linear accelerators and storage rings. After a brief review of linear optics, we discuss basic and advanced beam control techniques, such as transverse and longitudinal lattice diagnostics, matching, orbit correction and steering, beam-based alignment, and linac emittance preservation. A variety of methods for the manipulation of particle beam properties are also presented, for instance, bunch length and energy compression, bunch rotation, changes to the damping partition number, and beam collimation. The different procedures are illustrated by examples from various accelerators. Special topics include injection and extraction methods, beam cooling, spin transport and polarization.

  17. Proposing a Wiki-Based Technique for Collaborative Essay Writing

    Directory of Open Access Journals (Sweden)

    Mabel Ortiz Navarrete

    2014-10-01

    Full Text Available This paper aims at proposing a technique for students learning English as a foreign language when they collaboratively write an argumentative essay in a wiki environment. A wiki environment and collaborative work play an important role within the academic writing task. Nevertheless, an appropriate and systematic work assignment is required in order to make use of both. In this paper the proposed technique when writing a collaborative essay mainly attempts to provide the most effective way to enhance equal participation among group members by taking as a base computer mediated collaboration. Within this context, the students’ role is clearly defined and individual and collaborative tasks are explained.

  18. Journal Writing as a Teaching Technique to Promote Reflection

    Science.gov (United States)

    Walker, Stacy E

    2006-01-01

    Objective: To introduce the process of journal writing to promote reflection and discuss the techniques and strategies to implement journal writing in an athletic training education curriculum. Background: Journal writing can facilitate reflection and allow students to express feelings regarding their educational experiences. The format of this writing can vary depending on the students' needs and the instructor's goals. Description: Aspects of journal writing assignments are discussed, including different points to take into account before assigning the journals. Lastly, various factors to contemplate are presented when providing feedback to the students regarding their written entries. Clinical Advantages: Journal writing assignments can benefit students by enhancing reflection, facilitating critical thought, expressing feelings, and writing focused arguments. Journal writing can be adapted into a student's clinical course to assist with bridging the gap between classroom and clinical knowledge. In addition, journals can assist athletic training students with exploring different options for handling daily experiences. PMID:16791310

  19. Proton beam writing on PMMA and SU-8 films as a tool for development of micro-structures for organic electronics

    Energy Technology Data Exchange (ETDEWEB)

    Sarkar, Mihir, E-mail: mihirs@iitk.ac.in [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Shukla, Neeraj; Banerji, Nobin [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Mohapatra, Y.N. [Department of Physics, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Materials Science Programme, Indian Institute of Technology Kanpur, Kanpur 208016 (India); Samtel Center for Display Technologies, Indian Institute of Technology Kanpur, Kanpur 208016 (India)

    2012-02-15

    Proton beam writing is a maskless lithographic technique for the fabrication of 3D micro and nano structures in polymers. The fabricated structures find application in micro fluidics, optics, biosensors, etc. We use proton beam writing for micro-patterning in polymers which will facilitate fabrication of test structures for micro-components of micro-fluidic devices, organic thin film transistors (OTFT) and organic light emitting diodes (OLED). In this paper we report fabrication of varying width micro channels in PMMA and SU-8 films used as positive and negative resists respectively. The patterns were written using 2 MeV proton beam focused down to around 1 micron. We have achieved clean periodic micro-channels of width varying from few micrometers to wider ones in both the resists. Being a mask less lithography it provides an efficient way of reducing turnaround time for test structures with several channel widths and patterns being conveniently written at the same development cycle. Possible applications of the patterned structures in OLED/TFT are discussed. Additional structures like checkered board are also fabricated. Optimized fluence for both the resist has been determined.

  20. Advanced electron beam techniques

    International Nuclear Information System (INIS)

    Hirotsu, Yoshihiko; Yoshida, Yoichi

    2007-01-01

    After 100 years from the time of discovery of electron, we now have many applications of electron beam in science and technology. In this report, we review two important applications of electron beam: electron microscopy and pulsed-electron beam. Advanced electron microscopy techniques to investigate atomic and electronic structures, and pulsed-electron beam for investigating time-resolved structural change are described. (author)

  1. Design of titania nanotube structures by focused laser beam direct writing

    International Nuclear Information System (INIS)

    Enachi, Mihai; Stevens-Kalceff, Marion A.; Sarua, Andrei; Ursaki, Veaceslav; Tiginyanu, Ion

    2013-01-01

    In this work, we report on electrochemical fabrication of titania films consisting of nanotubes (NTs) and their treatment by focused laser beam. The results of sample characterization by optical and scanning electron microscopy, cathodoluminescence imaging, and Raman scattering scanning spectroscopy are compared to those inherent to specimens subjected to thermal treatment in a furnace. The obtained data demonstrate possibilities for controlling crystallographic structure of TiO 2 NTs by focused laser beam direct writing. These findings open new prospects for the design and fabrication of spatial architectures based on titania nanotubes

  2. YBa2Cu3O7 nanobridges fabricated by direct-write electron beam lithography

    International Nuclear Information System (INIS)

    Wendt, J.R.; Martens, J.S.; Ashby, C.I.H.; Plut, T.A.; Hietala, V.M.; Tigges, C.P.; Ginley, D.S.; Siegal, M.P.; Phillips, J.M.; Hohenwarter, G.K.G.

    1992-01-01

    A direct method for nondamaging, nanometer-scale patterning of high T c superconductor thin films is presented. We have fabricated superconducting nanobridges in high-quality, epitaxial thin-film YBa 2 Cu 3 O 7 (YBCO) by combining direct-write electron beam lithography and an improved aqueous etchant. Weak links with both length and width dimensions less than 20 nm have exhibited critical currents at 77 K of 4--20 μA and I cRn products of 10--100 μV which compare favorably with results for other YBCO junction technologies. We have used this technique in the fabrication of a shock-wave pulse former as an initial demonstration of its applicability to monolithic superconductive electronics

  3. IMPLEMENTING CONCEPT MAPPING TECHNIQUE TO IMPROVE STUDENTS’ DESCRIPTIVE WRITING ABILITY

    Directory of Open Access Journals (Sweden)

    Rubiyah Rubiyah Rubiyah

    2018-04-01

    Full Text Available This Classroom Action Research aimed at investigating the implementation of Concept Mapping Technique to improve students’ descriptive writing ability. The research was conducted in two cycles at SMP Negeri 21 Samarinda, with 37 seventh grade students participating. In this research, there was one teacher- researcher who implemented the technique and there were two collaborators who did the observation. The data were collected both quantitatively and qualitatively by using writing assessment, interview guide, observation sheets and field notes. The findings showed that: 1 there was an improvement in the students’ descriptive writing average scores at the end of the second research cycle; 2 the implementation of the concept mapping technique was done properly by the teacher- researcher; 3 the concept mapping technique delivered through collaborative working improved the participation of the students in the teaching and learning process. Since all the criteria of success in this research were fulfilled by the end of the second cycle, it could be concluded that this classroom action research was successfully implemented and the technique proposed could very well improve the skills being targeted.

  4. Techniques of surface optical breakdown prevention for low-depths femtosecond waveguides writing

    International Nuclear Information System (INIS)

    Bukharin, M A; Skryabin, N N; Ganin, D V; Khudyakov, D V; Vartapetov, S.K.

    2016-01-01

    We demonstrated technique of direct femtosecond waveguide writing at record low depth (2-15 μm) under surface of lithium niobate, that play a key role in design of electrooptical modulators with low operating voltage. To prevent optical breakdown of crystal surface we used high numerical aperture objectives for focusing of light and non-thermal regime of inscription in contrast to widespread femtosecond writing technique at depths of tens micrometers or higher. Surface optical breakdown threshold was measured for both x- and z- cut crystals. Inscribed waveguides were examined for intrinsic microstructure. It also reported sharp narrowing of operating pulses energy range with writing depth under the surface of crystal, that should be taken in account when near-surface waveguides design. Novelty of the results consists in reduction of inscription depth under the surface of crystals that broadens applications of direct femtosecond writing technique to full formation of near-surface waveguides and postproduction precise geometry correction of near-surfaces optical integrated circuits produced with proton-exchanged technique. (paper)

  5. Resist materials for proton beam writing: A review

    Energy Technology Data Exchange (ETDEWEB)

    Kan, J.A. van, E-mail: phyjavk@nus.edu.sg [Centre for Ion Beam Applications, Physics Department, 2 Science Drive 3, National University of Singapore, 117542 Singapore (Singapore); Malar, P. [Research Institute, SRM University, Kattankulathur, Chennai 603203 (India); Wang, Y.H. [Centre for Ion Beam Applications, Physics Department, 2 Science Drive 3, National University of Singapore, 117542 Singapore (Singapore)

    2014-08-15

    Highlights: • PBW can now achieve 19 nm details in HSQ and 65 nm in PMMA. • A complete table of resist materials for PBW has been presented, including minimum feature size, achievable aspect ratio, suitability for electroplating and where available contrast of the resist. • PBW fabricated molds can now be used for single DNA molecule detection, single DNA manipulation and large scale Genome mapping. - Abstract: Proton beam writing (PBW) is a lithographic technique that has been developed since the mid 1990s, initially in Singapore followed by several groups around the world. MeV protons while penetrating materials will maintain a practically straight path. During the continued slowing down of a proton in material it will mainly interact with substrate electrons and transfer a small amount of energy to each electron, the induced secondary electrons will modify the molecular structure of resist within a few nanometers around the proton track. The recent demonstration of high aspect ratio sub 20 nm lithography in HSQ shows the potential of PBW. To explore the full capabilities of PBW, the understanding of the interaction of fast protons with different resist materials is important. Here we give an update of the growing number of resist materials that have been evaluated for PBW. In particular we evaluate the exposure and development strategies for the most promising resist materials like PMMA, HSQ, SU-8 and AR-P and compare their characteristics with respect to properties such as contrast and sensitivity. Besides an updated literature survey we also present new findings on AR-P and PMGI resists. Since PBW is a direct write technology it is important to look for fast ways to replicate micro and nanostructures. In this respect we will discuss the suitability and performance of several resists for Ni electroplating for mold fabrication in nano imprint technologies. We will summarize with an overview of proton resist characteristics like sensitivity, contrast

  6. Resist materials for proton beam writing: A review

    International Nuclear Information System (INIS)

    Kan, J.A. van; Malar, P.; Wang, Y.H.

    2014-01-01

    Highlights: • PBW can now achieve 19 nm details in HSQ and 65 nm in PMMA. • A complete table of resist materials for PBW has been presented, including minimum feature size, achievable aspect ratio, suitability for electroplating and where available contrast of the resist. • PBW fabricated molds can now be used for single DNA molecule detection, single DNA manipulation and large scale Genome mapping. - Abstract: Proton beam writing (PBW) is a lithographic technique that has been developed since the mid 1990s, initially in Singapore followed by several groups around the world. MeV protons while penetrating materials will maintain a practically straight path. During the continued slowing down of a proton in material it will mainly interact with substrate electrons and transfer a small amount of energy to each electron, the induced secondary electrons will modify the molecular structure of resist within a few nanometers around the proton track. The recent demonstration of high aspect ratio sub 20 nm lithography in HSQ shows the potential of PBW. To explore the full capabilities of PBW, the understanding of the interaction of fast protons with different resist materials is important. Here we give an update of the growing number of resist materials that have been evaluated for PBW. In particular we evaluate the exposure and development strategies for the most promising resist materials like PMMA, HSQ, SU-8 and AR-P and compare their characteristics with respect to properties such as contrast and sensitivity. Besides an updated literature survey we also present new findings on AR-P and PMGI resists. Since PBW is a direct write technology it is important to look for fast ways to replicate micro and nanostructures. In this respect we will discuss the suitability and performance of several resists for Ni electroplating for mold fabrication in nano imprint technologies. We will summarize with an overview of proton resist characteristics like sensitivity, contrast

  7. Multiple beam mask writers: an industry solution to the write time crisis

    Science.gov (United States)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  8. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  9. Electron beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. 5 figs

  10. Writing on ultra thin uniaxially oriented polymer films with an electron beam

    International Nuclear Information System (INIS)

    Petermann, J.; Wenderoth, K.

    1990-01-01

    Information storage polymers have been described and used for many years. When using an electron beam to store information, chemical changes in the macromolecules via local radiation damage is utilized to print the information into the polymer. This letter reports the writing of optically detectable information into birefringent polymer films. The method is based on the fact that preferred orientation of the macromolecules can be destroyed by electron radiation damage. The damage is produced by an electron beam in a transmission electron microscope. The resulting information is observed optically in a polarizing microscope. The polymer films used in the present study were polybutene 1 (PB 1), polyethylene (PE) and polyvinyl-idenfluoride (PVDF). (author)

  11. The Effect of the Peer-Review Technique on Students’ Writing Ability

    Directory of Open Access Journals (Sweden)

    Martin Kustati

    2014-10-01

    Full Text Available The  aim of this  study  was  to investigate  if  there could be a  significant positive effect by using Peer-Review Technique (PRT on students‟ ability in writing  English. An experimental research  method  was used in this study. A writing test based on the indicators of Jacobs et al. (1981 was completed by 65  undergraduate  students  in  English  from  the  English Department  at  the Faculty  of Education and Teacher Training in the  State Institute for Islamic Studies “Imam Bonjol‟  at  Padang.  The students  were divided into two groups:  an  experimental group (n=33 and  a  control group (n=32. Both groups  were similar in terms of academic level,  the  given writing task and their  target language  [English]   proficiency. The experimental participants were introduced to  Peer-Review  Technique in  essay writing sessions whilst the control group participants were taught through the teacher’s traditional feedback (TTF whereby  students worked individually to produce their texts. The findings  showed that PRT gave  a  significant  improvement  effect on  the students‟ writing abilities. This study is expected to serve as (1  data  for further  developing PRT; (2 input for  lecturers  in  writing  to  develop more effective and innovative learning; and (3  additional  material  for  the development of critical and cooperative learning theories in teaching writing.

  12. Harmonizing the Writing Process with Music Training Techniques

    Science.gov (United States)

    Riecken, Nancy

    2009-01-01

    Can music help students become better thinkers and writers? Over the past three years, the author has incorporated some basic music training techniques in her classrooms to help her teach the writing process to students who would otherwise click her off. The students have developed clearer thinking and organizational skills, and have increased…

  13. Pre-writing Techniques In The Writing Process For The L2 Classroom

    OpenAIRE

    Gülşah Geyimci

    2014-01-01

    This study investigated pre-writing techniques in the learning process to improve written communication skills of learners using qualitative research methods. This study was performed in a public school, Suphi Öner Primary School in Turkey, in Mersin. Students were seventh grade class that their level was pre-intermediate. This class was made up of twenty students. It took three weeks, the students' samples, drawings and blogs were documented by the students. In order to examine the results, ...

  14. Bragg grating writing in PMMA microstructured polymer optical fibers in less than 7 minutes

    DEFF Research Database (Denmark)

    Bundalo, Ivan-Lazar; Nielsen, Kristian; Markos, Christos

    2014-01-01

    We demonstrate fiber Bragg grating (FBG) writing in PMMA microstructured Polymer Optical Fibers (mPOFs) using UV Phase Mask technique with writing times shorter than 10 min. The shortest writing time was 6 minutes and 50 seconds and the longest writing time was 8 min and 50 sec. The FBGs were...... written in a 125 x00B5;m PMMA mPOF having 3-rings of holes, the reflection peaks were centred at 632.6 nm and have a reflectivity as high as 26 dB. We also demonstrate how the writing dynamics depends on the intensity of the writing beam....

  15. Effect of beam condition in variable-shaped electron-beam direct writing for 0.25 μm and below

    International Nuclear Information System (INIS)

    Hirasawa, S.; Nakajima, K.; Tamura, T.; Aizaki, N.

    1993-01-01

    The effect of incident electron-beam conditions, which are acceleration voltage and beam blur of variable-shaped electron-beam direct writing, is investigated using the deposited energy distribution to realize a fine pattern of ≤0.25 μm in trilayer resist process. The deposited energy distribution is calculated using a three-dimensional Monte Carlo method. In a trilayer resist system, a thin bottom resist layer can be used, because the contrast value derived from the Monte Carlo calculation is independent of the bottom layer thickness. The beam blur of 0.05 μm does not degrade 0.25 μm line-and-space (L/S) patterns, but seriously degrades 0.1 μm L/S patterns. Higher acceleration voltage is effective for improving the contrast. At lower acceleration voltage, the slope of the deposited energy profile defined at the resist bottom is mainly influenced by electron scattering. On the other hand, at higher acceleration voltage, the slope of deposited energy profile mainly depends on the beam blur. The 0.1 μm L/S patterns are expected to be resolved at 30 kV when there is less than 0.02 μm beam blur with trilayer resist system. The possibility of using a single layer resist process for 0.1 μm L/S pattern will be barely realized at the conditions of 50 kV and 0.02 μm beam blur

  16. Polymeric flat focal field arrayed waveguide grating using electron-beam direct writing

    Science.gov (United States)

    Lu, Si; Yan, Yingbai; Jin, Guofan; Wong, W. H.; Pun, E. Y. B.

    2004-06-01

    A four-channel 400-GHz spacing flat focal field arrayed waveguide grating (AWG) demultiplexer is designed based on polymeric optical waveguide. The waveguide core-layer material is a newly developed negative tone epoxy Novolak resin (ENR) polymer with ultravoilet (UV) cured resin Norland optical adhesive 61 (NOA61) as the cladding layer. The device is fabricated using electron-beam direct writing, which has less processing steps than the reported polymeric AWGs. The experimental result is presented.

  17. 3-dimensional free standing micro-structures by proton beam writing of Su 8-silver nanoParticle polymeric composite

    Science.gov (United States)

    Igbenehi, H.; Jiguet, S.

    2012-09-01

    Proton beam lithography a maskless direct-write lithographic technique (well suited for producing 3-Dimensional microstructures in a range of resist and semiconductor materials) is demonstrated as an effective tool in the creation of electrically conductive freestanding micro-structures in an Su 8 + Nano Silver polymer composite. The structures produced show non-ohmic conductivity and fit the percolation theory conduction model of tunneling of separated nanoparticles. Measurements show threshold switching and a change in conductivity of at least 4 orders of magnitude. The predictable range of protons in materials at a given energy is exploited in the creation of high aspect ratio, free standing micro-structures, made from a commercially available SU8 Silver nano-composite (GMC3060 form Gersteltec Inc. a negative tone photo-epoxy with added metallic nano-particles(Silver)) to create films with enhanced electrical properties when exposed and cured. Nano-composite films are directly written on with a finely focused MeV accelerated Proton particle beam. The energy loss of the incident proton beams in the target polymer nano- composite film is concentrated at the end of its range, where damage occurs; changing the chemistry of the nano-composite film via an acid initiated polymerization - creating conduction paths. Changing the energy of the incident beams provide exposed regions with different penetration and damage depth - exploited in the demonstrated cantilever microstructure.

  18. Teaching Techniques: Audiovisual Feedback in EFL/ESL Writing Classes

    Science.gov (United States)

    Woodard, William J.

    2016-01-01

    In this article, William J. Woodard, an English teacher preparing students for university-level work in academic English, describes a more effective student feedback technique that decreases the amount of time spent writing such feedback. Woodard explains his discovery of the tool "Jing." Jing is a free application for PCs and Macs by…

  19. Techniques for motivating students to write, for teaching writing and for systematizing writing assessment

    OpenAIRE

    Küçükal, Şerife

    1990-01-01

    Ankara : Faculty of Letters and the Institute of Economics and Social Science of Bilkent Univ., 1990. Thesis (Master's) -- Bilkent University, 1990. Includes bibliographical references. The purpose of this study is to investigate the suggestions that experts in the field of teaching composition have for motivating students to write, teaching writing and assessing writing and the ways that these suggestions could be used in Turkish EFL Hazirlik classes for elementary level students. ...

  20. Dynamic exposure model analysis of continuous laser direct writing in Polar-coordinate

    Science.gov (United States)

    Zhang, Shan; Lv, Yingjun; Mao, Wenjie

    2018-01-01

    In order to exactly predict the continuous laser direct writing quality in Polar-coordinate, we take into consideration the effect of the photoresist absorbing beam energy, the Gaussian attribute of the writing beam and the dynamic exposure process, and establish a dynamic exposure model to describe the influence of the tangential velocity of the normal incident facular center and laser power on the line width and sidewall angle. Numerical simulation results indicate that while writing velocity remains unchanged, the line width and sidewall angle are all increased as the laser power increases; while laser power remains unchanged, the line width and sidewall angle are all decreased as the writing velocity increases; at the same time the line profile in the exposure section is asymmetry and the center of the line has tiny excursion toward the Polar-coordinate origin compared with the facular center. Then it is necessary to choose the right writing velocity and laser power to obtain the ideal line profile. The model makes up the shortcomings of traditional models that can only predict line width or estimate the profile of the writing line in the absence of photoresist absorption, and can be considered as an effect analysis method for optimizing the parameters of fabrication technique of laser direct writing.

  1. Ion beam techniques in arts and archaeology

    International Nuclear Information System (INIS)

    Qin Guangyong; Pan Xianjia; Sun Zhongtian; Gao Zhengyao

    1991-01-01

    The ion beam techniques used in studies of arts and archaeology are compared with other analytical techniques. Some examples are specially selected to illustrate the achievements and trends of the techniques in this field

  2. The Impact of Observer Ring Technique on the Writing Achievement: A case of Iranian EFL Learners

    Directory of Open Access Journals (Sweden)

    Jahanbakhsh Nikoopour

    2010-11-01

    Full Text Available This study was conducted to probe the effect of the observer ring technique on the participants’ writing achievement. In so doing, the researchers utilized a quasi-experimental design with 20 participants in the control group and 18 in the experimental group. Instrumentation included a writing handout, an Oxford Placement Test (standard proficiency test, and a rating scale of writing. As a treatment, the participants in the experimental group were asked to write at home and bring their papers to the class to be corrected by their classmates and the teacher was the supervisor. In the control group, the papers were corrected by the teacher. The results of the pre-test indicated that the participants of the two groups were homogeneous with regard to proficiency level as well as their writing ability. The results of the post-test revealed that the writing ability of the participants in the experimental group had improved during the study. Moreover, the observer ring technique had a positive and significant effect on the participants' writing ability. The findings may promise implications for incorporating this new interactive feedback model into EFL writing classes.

  3. Experience with Kicker Beam Coupling Reduction Techniques

    CERN Document Server

    Gaxiola, Enrique; Caspers, Friedhelm; Ducimetière, Laurent; Kroyer, Tom

    2005-01-01

    SPS beam impedance is still one of the worries for operation with nominal LHC beam over longer periods, once the final configuration will be installed in 2006. Several CERN SPS kickers suffer from significant beam induced ferrite heating. In specific cases, for instance beam scrubbing, the temperature of certain ferrite yokes went beyond the Curie point. Several retrofit impedance reduction techniques have been investigated theoretically and with practical tests. We report on experience gained during the 2004 SPS operation with resistively coated ceramic inserts in terms of kicker heating, pulse rise time, operating voltage, and vacuum behaviour. For another technique using interleaved metallic stripes we observed significant improvements in bench measurements. Advantages and drawbacks of both methods and potential combinations of them are discussed and simulation as well as measured data are shown. Prospects for further improvements beyond 2006 are briefly outlined.

  4. The Conductive Silver Nanowires Fabricated by Two-beam Laser Direct Writing on the Flexible Sheet

    Science.gov (United States)

    He, Gui-Cang; Zheng, Mei-Ling; Dong, Xian-Zi; Jin, Feng; Liu, Jie; Duan, Xuan-Ming; Zhao, Zhen-Sheng

    2017-02-01

    Flexible electrically conductive nanowires are now a key component in the fields of flexible devices. The achievement of metal nanowire with good flexibility, conductivity, compact and smooth morphology is recognized as one critical milestone for the flexible devices. In this study, a two-beam laser direct writing system is designed to fabricate AgNW on PET sheet. The minimum width of the AgNW fabricated by this method is 187 ± 34 nm with the height of 84 ± 4 nm. We have investigated the electrical resistance under different voltages and the applicable voltage per meter range is determined to be less than 7.5 × 103 V/m for the fabricated AgNW. The flexibility of the AgNW is very excellent, since the resistance only increases 6.63% even after the stretched bending of 2000 times at such a small bending radius of 1.0 mm. The proposed two-beam laser direct writing is an efficient method to fabricate AgNW on the flexible sheet, which could be applied in flexible micro/nano devices.

  5. Effect of electron beam irradiation on forensic evidence. 2. Analysis of writing inks on porous surfaces.

    Science.gov (United States)

    Ramotowski, Robert S; Regen, Erin M

    2007-05-01

    The effect of electron beam irradiation on a series of different writing inks is described. As the anthrax-tainted letters were discovered in October 2001, the U.S. government began to experiment with the use of the electron beam irradiation process for destroying such biological agents. Plans initially considered a large-scale countrywide use of this technology. However, over time the scope of this plan as well as the radiation dosage were reduced, especially when some adverse consequences to mailed items subjected to this process were observed. Little data existed at the time to characterize what level of damage might be expected to occur with common items sent through the mail. This was especially important to museums and other institutions that routinely ship valuable and historic items through the mail. Although the Smithsonian Institution initiated some studies of the effect of electron beam irradiation on archived materials, little data existed on the effect that this process would have on forensic evidence. Approximately 97 different black, blue, red, green, and yellow writing inks were selected. Writing ink types included ballpoint, gel, plastic/felt tip, and rollerball. All noncontrol samples were subjected to standard mail irradiation conditions used by the U.S. Postal Service at the time this experiment was performed. A video spectral comparator and thin-layer chromatography (TLC) analysis were used to evaluate both the control and the irradiated samples. Some published studies reported changes in the presence/absence of dye bands in the chromatograms of irradiated writing inks. Some of these studies report the formation of additional dye bands on the chromatogram while others report missing dye bands. However, using standard testing guidelines and procedures, none of the 97 irradiated inks tested were found to show any significant optical or chemical differences from the control samples. In addition, random testing of some of the ink samples using a

  6. The Effect of Roundtable and Clustering Teaching Techniques and Students’ Personal Traits on Students’ Achievement in Descriptive Writing

    Directory of Open Access Journals (Sweden)

    Megawati Sinaga

    2017-12-01

    Full Text Available The Objectives of this paper as an experimental research was to investigate the effect of Roundtable and Clustering teaching techniques and students’ personal traits on students’ achievement in descriptive writing. The students in grade ix of SMP Negeri 2 Pancurbatu 2016/2017 school academic year were chose as the population of this research.. The research design was experimental research by using factorial design 2x2. The students were divided into two experimental groups. The experimental group was treated by using Roundtable teaching technique and control group was treated by using Clustering teaching technique. The students are classified into the introvert and extrovert personal traits by conducting the questionnaire and the students’ achievement in descriptive writing was measured by using writing test, namely ‘Analytic Scoring’ by Weigle. The data were analyzed by applying two-way analysis of variance (ANOVA at the level of significance α = 0.05. The result reveals that (1 students’ achievement in descriptive writing taught by using  Roundtable teaching technique was higher than that taught by Clustering teaching technique, with Fobs = 4.59>Ftab=3.97, (2 students’ achievement in descriptive writing with introvert  personal trait was higher than that with extrovert personal traits with Fobs=4.90 Ftable=3.97, (3 there is interaction between teaching techniques and personal traits on students’ achievement in descriptive writing with Fobs =6,58 Ftable=3.97. After computing the Tuckey-Test, the result showed that introvert students got higher achievement if they were taught by using Roundtable teaching technique while extrovert students got higher achievement if they were taught by using Clustering teaching technique.

  7. Avant-garde femtosecond laser writing

    OpenAIRE

    Kazansky, Peter G.; Beresna, Martynas; Shimotsuma, Yasuhiko; Hirao, Kazuyuki; Svirko, Yuri P.; Aktürk, Selcuk

    2010-01-01

    Recently discovered phenomena of quill and non-reciprocal femtosecond laser writing in glasses and crystals are reviewed. Common beliefs that laser writing does not change when reversing beam scan or propagation direction are challenged.

  8. Direct femtosecond laser writing of buried infrared waveguides in chalcogenide glasses

    Science.gov (United States)

    Le Coq, D.; Bychkov, E.; Masselin, P.

    2016-02-01

    Direct laser writing technique is now widely used in particular in glass, to produce both passive and active photonic devices. This technique offers a real scientific opportunity to generate three-dimensional optical components and since chalcogenide glasses possess transparency properties from the visible up to mid-infrared range, they are of great interest. Moreover, they also have high optical non-linearity and high photo-sensitivity that make easy the inscription of refractive index modification. The understanding of the fundamental and physical processes induced by the laser pulses is the key to well-control the laser writing and consequently to realize integrated photonic devices. In this paper, we will focus on two different ways allowing infrared buried waveguide to be obtained. The first part will be devoted to a very original writing process based on a helical translation of the sample through the laser beam. In the second part, we will report on another original method based on both a filamentation phenomenon and a point by point technique. Finally, we will demonstrate that these two writing techniques are suitable for the design of single mode waveguide for wavelength ranging from the visible up to the infrared but also to fabricate optical components.

  9. Characterization of channel waveguides and tunable microlasers in SU8 doped with rhodamine B fabricated using proton beam writing

    International Nuclear Information System (INIS)

    Rao, S Venugopal; Bettiol, A A; Watt, F

    2008-01-01

    We present our results on the fabrication and characterization of buried channel waveguides and tunable microlasers in SU8 doped with rhodamine B achieved using direct writing with a 2.0 MeV proton beam. The channel waveguides, fabricated in single exposure, had an optical propagation loss of -1 at 532 nm measured using the scattering technique while the microlasers with dimensions of 250 x 250 μm 2 had a threshold of ∼150 μJ mm -2 when pumped with 532 nm nanosecond pulses. The emitted wavelength from the microlasers was tunable to an extent of ∼15 nm with increasing pump intensity and different pumping angles. The advantages of such micro-photonic components for the realization of a lab-on-a-chip device are discussed briefly. (fast track communication)

  10. Electron Beam Polarization Measurement Using Touschek Lifetime Technique

    Energy Technology Data Exchange (ETDEWEB)

    Sun, Changchun; /Duke U., DFELL; Li, Jingyi; /Duke U., DFELL; Mikhailov, Stepan; /Duke U., DFELL; Popov, Victor; /Duke U., DFELL; Wu, Wenzhong; /Duke U., DFELL; Wu, Ying; /Duke U., DFELL; Chao, Alex; /SLAC; Xu, Hong-liang; /Hefei, NSRL; Zhang, Jian-feng; /Hefei, NSRL

    2012-08-24

    Electron beam loss due to intra-beam scattering, the Touschek effect, in a storage ring depends on the electron beam polarization. The polarization of an electron beam can be determined from the difference in the Touschek lifetime compared with an unpolarized beam. In this paper, we report on a systematic experimental procedure recently developed at Duke FEL laboratory to study the radiative polarization of a stored electron beam. Using this technique, we have successfully observed the radiative polarization build-up of an electron beam in the Duke storage ring, and determined the equilibrium degree of polarization and the time constant of the polarization build-up process.

  11. Study of device mass production capability of the character projection based electron beam direct writing process technology toward 14 nm node and beyond

    Science.gov (United States)

    Kojima, Yoshinori; Takahashi, Yasushi; Takakuwa, Masaki; Ohshio, Shuzo; Sugatani, Shinji; Tujimura, Ryo; Takita, Hiroshi; Ogino, Kozo; Hoshino, Hiromi; Ito, Yoshio; Miyajima, Masaaki; Kon, Jun-ichi

    2012-03-01

    Techniques to appropriately control the key factors for a character projection (CP) based electron beam direct writing (EBDW) technology for mass production are shown and discussed. In order to achieve accurate CD control, the CP technique using the master CP is adopted. Another CP technique, the Packed CP, is used to obtain suitable shot count. For the alignment on the some critical layers which have the normally an even surface, the alignment methodology differ from photolithography is required. The process that etches the SiO2 material in the shallow trench isolation is added and then the alignment marks can be detected using electron beam even at the gate layer, which is normally on an even surface. The proximity effect correction using the simplified electron energy flux model and the hybrid exposure are used to obtain enough process margins. As a result, the sufficient CD accuracy, overlay accuracy, and yield are obtained on the 65 nm node device. The condition in our system is checked using self-diagnosis on a regular basis, and scheduled maintenances have been properly performed. Due to the proper system control, more than 10,000 production wafers have been successfully exposed so far without any major system downtime. It is shown that those techniques can be adapted to the 32 nm node production with slight modifications. For the 14 nm node and beyond, however, the drastic increment of the shot count becomes more of a concern. The Multi column cell (MCC) exposure method, the key concept of which is the parallelization of the electron beam columns with a CP, can overcome this concern. It is expected that by using the MCC exposure system, those techniques will be applicable to the rapid establishment for the 14 nm node technology.

  12. The art of femtosecond laser writing

    OpenAIRE

    Kazansky, Peter G.; Yang, Weijia; Shimotsuma, Yasuhiko; Hirao, Kazuyuki; Arai, Alan; Svirko, Yuri P.

    2009-01-01

    Common beliefs that laser writing does not change when reversing beam scan or propagation direction are challenged. Recently discovered phenomena of quill and non-reciprocal femtosecond laser writing in glasses and crystals are reviewed

  13. Direct writing of microtunnels using proton beam micromachining

    International Nuclear Information System (INIS)

    Marot, Laurent; Munnik, Frans; Mikhailov, Serguei

    2006-01-01

    The production of high aspect ratio microstructures is a potential growth area. The combination of deep X-ray lithography with electroforming and micromolding (i.e. LIGA) is one of the main techniques used to produce 3D microstructures. The new technique of proton micromachining employs focused MeV protons in a direct write process which is complementary to LIGA. During ion exposure of positive photoresist like PMMA, scission of molecular chains occurs. These degraded polymer chains are removed by the developer. The aim of this paper is to investigate the capabilities of proton micromachining as a lithographic technique. We show the realization of sub-surface channels, or microtunnels, which have been fabricated in only one exposure and without cutting or resurfacing the material. Using our Van-de-Graaff accelerator, the resist (PMMA) has been exposed with high-energy protons (2.5 MeV). The range of charged particles in matter is well-defined and depends on the energy. Therefore, it is possible to obtain a dose which is sufficient to develop the bottom part of the ion paths but not the top part. Thus, by selecting the energy and the exposure time, a big variety of microtunnels can be realized

  14. Beam dynamics in rf guns and emittance correction techniques

    International Nuclear Information System (INIS)

    Serafini, L.

    1994-01-01

    In this paper we present a general review of beam dynamics in a laser-driven rf gun. The peculiarity of such an accelerating structure versus other conventional multi-cell linac structures is underlined on the basis of the Panofsky-Wenzel theorem, which is found to give a theoretical background for the well known Kim's model. A basic explanation for some proposed methods to correct rf induced emittance growth is also derived from the theorem. We also present three emittance correction techniques for the recovery of space-charge induced emittance growth, namely the optimum distributed disk-like bunch technique, the use of rf spatial harmonics to correct spherical aberration induced by space charge forces and the technique of emittance filtering by clipping the electron beam. The expected performances regarding the beam quality achievable with different techniques, as predicted by scaling laws and simulations, are analyzed, and, where available, compared to experimental results. (orig.)

  15. INTEGRATING ROUNDTABLE BRAINSTORMING INTO TEAM PAIR SOLO TECHNIQUE FOR IMPROVING STUDENTS’ PARTICIPATION IN WRITING OF DESCRIPTIVE TEXTS

    Directory of Open Access Journals (Sweden)

    author Sutarno

    2015-01-01

    Full Text Available The objectives of the study are to find out the application of integration of roundtable brainstorming into team pair solo technique in writing of descriptive texts and to investigate the improvement of students’ participation and achievement after taught by using the integration of the techniques. This study was an action research which was carried out through a preliminary study, first and second cycle activities. The subjects of this study were VII grade students of State Junior High School no.1 Semaka, Tanggamus, Lampung consisting of thirty two students. To collect the data, the researcher used instruments inform of interview, observation sheets, writing tests, and questionnaires. The findings of the research showed that students’ participation improved from the preliminary study, first and second cycle. In the preliminary study there were twenty six students classified as poor, six students classified as fair and no student classified as good in participation. While in the first cycle there were three students classified as fair and twenty nine students classified as good in participation and in the second cycle all students were classified as good in participation. The students’ writing also improved. The average score of students writing in the preliminary study was 53.31, first cycle was 64.41, and second cycle was 72.56.Key words: Roundtable Brainstorming, Team Pair Solo Technique, Students’ Participation, Writing Descriptive Texts

  16. Fabrication of carbon quantum dots with nano-defined position and pattern in one step via sugar-electron-beam writing.

    Science.gov (United States)

    Weng, Yuyan; Li, Zhiyun; Peng, Lun; Zhang, Weidong; Chen, Gaojian

    2017-12-14

    Quantum dots (QDs) are promising materials in nanophotonics, biological imaging, and even quantum computing. Precise positioning and patterning of QDs is a prerequisite for realizing their actual applications. Contrary to the traditional two discrete steps of fabricating and positioning QDs, herein, a novel sugar-electron-beam writing (SEW) method is reported for producing QDs via electron-beam lithography (EBL) that uses a carefully chosen synthetic resist, poly(2-(methacrylamido)glucopyranose) (PMAG). Carbon QDs (CQDs) could be fabricated in situ through electron beam exposure, and the nanoscale position and luminescence intensity of the produced CQDs could be precisely controlled without the assistance of any other fluorescent matter. We have demonstrated that upon combining an electron beam with a glycopolymer, in situ production of CQDs occurs at the electron beam spot center with nanoscale precision at any place and with any patterns, an advancement that we believe will stimulate innovations in future applications.

  17. Project Administration Techniques for Successful Classroom Collaborative Writing.

    Science.gov (United States)

    Kryder, LeeAnne Giannone

    1991-01-01

    Focuses on the collaborative writing done for a large report or proposal over a period of several weeks or months in a business writing course. Discusses short-term writing projects and nonwriting tasks for project administration, meeting management, student/instructor conference, project planning and time estimates, and oral presentations. (PRA)

  18. Novel non-intercepting diagnostic techniques for low-emittance relativistic electron beams

    International Nuclear Information System (INIS)

    Moran, M.J.; Chang, B.

    1988-01-01

    Relativistic electron beams are being generated with emittances low enough that diffraction radiation can be used for beam diagnostics. Techniques based on diffraction radiation can be used to measure the beam transverse momentum distribution and to measure the transverse spatial distribution. The radiation is intense and can be in the visible spectral region where optical diagnostic techniques can be used to maximum advantage. 4 refs. 3 figs

  19. Radioactive ion beams and techniques for solid state research

    International Nuclear Information System (INIS)

    Correia, J.G.

    1998-01-01

    In this paper we review the most recent and new applications of solid state characterization techniques using radioactive ion beams. For such type ofresearch, high yields of chemically clean ion beams of radioactive isotopesare needed which are provided by the on-line coupling of high resolution isotope separators to particle accelerators, such as the isotope separator on-line (ISOLDE) facility at CERN. These new experiments are performed by an increasing number of solid state groups. They combine nuclear spectroscopic techniques such as Moessbauer, perturbed angular correlations (PAC) and emission channeling with the traditional non-radioactive techniques liked deep level transient spectroscopy (DLTS) and Hall effect measurements. Recently isotopes of elements, not available before, were successfully used in new PAC experiments, and the first photoluminescence (PL) measurements, where the element transmutation plays the essential role on the PL peak identification, have been performed. The scope of applications of radioactive ion beams for research in solid state physics will be enlarged in the near future, with the installation at ISOLDE of a post-accelerator device providing radioactive beams with energies ranging from a few keV up to a few MeV. (orig.)

  20. Applying field mapping refractive beam shapers to improve holographic techniques

    Science.gov (United States)

    Laskin, Alexander; Williams, Gavin; McWilliam, Richard; Laskin, Vadim

    2012-03-01

    Performance of various holographic techniques can be essentially improved by homogenizing the intensity profile of the laser beam with using beam shaping optics, for example, the achromatic field mapping refractive beam shapers like πShaper. The operational principle of these devices presumes transformation of laser beam intensity from Gaussian to flattop one with high flatness of output wavefront, saving of beam consistency, providing collimated output beam of low divergence, high transmittance, extended depth of field, negligible residual wave aberration, and achromatic design provides capability to work with several laser sources with different wavelengths simultaneously. Applying of these beam shapers brings serious benefits to the Spatial Light Modulator based techniques like Computer Generated Holography or Dot-Matrix mastering of security holograms since uniform illumination of an SLM allows simplifying mathematical calculations and increasing predictability and reliability of the imaging results. Another example is multicolour Denisyuk holography when the achromatic πShaper provides uniform illumination of a field at various wavelengths simultaneously. This paper will describe some design basics of the field mapping refractive beam shapers and optical layouts of their applying in holographic systems. Examples of real implementations and experimental results will be presented as well.

  1. Ion beam techniques for analyzing polymers irradiated by ions

    International Nuclear Information System (INIS)

    Rickards, J.; Zironi, E.P.; Andrade, E.; Dominguez, B.

    1992-01-01

    In the study of the effects of ion beam irradiation of polymers very large doses can be administered in short times. Thousands of MGy can be produced in a small volume of a sample in a few minutes by bombarding with typical ion beam currents. For instance, in an experiment done to observe the effects of 750 keV proton irradiation PVC, using a collimator of 1 mm diameter, 1 μC of charge integration deposits a dose of 50 MGy. The use of ion beams also opens up the possibility of using the same beam for irradiation and for analysis of the effects, using the well known ion beam analysis techniques. PIXE allows the measurement of chlorine in PVC. Polymers containing fluorine can be measured with the resonant nuclear reaction (RNR) technique, which is specific only to certain elements. The amount of hydrogen in the sample and its profile can be obtained using energy recoil detection analysis (ERDA); carbon, oxygen, and nitrogen can be measured and profiled using Rutherford backscattering (RBS) and also using the (d,p) and (d, α) nuclear reactions (NR). Loss of mass is one effect that can be studied using these techniques. It was studied in two different polymers, PVC and CR-39, in order to determine carbon buildup during ion irradiation. It was concluded that carbon builds up following different mechanisms in these two materials, due to the different possibilities of forming volatile compounds. It is also suggested that CR-39 should be a good material for ion beam lithography. (author)

  2. Techniques for intense-proton-beam profile measurements

    International Nuclear Information System (INIS)

    Gilpatrick, J.D.

    1998-01-01

    In a collaborative effort with industry and several national laboratories, the Accelerator Production of Tritium (APT) facility and the Spallation Neutron Source (SNS) linac are presently being designed and developed at Los Alamos National Laboratory (LANL). The APT facility is planned to accelerate a 100-mA H + cw beam to 1.7 GeV and the SNS linac is planned to accelerate a 1- to 4-mA-average, H - , pulsed-beam to 1 GeV. With typical rms beam widths of 1- to 3-mm throughout much of these accelerators, the maximum average-power densities of these beams are expected to be approximately 30- and 1-MW-per-square millimeter, respectively. Such power densities are too large to use standard interceptive techniques typically used for acquisition of beam profile information. This paper summarizes the specific requirements for the beam profile measurements to be used in the APT, SNS, and the Low Energy Development Accelerator (LEDA)--a facility to verify the operation of the first 20-MeV section of APT. This paper also discusses the variety of profile measurement choices discussed at a recent high-average-current beam profile workshop held in Santa Fe, NM, and will present the present state of the design for the beam profile measurements planned for APT, SNS, and LEDA

  3. Micro-patterns fabrication using focused proton beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Cutroneo, M., E-mail: cutroneo@ujf.cas.cz [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Havranek, V. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Mackova, A. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Department of Physics, Faculty of Science, J.E. Purkinje University, Ceske mladeze 8, 400 96 Usti nad Labem (Czech Republic); Semian, V. [Nuclear Physics Institute, AS CR, 25068 Rez (Czech Republic); Torrisi, L. [Department of Physics and Earth Sciences, Messina University, V.le F.S. d’Alcontres 31, 98166 S. Agata, Messina (Italy); Calcagno, L. [Department of Physics, Catania University, V. S. Sofia 64, 95123 Catania (Italy)

    2016-03-15

    Proton beam writing technique was recently introduced at 3MV Tandetron accelerator at Nuclear Physics Institute in Rez (Czech Republic). It has been used, to produce three-dimensional (3D) micro-structures in poly(methylmethacrylate) by 2.0 MeV and 2.6 MeV protons micro-beam. Micro-channels (52 μm × 52 μm) have been realized. After chemical etching, the quality of the bottom and side walls of the produced structures in PMMA were analyzed using Scanning Transmission Ion Microscopy (STIM).

  4. Development of educational software for beam loading analysis using pen-based user interfaces

    Directory of Open Access Journals (Sweden)

    Yong S. Suh

    2014-01-01

    Full Text Available Most engineering software tools use typical menu-based user interfaces, and they may not be suitable for learning tools because the solution processes are hidden and students can only see the results. An educational tool for simple beam analyses is developed using a pen-based user interface with a computer so students can write and sketch by hand. The geometry of beam sections is sketched, and a shape matching technique is used to recognize the sketch. Various beam loads are added by sketching gestures or writing singularity functions. Students sketch the distributions of the loadings by sketching the graphs, and they are automatically checked and the system provides aids in grading the graphs. Students receive interactive graphical feedback for better learning experiences while they are working on solving the problems.

  5. Right Writing (or Writing Right) for Creativity in Advertising.

    Science.gov (United States)

    Pearce, R. Charles

    1989-01-01

    Suggests techniques from Peter Elbow's book, "Writing with Power," for an advertising copywriting class. Describes in detail an eight-step procedure: warm-up, loop writing, sharing, revision, sharing, revision, editing group sharing, and revision. (MS)

  6. Using a Collaborative Critiquing Technique to Develop Chemistry Students' Technical Writing Skills

    Science.gov (United States)

    Carr, Jeremy M.

    2013-01-01

    The technique, termed "collaborative critiquing", was developed to teach fundamental technical writing skills to analytical chemistry students for the preparation of laboratory reports. This exercise, which can be completed prior to peer-review activities, is novel, highly interactive, and allows students to take responsibility for their…

  7. The effect of drawing and writing technique on the anxiety level of children undergoing cancer treatment.

    Science.gov (United States)

    Altay, Naime; Kilicarslan-Toruner, Ebru; Sari, Çigdem

    2017-06-01

    To determine the effect of the drawing and writing technique on the anxiety level of children undergoing cancer treatment in hospital. Research was conducted in the haematology-oncology clinic of a university hospital, using a quasi-experimental design (pre-and-post intervention evaluations of a single group). The sample comprised 30 hospitalised children aged 9-16 years. Data were collected with Socio-demographic form, clinical data form, and the State Anxiety Inventory. The institution gave written approval for the study and parents provided written consent. Drawing, writing and mutual story-telling techniques were used as part of a five-day programme. Children were asked to draw a picture of a hospitalised child and write a story about this drawing. After drawing and writing, mutual storytelling were used to more constructive story with positive feelings. The drawing, writing techniques was implemented on the first and third days of the programme and mutual storytelling was implemented on the second and fourth days. Data were reported as percentages and frequencies and the intervention effect analysed with the Wilcoxon test. The average age of children was 12.56 years ± 2.67 and 76.7% were girls. The mean age diagnosis and mean treatment duration were 11.26 years ± 3.17 and 16.56 months ± 20.75 respectively. Most of the children (50%) had leukaemia and were receiving chemotherapy (66.7%). In most cases (76.7%) the mother was the primary caregiver. Scores on the State Anxiety Inventory were lower-indicating lower anxiety-after the intervention (36.86 ± 4.12 than before it (40.46 ± 4.51) (p < 0.05). The therapeutic intervention reduced children's state anxiety. Copyright © 2017 Elsevier Ltd. All rights reserved.

  8. The status and new trends of ion beam induced charge technique

    International Nuclear Information System (INIS)

    Lu Rongrong; Qiu Huiyuan; Zhu Dezhang

    2002-01-01

    Ion beam induced charge technique (IBIC) with low beam current (fA level) and high efficiency is a new development of nuclear microscopy. It has been widely applied to the fields of semiconductor and microelectronic materials. The principle and the experimental method of the IBIC technique were described and reviewed its status and new trends were reviewed

  9. Development of a computerized tomographic system based on the FAN-BEAM technique

    International Nuclear Information System (INIS)

    Junqueira, M.M.; Santos, C.A.C.; Borges, J.C.

    1986-01-01

    The Nuclear Instrumentation Laboratory, at COPPE/UFRJ, concentrates its researches in the development of computerized tomographic systems, looking for applications in industrial and medical non destructive analysing techniques. In this work we have projected and constructed a tomographic prototype, based on the FAN-BEAM technique for irradiating the object under analysis. An algorithm previously developed to analyse parallel beams, was modified and adapted to the FAN-BEAM geometry. (Author) [pt

  10. Full characterization of the photorefractive bright soliton formation process using a digital holographic technique

    International Nuclear Information System (INIS)

    Merola, F; Miccio, L; Paturzo, M; Ferraro, P; De Nicola, S

    2009-01-01

    An extensive characterization of the photorefractive bright soliton writing process in a lithium niobate crystal is presented. An interferometric approach based on a digital holographic technique has been used to reconstruct the complex wavefield at the exit face of the crystal. Temporal evolution of both intensity and phase profile of the writing beam has been analysed. The effective changes of the refractive index of the medium during the writing process and after the soliton formation are determined from the optical phase distribution. This method provides a reliable way to observe the process of soliton formation, whereas the determination of the intensity distribution of the output beam does not show clearly whether the soliton regime has been achieved or not. Furthermore, a detailed analysis of the soliton in a steady-state situation and under different writing conditions is presented and discussed

  11. Introduction to analytical techniques of beam-target interactions and resolutions

    International Nuclear Information System (INIS)

    Ruste, J.

    1995-08-01

    For several years, new analysis and observation techniques have been developed, which have considerably improved material research. Almost all these techniques are based on the interaction of a beam of 'primary particles' (electrons, photons, ions, particles, etc) with target. Correct and appropriate use of these techniques requires a good knowledge of these interactions and their consequences (emissions of 'secondary particles', modifications of the primary beam and target, etc). The first part of this report deals with the radiation/material interactions according to the nature of the radiation and its energy. The nature and consequences of the interaction of an electromagnetic wave, a beam of electrons, ions and neutrons are examined over an extended range of energy from MeV to MeV. Certain notions such as the analysis area, spatial resolutions or limits of detection can also be defined. In the second part, some of the most important and widespread techniques of analysis and observation are compared in terms of properties and performance. In particular, there is a brief principle of the technique, nature of the data obtained, spatial resolution, and the limits of detection with today's methods permit. (author). 5 refs., 23 figs., 9 tabs

  12. Application of a transverse phase-space measurement technique for high-brightness, H- beams to the GTA H- beam

    International Nuclear Information System (INIS)

    Johnson, K.F.; Garcia, R.C.; Rusthoi, D.P.; Sander, O.R.; Sandoval, D.P.; Shinas, M.A.; Smith, M.; Yuan, V.W.; Connolly, R.C.

    1995-01-01

    The Ground Test Accelerator (GTA) had the objective Of Producing a high-brightness, high-current H-beam. The major components were a 35 keV injector, a Radio Frequency Quadrupole (RFQ), an intertank matching section (IMS), and a drift tube linac (DTL), consisting of 10 modules. A technique for measuring the transverse phase-space of high-power density beams has been developed and tested. This diagnostic has been applied to the GTA H-beam. Experimental results are compared to the slit and collector technique for transverse phase-space measurements and to simulations

  13. High performance Si immersion gratings patterned with electron beam lithography

    Science.gov (United States)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  14. A feasibility study of H{sup -} beam extraction technique using YAG laser

    Energy Technology Data Exchange (ETDEWEB)

    Meigo, Shin-ichiro; Hasegawa, Kazuo; Ikeda, Yujiro; Oigawa, Hiroyuki [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment; Aoki, Nobutada [Toshiba Power System Co., Ltd. (Japan); Nakagawa, Satoshi [Toshiba Co., Tokyo (Japan)

    2002-12-01

    Under a framework of JAERI-KEK joint project of high intensity proton accelerator, as for research and develop of the accelerator driven nuclear transmutation of the long lived radioactive nuclide, it is planed to built the Transmutation Physics Experiment Facility (TEF-P) and the Transmutation Engineering Experiment Facility (TEF-E). The TEF-P is used for the experiments for subcritical system coupled with a spallation neutron target bombarded with 600-MeV proton beam accelerated by the LINAC. To limit the maximum thermal power less than 500 W at the TEF-P, an incident beam power should be less than 10 W. On the contrary, at the TEF-E, high power beam of 200 kW is requested. Both high and low power beams are demanded for the transmutation facilities. It is difficult to deliver a low power beam to the TEF-P. Conventional beam extraction technique with a thin foil, is not desirable because the scattering of the beam at the foil requires the massive shield. Therefore, we study a new technique to extract a small portion of the beam precisely from the high intensity beam by using a laser beam. By a laser beam, H{sup -} in the beam from LINAC is partially changed to H{sup 0} beam so that a low current H{sup 0} beam can be obtained. As the cross section of the charge exchange reaction for H{sup -} ions has a peak around at a wave length of 1 {mu}m for photons, YAG laser is suitable for this charge exchange because of its 1.06 {mu}m wave length. It is derived that 10 W beam for 600-MeV proton can be extracted by the YAG laser with power of 2 J for each pulse of 25 Hz. By this technique, the pulse width for the extracted beam can be controlled by changing the time width of laser irradiation. When a charge exchanger having the beam collide point existing in straight section, a background beam current of projectile, however, will be increased due to the interaction with the residual gas in the beam duct. Thus, a charge exchanger is devised having the beam collide point in a

  15. Techniques for beam impedance measurements above cutoff

    International Nuclear Information System (INIS)

    Lambertson, G.R.; Jacob, A.F.; Rimmer, R.A.; Voelker, F.

    1990-08-01

    Methods for measuring beam impedance above cutoff have been very limited. For design work on the ALS we have developed two techniques that yield data in the frequency domain with high sensitivity. The first is an extension of the wire method; the second utilizes traveling TM waves to simulate the beam's fields at the wall, and thus avoids the mechanical difficulties of mounting the wire. It is also more sensitive than the other method but the interpretation is complicated by the presence of higher order modes. With either method we were able to detect resonant peaks smaller than 1 Ohm at 10 GHz

  16. Ion beam energy attenuation for fabrication of buried, variable-depth, optical waveguides

    International Nuclear Information System (INIS)

    Bibra, M.L. von; Roberts, A.; Dods, S.D.

    2000-01-01

    Buried waveguides with graded depths have been fabricated using a focussed ion beam, direct-write process in fused silica by irradiation with 3 MeV protons through a tapered film varying in thickness from 5 to 40 μm. The resulting waveguides ramp uniformly from 25 to 80 μm below the substrate surface. The waveguides are also uniform in cross-section along their lengths. This demonstrates the potential for this fabrication technique to direct-write three-dimensional waveguide devices within a substrate

  17. Archimedes' Oldest Writings Under X-ray vision (BNL Women in Science Lecture Series)

    International Nuclear Information System (INIS)

    Bergmann, Uwe

    2009-01-01

    Archimedes of Syracuse (287-212 B.C.) is considered one of the most brilliant mathematicians and thinkers of all times. The tenth-century parchment document known as the Archimedes Palimpsest is the oldest surviving copy of works by the Greek genius. Currently, the privately owned Palimpsest is the subject of an integrated campaign of conservation, imaging, and scholarship being undertaken at the Walters Art Museum in Baltimore. Much of the text has been imaged by various optical techniques, but significant gaps in the legibility of the writing have remained on several pages. Large parts of these writings were recently deciphered at the Stanford Synchrotron Radiation Lightsource at SLAC. A special x-ray technique showed maps of iron in faint traces of partially erased ink. The x-ray images revealed Archimedes writings from some of his most important works that were hidden by twelfth-century biblical texts, mold and forged gold paintings. Join Bergmann in a fascinating journey of a 1,000-year-old parchment from its origin in the Mediterranean city of Constantinople to an x-ray beam line at SLAC in California.

  18. Compensation techniques in NIRS proton beam radiotherapy

    International Nuclear Information System (INIS)

    Akanuma, A.; Majima, H.; Furukawa, S.

    1982-01-01

    Proton beam has the dose distribution advantage in radiation therapy, although it has little advantage in biological effects. One of the best advantages is its sharp fall off of dose after the peak. With proton beam, therefore, the dose can be given just to cover a target volume and potentially no dose is delivered thereafter in the beam direction. To utilize this advantage, bolus techniques in conjunction with CT scanning are employed in NIRS proton beam radiation therapy planning. A patient receives CT scanning first so that the target volume can be clearly marked and the radiation direction and fixation method can be determined. At the same time bolus dimensions are calculated. The bolus frames are made with dental paraffin sheets according to the dimensions. The paraffin frame is replaced with dental resin. Alginate (a dental impression material with favorable physical density and skin surface contact) is now employed for the bolus material. With fixation device and bolus on, which are constructed individually, the patient receives CT scanning again prior to a proton beam treatment in order to prove the devices are suitable. Alginate has to be poured into the frame right before each treatments. Further investigations are required to find better bolus materials and easier construction methods

  19. Compensation techniques in NIRS proton beam radiotherapy

    Energy Technology Data Exchange (ETDEWEB)

    Akanuma, A. (Univ. of Tokyo, Japan); Majima, H.; Furukawa, S.

    1982-09-01

    Proton beam has the dose distribution advantage in radiation therapy, although it has little advantage in biological effects. One of the best advantages is its sharp fall off of dose after the peak. With proton beam, therefore, the dose can be given just to cover a target volume and potentially no dose is delivered thereafter in the beam direction. To utilize this advantage, bolus techniques in conjunction with CT scanning are employed in NIRS proton beam radiation therapy planning. A patient receives CT scanning first so that the target volume can be clearly marked and the radiation direction and fixation method can be determined. At the same time bolus dimensions are calculated. The bolus frames are made with dental paraffin sheets according to the dimensions. The paraffin frame is replaced with dental resin. Alginate (a dental impression material with favorable physical density and skin surface contact) is now employed for the bolus material. With fixation device and bolus on, which are constructed individually, the patient receives CT scanning again prior to a proton beam treatment in order to prove the devices are suitable. Alginate has to be poured into the frame right before each treatments. Further investigations are required to find better bolus materials and easier construction methods.

  20. Magnetically scanned proton therapy beams: rationales and techniques

    International Nuclear Information System (INIS)

    Jones, D.T.L.; Schreuder, A.N.

    2000-01-01

    Perhaps the most important advantages of beam scanning systems for proton therapy in comparison with conventional passive beam spreading systems are: (1) Intensity modulation and inverse planning are possible. (2) There is negligible reduction in the range of the beam. (3) Integral dose is reduced as dose conformation to the proximal edge of the lesion is possible. (4) In principle no field-specific modifying devices are required. (5) There is less activation of the surroundings. (6) Scanning systems axe almost infinitely flexible. The main disadvantages include: (1) Scanning systems are more complicated and therefore potentially less reliable and more dangerous. (2) The development of such systems is more demanding in terms of cost, time and manpower. (3) More stable beams are required. (4) Dose and beam position monitoring are more difficult. (5) The problems associated with patient and organ movement axe more severe. There are several techniques which can be used for scanning. For lateral beam spreading, circular scanning (wobbling) or linear scanning can be done. In the latter case the beam can be scanned continuously or in a discrete fashion (spot scanning). Another possibility is to undertake the fastest scan in one dimension (strip scanning) and translate the patient or the scanning magnet in the other dimension. Depth variation is achieved by interposing degraders in the beam (cyclotrons) or by changing the beam energy (synchrotrons). The aim of beam scanning is to deliver a predetermined dose at any point in the body. Special safety precautions must be taken because of the high instantaneous dose rates. The beam position and the dose delivered at each point must be accurately and redundantly determined. (author)

  1. Study of the electroforming technology for high-aspect ratio micro structure which was machined by using proton beam writing

    International Nuclear Information System (INIS)

    Okamoto, N.

    2009-01-01

    In this study, we develop the formation process of Ni electrodeposited micro patterns, which is used for MEMS devices or nano-imprinting. The purpose of my study is creating the new process of fabricating 3-D complicated micro-pattern or micro-devices using electroforming and proton beam writing. In general, 'Through mask process' (like a LIGA process) is usually used to fabricate Ni electrodeposited micro patterns for making MEMS devices or microfabricated-molds. The strong point of 'Through mask process' is the simple shape of the electrode. It makes the deposition process easy, because the electrodeposited films just glow up from the bottom to top surface of the mold. And the shape of the electrodeposited films is the same as the electrode pattern from the bottom to top, like a simple pillar shape. However, this process also has some problems. In case of using 'Through mask process', it is difficult to fabricate the complicated 3-D shaped structures, which are bended, parted or severed at some part of the structure between the bottom and top, like a device with a micro sensor or micro total analysis system. In order to overcome these limitations, we try to fabricate Ni electrodeposited micro patterns using 'the Damascene process' and proton beam writing. (author)

  2. The rational design of a Au(I) precursor for focused electron beam induced deposition

    NARCIS (Netherlands)

    Marashdeh, Ali; Tiesma, Thiadrik; van Velzen, Niels J. C.; Harder, Sjoerd; Havenith, Remco W. A.; De Hosson, Jeff T. M.; van Dorp, Willem F.

    2017-01-01

    Au(I) complexes are studied as precursors for focused electron beam induced processing (FEBIP). FEBIP is an advanced direct-write technique for nanometer-scale chemical synthesis. The stability and volatility of the complexes are characterized to design an improved precursor for pure Au deposition.

  3. New diagnostic technique for Zeeman-compensated atomic beam slowing: technique and results

    NARCIS (Netherlands)

    Molenaar, P.A.; Straten, P. van der; Heideman, H.G.M.; Metcalf, H.

    1997-01-01

    We have developed a new diagnostic tool for the study of Zeeman-compensated slowing of an alkali atomic beam. Our time-of-flight technique measures the longitudinal veloc- ity distribution of the slowed atoms with a resolution below the Doppler limit of 30 cm/s. Furthermore, it can map

  4. New diagnostic technique for Zeeman-compensated atomic beam slowing: technique and results

    OpenAIRE

    Molenaar, P.A.; Straten, P. van der; Heideman, H.G.M.; Metcalf, H.

    1997-01-01

    We have developed a new diagnostic tool for the study of Zeeman-compensated slowing of an alkali atomic beam. Our time-of-flight technique measures the longitudinal veloc- ity distribution of the slowed atoms with a resolution below the Doppler limit of 30 cm/s. Furthermore, it can map the position and velocity distribution of atoms in either ground hyperfine level inside the solenoid without any devices inside the solenoid. The technique reveals the optical pumping ef- fects, and shows in de...

  5. Observation of Eye Pattern on Super-Resolution Near-Field Structure Disk with Write-Strategy Technique

    Science.gov (United States)

    Fuji, Hiroshi; Kikukawa, Takashi; Tominaga, Junji

    2004-07-01

    Pit-edge recording at a density of 150 nm pits and spaces is carried out on a super-resolution near-field structure (super-RENS) disk with a platinum oxide layer. Pits are recorded and read using a 635-nm-wavelength laser and an objective lens with a 0.6 numerical aperture. We arrange laser pulses to correctly record the pits on the disk by a write-strategy technique. The laser-pulse figure includes a unit time of 0.25 T and intensities of Pw1, Pw2 and Pw3. After recording pits of various lengths, the observation of an eye pattern is achieved despite a pit smaller than the resolution limit. Furthermore, the eye pattern maintains its shape even though other pits fill the adjacent tracks at a track density of 600 nm. The disk can be used as a pit-edge recording system through a write-strategy technique.

  6. The beam based alignment technique for the measurements of beam position monitors offsets and beam offsets from quadrupoles in the Pohang Light Source

    International Nuclear Information System (INIS)

    Kim, K.H.; Huang, J.Y.; Ko, I.S.

    1999-01-01

    The beam based alignment (BBA) technique is applied to the 2-GeV storage ring of the Pohang Light Source to measure the offsets of beam position monitors. This measurement is particularly necessary for beam position monitors (BPMs) plugged into a long (∼10 m) aluminum chamber, since the mechanical deformation of the vacuum chamber is experienced after repeated heating for the outgassing process, and the BPM positions are changed accordingly. A part of the excitation current of each quadrupole magnet is shunted through an electronic shunt circuit. Then, the closed orbit receives a perturbation due to the current reduction. Using two quadrupole magnets, we can measure the offset of each BPM. Also, the BBA technique is applied to measure the beam offsets from the center of quadrupole magnets, and gives information to the survey team about which quadrupole magnets should be aligned mostly. In this process, we introduce the merit function to reduce various errors such as BPM characteristic changes and the lattice imperfection. By minimizing the merit function, we can get the beam offset as the maximized expectation value. This paper presents the BBA technique used and experimental results taken from the 2-GeV Pohang Light Source (PLS) storage ring. When the BPM offset is measured, it is observed that a 3% of the shunt current is suitable. (author)

  7. Mechanical properties of micro-sized copper bending beams machined by the focused ion beam technique

    International Nuclear Information System (INIS)

    Motz, C.; Schoeberl, T.; Pippan, R.

    2005-01-01

    Micro-sized bending beams with thicknesses, t, from 7.5 down to 1.0 μm were fabricated with the focused ion beam technique from a copper single crystal with an {1 1 1} orientation. The beams were loaded with a nano-indenter and the force vs. displacement curves were recorded. A strong size effect was found where the flow stress reaches almost 1 GPa for the thinnest beams. A common strain gradient plasticity approach was used to explain the size effect. However, the strong t -1.14 dependence of the flow stress could not be explained by this model. Additionally, the combination of two other dislocation mechanisms is discussed: the limitation of available dislocation sources and a dislocation pile-up at the beam centre. The contribution of the pile-up stress to the flow stress gives a t -1 dependence, which is in good agreement with the experimental results

  8. An analytical simulation technique for cone-beam CT and pinhole SPECT

    International Nuclear Information System (INIS)

    Zhang Xuezhu; Qi Yujin

    2011-01-01

    This study was aimed at developing an efficient simulation technique with an ordinary PC. The work involved derivation of mathematical operators, analytic phantom generations, and effective analytical projectors developing for cone-beam CT and pinhole SPECT imaging. The computer simulations based on the analytical projectors were developed by ray-tracing method for cone-beam CT and voxel-driven method for pinhole SPECT of degrading blurring. The 3D Shepp-Logan, Jaszczak and Defrise phantoms were used for simulation evaluations and image reconstructions. The reconstructed phantom images were of good accuracy with the phantoms. The results showed that the analytical simulation technique is an efficient tool for studying cone-beam CT and pinhole SPECT imaging. (authors)

  9. Radiation therapy for retinoblastoma: comparison of results with lens-sparing versus lateral beam techniques

    International Nuclear Information System (INIS)

    McCormick, B.; Ellsworth, R.; Abramson, D.; Haik, B.; Tome, M.; Grabowski, E.; LoSasso, T.

    1988-01-01

    From 1979 through 1986, 170 children were seen at our institution diagnosed with retinoblastoma. Sixty-six of the children with involvement of 121 eyes, were referred for definitive external beam radiation to one or both eyes. During the study period, two distinct radiation techniques were used. From 1980 through mid-1984, a lens-sparing technique included an anterior electron beam with a contact lens mounted lead shield, combined with a lateral field, was used. Since mid-1984, a modified lateral beam technique has been used, mixing lateral electrons and superior and inferior lateral oblique split beam wedged photons. Doses prescribed were similar for both techniques, ranging from 3,850 to 5,000 cGy in 4 to 5 weeks. The lens-sparing and the modified lateral techniques are compared for local control. For eyes with Group I through III disease, the lens-sparing technique resulted in local control in 33% of the eyes treated, where the modified lateral technique controlled 83% of the eyes treated (p = .006). Mean time to relapse was identical in both groups, that is 24 and 26 months respectively. Most relapses were successfully treated with further local therapy, including laser or cryosurgery, or 60Co plaques. Five eyes required enucleation following initial treatment with the lens-sparing technique, but none thus far with the lateral beam technique. For eyes with Group IV and V disease, no significant differences were found between the two techniques in terms of local control or eventual need for enucleation. With a mean follow-up time of 33 months for the entire group, the 4-year survival is 93%. Two of the 4 deaths are due to second primary tumor, and all 4 have occurred in the lens-sparing group. Because follow-up time is more limited in the lateral beam group, this is not statistically significant and direct survival comparisons are premature

  10. Improved beam-energy calibration technique for heavy ion accelerators

    International Nuclear Information System (INIS)

    Ferrero, A.M.J.; Garcia, A.; Gil, Salvador

    1989-01-01

    A simple technique for beam energy calibration of heavy-ion accelerators is presented. A thin hydrogenous target was bombarded with 12 C and 19 F, and the energies of the protons knocked out, elastically were measured at several angles using two detectors placed at equal angles on opposite sides of the beam. The use of these two detectors cancels the largest errors due to uncertainties in the angle and position at which the beam hits the target. An application of this energy calibration method to an electrostatic accelerator is described and the calibration constant of the analyzing magnet was obtained with an estimated error of 0.4 (Author) [es

  11. An evaluation testing technique of single event effect using Beam Blanking SEM

    Energy Technology Data Exchange (ETDEWEB)

    Aoki, J; Hada, T; Pesce, A; Akutsu, T; Matsuda, S [National Space Development Agency of Japan, Tsukuba, Ibaraki (Japan). Tsukuba Space Center; Igarashi, T; Baba, S

    1997-03-01

    Beam Blanking SEM (Scanning Electron Microscope) testing technique has been applied to CMOS SRAM devices to evaluate the occurence of soft errors on memory cells. Cross-section versus beam current and LET curves derived from BBSEM and heavy ion testing technique, respectively, have been compared. A linear relation between BBSEM current and heavy ion LET has been found. The purpose of this study was to demonstrate that the application of focused pulsed electron beam could be a reliable, convenient and inexpensive tool to investigate the effects of heavy ions and high energy particles on memory devices for space application. (author)

  12. TPS as an Effective Technique to Enhance the Students' Achievement on Writing Descriptive Text

    Science.gov (United States)

    Sumarsih, M. Pd.; Sanjaya, Dedi

    2013-01-01

    Students' achievement in writing descriptive text is very low, in this study Think Pair Share (TPS) is applied to solve the problem. Action research is conducted for the result. Additionally, qualitative and quantitative techniques are applied in this research. The subject of this research is grade VIII in Junior High School in Indonesia. From…

  13. Just-in-Time Teaching Techniques through Web Technologies for Vocational Students' Reading and Writing Abilities

    Science.gov (United States)

    Chantoem, Rewadee; Rattanavich, Saowalak

    2016-01-01

    This research compares the English language achievements of vocational students, their reading and writing abilities, and their attitudes towards learning English taught with just-in-time teaching techniques through web technologies and conventional methods. The experimental and control groups were formed, a randomized true control group…

  14. Minimal interference beam size/profile measurement techniques applicable to the Collider

    International Nuclear Information System (INIS)

    Nexsen, W.; Dutt, S.; Kauffmann, S.; Lebedev, V.; Maschke, A.; Mokhov, N.; Richardson, R.; Tsyganov, E.; Zinchenko, A.

    1993-05-01

    The imaging of synchrotron radiation (SR) has been suggested as a technique for providing a continuous, non-interfering monitor of the beam profile in the Collider rings at the Superconducting Super Collider. A closer examination has raised questions concerning the applicability of SR imaging in this case because of the diffraction broadening of the image, the requirements for axial space and location in the lattice, and the complexity of the system. We have surveyed the known, alternative, minimal interference techniques for measuring beam size and have evaluated them for possible Collider usage. We conclude that of the approaches that appear feasible, all require at least some development for our usage and that the development of an electron beam probe offers the best promise. We recommend that flying wires be used for cross-checking and calibrating the electron beam probe diagnostic and for luminosity measurements when the highest accuracy is required, but flying wires should not be used as the primary diagnostic because of their limited lifetime

  15. A beam-based alignment technique for correction of accelerator structure misalignments

    International Nuclear Information System (INIS)

    Kubo, K.; Raubenheimer, T.O.

    1994-08-01

    This paper describes a method of reducing the transverse emittance dilution in linear colliders due to transverse wakefields arising-from misaligned accelerator structures. The technique is a generalization of the Wake-Free correction algorithm. The structure alignment errors are measured locally by varying the bunch charge and/or bunch length and measuring the change in the beam trajectory. The misalignments can then be corrected by varying the beam trajectory or moving structures. The results of simulations are presented demonstrating the viability of the technique

  16. Beam-based alignment technique for the SLC [Stanford Linear Collider] linac

    International Nuclear Information System (INIS)

    Adolphsen, C.E.; Lavine, T.L.; Atwood, W.B.

    1989-03-01

    Misalignment of quadrupole magnets and beam position monitors (BPMs) in the linac of the SLAC Linear Collider (SLC) cause the electron and positron beams to be steered off-center in the disk-loaded waveguide accelerator structures. Off-center beams produce wakefields which limit the SLC performance at high beam intensities by causing emittance growth. Here, we present a general method for simultaneously determining quadrupole magnet and BPM offsets using beam trajectory measurements. Results from the application of the method to the SLC linac are described. The alignment precision achieved is approximately 100 μm, which is significantly better than that obtained using optical surveying techniques. 2 refs., 4 figs

  17. A technique for aligning sextupole systems using beam optics

    International Nuclear Information System (INIS)

    Chao, Yu-Chiu.

    1992-03-01

    A technique for beam based alignment of sextupole system is developed exploiting the enhancement effect of orbit differences by the sextupoles. This technique can in principle be applied to sextupole or sextupole strings with controlled orbit pattern and BPM configurations. This paper will discuss the theoretical basis, special optimization considerations and expected accuracy. Application to the SLC final focus is also discussed

  18. Techniques of writing medical papers: a practical approach

    International Nuclear Information System (INIS)

    Im, Jung Gi

    1991-01-01

    Despite of diversity in their design and contents, it is well accepted that there are uniform requirements for manuscripts submitted to biomedical journals. Tactics for writing a medical paper could be refined through a check list approach in each part of the manuscripts. This review describes technical aspects of writing a paper in a manner of 'should' and 'should not' be contained in each part. Examples from the Journal of Korean Radiological Society are discussed

  19. Method and apparatus for positioning a beam of charged particles

    International Nuclear Information System (INIS)

    Michail, M.S.; Woodard, O.C.; Yourke, H.S.

    1975-01-01

    A beam of charged particles is stepped from one predetermined position to another to form a desired pattern on a semiconductor wafer. There is a dynamic correction for the deviation of the actual position of the beam from its predetermined position, so that the beam is applied to the deviated position rather than the predetermined position. Through the location of four registration marks, the writing field is precisely defined. Writing fields may be interconnected by the sharing of registration marks, enabling the construction of chips which are larger than a single writing field. (auth)

  20. Multi-beam backscatter image data processing techniques employed to EM 1002 system

    Digital Repository Service at National Institute of Oceanography (India)

    Fernandes, W.A.; Chakraborty, B.

    to compensate outer-beam backscatter strength data in such a way that the effect of angular backscatter strength is removed. In this work we have developed backscatter data processing techniques for EM1002 multi-beam system...

  1. Beam shaping optics to enhance performance of interferometry techniques in grating manufacture

    Science.gov (United States)

    Laskin, Alexander; Laskin, Vadim; Ostrun, Aleksei

    2018-02-01

    Improving of industrial holographic and interferometry techniques is of great importance in interference lithography, computer-generated holography, holographic data storage, interferometry recording of Bragg gratings as well as gratings of various types in semiconductor industry. Performance of mentioned techniques is essentially enhanced by providing a light beam with flat phase front and flat-top irradiance distribution. Therefore, transformation of Gaussian distribution of a TEM00 laser to flat-top (top hat, uniform) distribution is an important optical task. There are different refractive and diffractive beam shaping approaches used in laser industrial and scientific applications, but only few of them are capable to fulfil the optimum conditions for beam quality demanding holography and interferometry. As a solution it is suggested to apply refractive field mapping beam shaping optics πShaper, which operational principle presumes almost lossless transformation of Gaussian to flat-top beam with flatness of output wavefront, conserving of beam consistency, providing collimated low divergent output beam, high transmittance, extended depth of field, negligible wave aberration, and achromatic design provides capability to work with several lasers with different wavelengths simultaneously. High optical quality of resulting flat-top beam allows applying additional optical components to build various imaging optical systems for variation of beam size and shape to fulfil requirements of a particular application. This paper will describe design basics of refractive beam shapers and optical layouts of their applying in holography and laser interference lithography. Examples of real implementations and experimental results will be presented as well.

  2. Beam shaping of laser diode radiation by waveguides with arbitrary cladding geometry written with fs-laser radiation.

    Science.gov (United States)

    Beckmann, Dennis; Schnitzler, Daniel; Schaefer, Dagmar; Gottmann, Jens; Kelbassa, Ingomar

    2011-12-05

    Waveguides with arbitrary cross sections are written in the volume of Al(2)O(3)-crystals using tightly focused femtosecond laser radiation. Utilizing a scanning system with large numerical aperture, complex cladding geometries are realized with a precision around 0.5 µm and a scanning speed up to 100 mm/s. Individual beam and mode shaping of laser diode radiation is demonstrated by varying the design of the waveguide cladding. The influence of the writing parameters on the waveguide properties are investigated resulting in a numerical aperture of the waveguides in the range of 0.1. This direct laser writing technique enables optical devices which could possibly replace bulky beam shaping setups with an integrated solution.

  3. Arrays of Size-Selected Metal Nanoparticles Formed by Cluster Ion Beam Technique

    DEFF Research Database (Denmark)

    Ceynowa, F. A.; Chirumamilla, Manohar; Zenin, Volodymyr

    2018-01-01

    Deposition of size-selected copper and silver nanoparticles (NPs) on polymers using cluster beam technique is studied. It is shown that ratio of particle embedment in the film can be controlled by simple thermal annealing. Combining electron beam lithography, cluster beam deposition, and heat...... with required configurations which can be applied for wave-guiding, resonators, in sensor technologies, and surface enhanced Raman scattering....

  4. Avant-Garde Ultrafast Laser Writing

    Directory of Open Access Journals (Sweden)

    Kazansky P. G.

    2013-11-01

    Full Text Available Ultrafast laser processing of transparent materials reveals new phenomena. Reviewed, are recent demonstrations of 5D optical memory, vortex polarization and Airy beam converters employing self-assembled nanostructuring, ultrafast laser calligraphy and polarization writing control using pulses with tilted front.

  5. Mathematical writing

    CERN Document Server

    Vivaldi, Franco

    2014-01-01

    This book teaches the art of writing mathematics, an essential -and difficult- skill for any mathematics student.   The book begins with an informal introduction on basic writing principles and a review of the essential dictionary for mathematics. Writing techniques are developed gradually, from the small to the large: words, phrases, sentences, paragraphs, to end with short compositions. These may represent the introduction of a concept, the abstract of a presentation or the proof of a theorem. Along the way the student will learn how to establish a coherent notation, mix words and symbols effectively, write neat formulae, and structure a definition.   Some elements of logic and all common methods of proofs are featured, including various versions of induction and existence proofs. The book concludes with advice on specific aspects of thesis writing (choosing of a title, composing an abstract, compiling a bibliography) illustrated by large number of real-life examples. Many exercises are included; over 150...

  6. Verification and application of beam steering Phased Array UT technique for complex structures

    International Nuclear Information System (INIS)

    Yamamoto, Setsu; Miura, Takahiro; Semboshi, Jun; Ochiai, Makoto; Mitsuhashi, Tadahiro; Adachi, Hiroyuki; Yamamoto, Satoshi

    2013-01-01

    Phased Array Ultrasonic Testing (PAUT) techniques for complex geometries are greatly progressing. We developed an immersion PAUT which is suitable for complex surface profiles such as nozzles and deformed welded areas. Furthermore, we have developed a shape adaptive beam steering technique for 3D complex surface structures with conventional array probe and flexible coupling gel which makes the immersion beam forming technique usable under dry conditions. This system consists of 3 steps. Step1 is surface profile measurement which based on 3D Synthesis Aperture Focusing Technique (SAFT), Step2 is delay law calculation which could take into account the measured 3D surface profiles and steer a shape adjusted ultrasonic beam, Step3 is shape adjusted B-scope construction. In this paper, verification results of property of this PAUT system using R60 curved specimen and nozzle shaped specimen which simulated actual BWR structure. (author)

  7. The Effect of Buzz Group Technique and Clustering Technique in Teaching Writing at the First Class of SMA HKBP I Tarutung

    Science.gov (United States)

    Pangaribuan, Tagor; Manik, Sondang

    2018-01-01

    This research held at SMA HKBP 1 Tarutung North Sumatra on the research result of test XI[superscript 2] and XI[superscript 2] students, after they got treatment in teaching writing in recount text by using buzz group and clustering technique. The average score (X) was 67.7 and the total score buzz group the average score (X) was 77.2 and in…

  8. Electron beam instrumentation techniques using coherent radiation

    International Nuclear Information System (INIS)

    Wang, D.X.

    1997-01-01

    Much progress has been made on coherent radiation research since coherent synchrotron radiation was first observed in 1989. The use of coherent radiation as a bunch length diagnostic tool has been studied by several groups. In this paper, brief introductions to coherent radiation and far-infrared measurement are given, the progress and status of their beam diagnostic application are reviewed, different techniques are described, and their advantages and limitations are discussed

  9. Using Think-Pair-Share for writing descriptive texts

    Directory of Open Access Journals (Sweden)

    Rosnani Sahardin

    2017-03-01

    Full Text Available Using the right technique to teach writing is very important to help students overcome problems in writing. Think Pair Share (TPS is a cooperative teaching-learning method that it is believed to help students improve their writing ability. Thus, the writers conducted an experimental study to tenth grade students at a senior high school in Banda Aceh to find out whether or not this technique could facilitate and improve the students’ writing of descriptive texts in English. The results showed that the TPS technique successfully improved the ability of students’ in writing, reflected by the post-test scores covering five aspects of writing. The progress before and after the technique was implemented can be seen in these average scores for the five aspects: content increased from 12 to 16, organization from 11 to 15, vocabulary from 9 to13, grammar from 8 to 10, and mechanics from 8 to 11 where the improvement for each aspect was 4, 4, 4, 2 and 3. Despite the results showed that the TPS technique was effective for improving the students’ mastery of organization, vocabulary and content, but less so for improving mechanics and grammar. This is probably because it is generally much easier to make improvements in organization, vocabulary and content but it requires a much longer effort with much more practice to significantly improve mechanics and grammar. Nevertheless, the writers suggest that English teachers and others can use the TPS technique to teach writing, hence some of the problems faced by students in writing can be helped and, more importantly, they can improve their ability to write English.

  10. All-optical optoacoustic microscopy based on probe beam deflection technique

    OpenAIRE

    Maswadi, Saher M.; Ibey, Bennett L.; Roth, Caleb C.; Tsyboulski, Dmitri A.; Beier, Hope T.; Glickman, Randolph D.; Oraevsky, Alexander A.

    2016-01-01

    Optoacoustic (OA) microscopy using an all-optical system based on the probe beam deflection technique (PBDT) for detection of laser-induced acoustic signals was investigated as an alternative to conventional piezoelectric transducers. PBDT provides a number of advantages for OA microscopy including (i) efficient coupling of laser excitation energy to the samples being imaged through the probing laser beam, (ii) undistorted coupling of acoustic waves to the detector without the need for separa...

  11. Direct Writing of Fiber Bragg Grating in Microstructured Polymer Optical Fiber

    DEFF Research Database (Denmark)

    Stefani, Alessio; Stecher, Matthias; Town, G. E.

    2012-01-01

    We report point-by-point laser direct writing of a 1520-nm fiber Bragg grating in a microstructured polymer optical fiber (mPOF). The mPOF is specially designed such that the microstructure does not obstruct the writing beam when properly aligned. A fourth-order grating is inscribed in the m......POF with only a 2.5-s writing time....

  12. Nanoscale Engineering in VO2 Nanowires via Direct Electron Writing Process.

    Science.gov (United States)

    Zhang, Zhenhua; Guo, Hua; Ding, Wenqiang; Zhang, Bin; Lu, Yue; Ke, Xiaoxing; Liu, Weiwei; Chen, Furong; Sui, Manling

    2017-02-08

    Controlling phase transition in functional materials at nanoscale is not only of broad scientific interest but also important for practical applications in the fields of renewable energy, information storage, transducer, sensor, and so forth. As a model functional material, vanadium dioxide (VO 2 ) has its metal-insulator transition (MIT) usually at a sharp temperature around 68 °C. Here, we report a focused electron beam can directly lower down the transition temperature of a nanoarea to room temperature without prepatterning the VO 2 . This novel process is called radiolysis-assisted MIT (R-MIT). The electron beam irradiation fabricates a unique gradual MIT zone to several times of the beam size in which the temperature-dependent phase transition is achieved in an extended temperature range. The gradual transformation zone offers to precisely control the ratio of metal/insulator phases. This direct electron writing technique can open up an opportunity to precisely engineer nanodomains of diversified electronic properties in functional material-based devices.

  13. Characterizing Fiber Bragg Grating Index Profiles to Improve the Writing Process

    DEFF Research Database (Denmark)

    Espejo, M.J.; Svalgaard, Mikael; Dyer, S.D.

    2006-01-01

    We demonstrate an accurate method for identifying both systematic and random errors in a fiber Bragg grating (FBG) writing system and show its application to calibration of the writing process. We first measure the FBG impulse response using low-coherence interferometry, and then we calculate......-beam dithered phase mask FBG writing system. We demonstrate the ability to identify errors in the writing process that would not likely be found from a measurement of the FBG reflection spectrum alone....

  14. Development of ion beam sputtering techniques for actinide target preparation

    International Nuclear Information System (INIS)

    Aaron, W.S.; Zevenbergen, L.A.; Adair, H.L.

    1985-01-01

    Ion beam sputtering is a routine method for the preparation of thin films used as targets because it allows the use of minimum quantity of starting material, and losses are much lower than most other vacuum deposition techniques. Work is underway in the Isotope Research Materials Laboratory (IRML) at ORNL to develop the techniques that will make the preparation of actinide targets up to 100 μg/cm 2 by ion beam sputtering a routinely available service from IRML. The preparation of the actinide material in a form suitable for sputtering is a key to this technique, as is designing a sputtering system that allows the flexibility required for custom-ordered target production. At present, development work is being conducted on low-activity in a bench-top system. The system will then be installed in a hood or glove box approved for radioactive materials handling where processing of radium, actinium, and plutonium isotopes among others will be performed. (orig.)

  15. Development of ion beam sputtering techniques for actinide target preparation

    Science.gov (United States)

    Aaron, W. S.; Zevenbergen, L. A.; Adair, H. L.

    1985-06-01

    Ion beam sputtering is a routine method for the preparation of thin films used as targets because it allows the use of a minimum quantity of starting material, and losses are much lower than most other vacuum deposition techniques. Work is underway in the Isotope Research Materials Laboratory (IRML) at ORNL to develop the techniques that will make the preparation of actinide targets up to 100 μg/cm 2 by ion beam sputtering a routinely available service from IRML. The preparation of the actinide material in a form suitable for sputtering is a key to this technique, as is designing a sputtering system that allows the flexibility required for custom-ordered target production. At present, development work is being conducted on low-activity actinides in a bench-top system. The system will then be installed in a hood or glove box approved for radioactive materials handling where processing of radium, actinium, and plutonium isotopes among others will be performed.

  16. TOF technique for laser-driven proton beam diagnostics for the ELIMED beamline

    International Nuclear Information System (INIS)

    Milluzzo, G.; Scuderi, V.; Amico, A.G.; Cirrone, G.A.P.; Cuttone, G.; Larosa, G.; Leanza, R.; Petringa, G.; Pipek, J.; Romano, F.; Napoli, M. De; Dostal, J.; Margarone, D.; Schillaci, F.; Velyhan, A.

    2017-01-01

    The Time of Flight (TOF) method for laser-driven ion beam diagnostics has been extensively investigated so far for low energy ion diagnostics and several works, reported in literature [1,2], have shown its efficiency in the measurement of particle beam characteristics such as ion species, energy spectrum and current. Moreover, such technique allows obtaining a shot-to-shot on-line monitoring of optically accelerated particles, necessary to control the reproducibility of the accelerated beam and to deliver a beam suitable for any kind of applications. For this reason, the ELIMED beamline [3,4], which will be entirely developed at INFN-LNS and installed in 2017 within the ion beamline ELIMAIA (ELI Multidisciplinary Applications of laser-Ion Acceleration) experimental hall at ELI-Beamlines in Prague, will be equipped with an on-line diagnostics system composed by silicon carbide and diamond detectors, using the TOF technique. In this contribution, the procedure developed for TOF signal analysis will be briefly reported.

  17. Ion beam nanopatterning and micro-Raman spectroscopy analysis on HOPG for testing FIB performances

    International Nuclear Information System (INIS)

    Archanjo, B.S.; Maciel, I.O.; Martins Ferreira, E.H.; Peripolli, S.B.; Damasceno, J.C.; Achete, C.A.; Jorio, A.

    2011-01-01

    This work reports Ga + focused ion beam nanopatterning to create amorphous defects with periodic square arrays in highly oriented pyrolytic graphite and the use of Raman spectroscopy as a new protocol to test and compare progresses in ion beam optics, for low fluence bombardment or fast writing speed. This can be ultimately used as a metrological tool for comparing different FIB machines and can contribute to Focused Ion Beam (FIB) development in general for tailoring nanostructures with higher precision. In order to do that, the amount of ion at each spot was varied from about 10 6 down to roughly 1 ion per dot. These defects were also analyzed by using high resolution scanning electron microscopy and atomic force microscopy. The sensitivities of these techniques were compared and a geometrical model is proposed for micro-Raman spectroscopy in which the intensity of the defect induced D band, for a fixed ion dose, is associated with the diameter of the ion beam. In addition, the lateral increase in the bombarded spot due to the cascade effect of the ions on graphite surface was extracted from this model. A semi-quantitative analysis of the distribution of ions at low doses per dot or high writing speed for soft modification of materials is discussed. -- Highlights: → Highly oriented pyrolytic graphite surface is bombarded using a focused ion beam. → Raman spectroscopy is used to propose a new protocol to test focused ion beam optics. → Scattering diameter of the ions on HOPG surface is experimentally obtained. → Optical limitations of the ion column in fast writing speed are discussed. → Small level of modifications is considered for changing graphene conductive properties.

  18. Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

    Directory of Open Access Journals (Sweden)

    Domagoj Belić

    2017-11-01

    Full Text Available This work presents a highly effective approach for the chemical purification of directly written 2D and 3D gold nanostructures suitable for plasmonics, biomolecule immobilisation, and nanoelectronics. Gold nano- and microstructures can be fabricated by one-step direct-write lithography process using focused electron beam induced deposition (FEBID. Typically, as-deposited gold nanostructures suffer from a low Au content and unacceptably high carbon contamination. We show that the undesirable carbon contamination can be diminished using a two-step process – a combination of optimized deposition followed by appropriate postdeposition cleaning. Starting from the common metal-organic precursor Me2-Au-tfac, it is demonstrated that the Au content in pristine FEBID nanostructures can be increased from 30 atom % to as much as 72 atom %, depending on the sustained electron beam dose. As a second step, oxygen-plasma treatment is established to further enhance the Au content in the structures, while preserving their morphology to a high degree. This two-step process represents a simple, feasible and high-throughput method for direct writing of purer gold nanostructures that can enable their future use for demanding applications.

  19. Automation of neutral beam source conditioning with artificial intelligence techniques

    International Nuclear Information System (INIS)

    Johnson, R.R.; Canales, T.W.; Lager, D.L.

    1985-01-01

    This paper describes a system that automates neutral beam source conditioning. The system achieves this with artificial intelligence techniques. The architecture of the system is presented followed by a description of its performance

  20. Automation of neutral beam source conditioning with artificial intelligence techniques

    International Nuclear Information System (INIS)

    Johnson, R.R.; Canales, T.; Lager, D.

    1986-01-01

    This paper describes a system that automates neutral beam source conditioning. The system achieves this with artificial intelligence techniques. The architecture of the system is presented followed by a description of its performance

  1. Pattern generation using axicon lens beam shaping in two-photon polymerisation

    International Nuclear Information System (INIS)

    Bhuian, B.; Winfield, R.J.; O'Brien, S.; Crean, G.M.

    2007-01-01

    The fabrication of three-dimensional microstructures by two-photon polymerisation has been widely reported as a viable route to the development of photonic crystals, rotors, bridges and other complex artefacts requiring nanoscale resolution. Conventionally, single point serial writing is used to write the structures but recently multipoint beam delivery using beam division optics has been reported as a method of introducing parallel processing. In this paper we present an alternative and novel approach using an axicon lens to give profiled beam delivery. This enables complete three-dimensional annular structure fabrication without the use of scanning stages. In addition, the concept of axicon delivery is developed further to investigate three-dimensional structure as a function of axicon geometry. A Ti:sapphire laser, with wavelength 795 nm, 80 MHz repetition rate, 100 fs pulse duration and an average power of 700 mW, was used to initiate two-photon polymerisation. The axicon was used, in combination with a 100x microscope objective, to form representative three-dimensional structures based on the annular cell with varying diameter. The structures were written in a Zr-loaded resin prepared on a glass substrate using dip coating deposition of a Zr/PMMA hybrid prepared by the sol-gel method. Annuli with diameters up to 50 μm were characterised in terms of topography and surface roughness using SEM and Zygo interferometer. The writing technique was also extended to fabrication of stacked structures

  2. Holographic memory using beam steering

    Science.gov (United States)

    Chao, Tien-Hsin (Inventor); Hanan, Jay C. (Inventor); Reyes, George F. (Inventor); Zhou, Hanying (Inventor)

    2006-01-01

    A method, apparatus, and system provide the ability for storing holograms at high speed. A single laser diode emits a collimated laser beam to both write to and read from a photorefractice crystal. One or more liquid crystal beam steering spatial light modulators (BSSLMs) or Micro-Electro-Mechanical Systems (MEMS) mirrors steer a reference beam, split from the collimated laser beam, at high speed to the photorefractive crystal.

  3. The effect of CFRP on retrofitting of damaged HSRC beams using AE technique

    Science.gov (United States)

    Soffian Noor, M. S.; Noorsuhada, M. N.

    2017-12-01

    This paper presents the effect of carbon fibre reinforced polymer (CFRP) on retrofitted high strength reinforced concrete (HSRC) beams using acoustic emission (AE) technique. Two RC beam parameters were prepared. The first was the control beam which was undamaged HSRC beam. The second was the damaged HSRC beam retrofitted with CFRP on the soffit. The main objective of this study is to assess the crack modes of HSRC beams using AE signal strength. The relationship between signal strength, load and time were analysed and discussed. The crack pattern observed from the visual observation was also investigated. HSRC beam retrofitted with CFRP produced high signal strength compared to control beam. It demonstrates the effect of the AE signal strength for interpretation and prediction of failure modes that might occur in the beam specimens.

  4. New technique for levitating solid particles using a proton beam

    International Nuclear Information System (INIS)

    Misconi, N.Y.

    1996-01-01

    A new technique for levitating solid particles inside a vacuum chamber is developed using a proton beam. This new technique differs from the classical laser-levitation technique invented by Ashkin in that it does not heat up light-absorbing levitated particles to vaporization. This unique property of the method will make it possible to levitate real interplanetary dust particles in a vacuum chamber and study their spin-up dynamics in a ground-based laboratory. It is found that a flux of protons from a proton gun of ∼ 10 15 cm -2 sec -1 is needed to levitate a 10-mm particle. Confinement of the levitated particle can be achieved by a Z or θ pinch to create a gravity well, or by making the beam profile doughnut in shape. In levitating real interplanetary particles, two spin-up mechanisms can be investigated using this technique: one is the Paddack Effect and the other is a spin-up mechanism by the interaction of F-coronal dust with CMEs (Coronal Mass Ejections). The real interplanetary particles were collected by Brownie and associates (also known as the Brownie Particles) from the earth's upper atmosphere. (author)

  5. Writing with a Personal Voice.

    Science.gov (United States)

    Rico, Gabriele Lusser

    1985-01-01

    Clustering is a nonlinear brainstorming technique that can encourage children's natural writing ability by helping them draw on their need to make patterns out of their experience. Tips for introducing cluster writing into the classroom are offered. (MT)

  6. MODELLING THE DELAMINATION FAILURE ALONG THE CFRP-CFST BEAM INTERACTION SURFACE USING DIFFERENT FINITE ELEMENT TECHNIQUES

    Directory of Open Access Journals (Sweden)

    AHMED W. AL-ZAND

    2017-01-01

    Full Text Available Nonlinear finite element (FE models are prepared to investigate the behaviour of concrete-filled steel tube (CFST beams strengthened by carbon fibre reinforced polymer (CFRP sheets. The beams are strengthened from the bottom side only by varied sheet lengths (full and partial beam lengths and then subjected to ultimate flexural loads. Three surface interaction techniques are used to implement the bonding behaviour between the steel tube and the CFRP sheet, namely, full tie interaction (TI, cohesive element (CE and cohesive behaviour (CB techniques using ABAQUS software. Results of the comparison between the FE analysis and existing experimental study confirm that the FE models with the TI technique could be applicable for beams strengthened by CFRP sheets with a full wrapping length; the technique could not accurately implement the CFRP delamination failure, which occurred for beams with a partial wrapping length. Meanwhile, the FE models with the CE and CB techniques are applicable in the implementation of both CFRP failures (rapture and delamination for both full and partial wrapping lengths, respectively. Where, the ultimate loads' ratios achieved by the FE models using TI, CE and CB techniques about 1.122, 1.047 and 1.045, respectively, comparing to the results of existing experimental tests.

  7. Ion beam analysis techniques applied to large scale pollution studies

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, D D; Bailey, G; Martin, J; Garton, D; Noorman, H; Stelcer, E; Johnson, P [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia)

    1994-12-31

    Ion Beam Analysis (IBA) techniques are ideally suited to analyse the thousands of filter papers a year that may originate from a large scale aerosol sampling network. They are fast multi-elemental and, for the most part, non-destructive so other analytical methods such as neutron activation and ion chromatography can be performed afterwards. ANSTO in collaboration with the NSW EPA, Pacific Power and the Universities of NSW and Macquarie has established a large area fine aerosol sampling network covering nearly 80,000 square kilometres of NSW with 25 fine particle samplers. This network known as ASP was funded by the Energy Research and Development Corporation (ERDC) and commenced sampling on 1 July 1991. The cyclone sampler at each site has a 2.5 {mu}m particle diameter cut off and runs for 24 hours every Sunday and Wednesday using one Gillman 25mm diameter stretched Teflon filter for each day. These filters are ideal targets for ion beam analysis work. Currently ANSTO receives 300 filters per month from this network for analysis using its accelerator based ion beam techniques on the 3 MV Van de Graaff accelerator. One week a month of accelerator time is dedicated to this analysis. Four simultaneous accelerator based IBA techniques are used at ANSTO, to analyse for the following 24 elements: H, C, N, O, F, Na, Al, Si, P, S, Cl, K, Ca, Ti, V, Cr, Mn, Fe, Cu, Ni, Co, Zn, Br and Pb. The IBA techniques were proved invaluable in identifying sources of fine particles and their spatial and seasonal variations accross the large area sampled by the ASP network. 3 figs.

  8. Ion beam analysis techniques applied to large scale pollution studies

    Energy Technology Data Exchange (ETDEWEB)

    Cohen, D.D.; Bailey, G.; Martin, J.; Garton, D.; Noorman, H.; Stelcer, E.; Johnson, P. [Australian Nuclear Science and Technology Organisation, Lucas Heights, NSW (Australia)

    1993-12-31

    Ion Beam Analysis (IBA) techniques are ideally suited to analyse the thousands of filter papers a year that may originate from a large scale aerosol sampling network. They are fast multi-elemental and, for the most part, non-destructive so other analytical methods such as neutron activation and ion chromatography can be performed afterwards. ANSTO in collaboration with the NSW EPA, Pacific Power and the Universities of NSW and Macquarie has established a large area fine aerosol sampling network covering nearly 80,000 square kilometres of NSW with 25 fine particle samplers. This network known as ASP was funded by the Energy Research and Development Corporation (ERDC) and commenced sampling on 1 July 1991. The cyclone sampler at each site has a 2.5 {mu}m particle diameter cut off and runs for 24 hours every Sunday and Wednesday using one Gillman 25mm diameter stretched Teflon filter for each day. These filters are ideal targets for ion beam analysis work. Currently ANSTO receives 300 filters per month from this network for analysis using its accelerator based ion beam techniques on the 3 MV Van de Graaff accelerator. One week a month of accelerator time is dedicated to this analysis. Four simultaneous accelerator based IBA techniques are used at ANSTO, to analyse for the following 24 elements: H, C, N, O, F, Na, Al, Si, P, S, Cl, K, Ca, Ti, V, Cr, Mn, Fe, Cu, Ni, Co, Zn, Br and Pb. The IBA techniques were proved invaluable in identifying sources of fine particles and their spatial and seasonal variations accross the large area sampled by the ASP network. 3 figs.

  9. A new technique for ion beam tritium labelling

    International Nuclear Information System (INIS)

    Zhang Nianbao; Sheng Shugang; Yao Fuzeng

    1990-06-01

    An advanced technique, the ion beam tritium labelling method (IBTL), used for labelling proteins, peptides and other nonvolatile organic compounds is introduced. In this method the excited tritium ion beam is accelerated and then bombs a solid sample target in which tritium exchanging for hydrogen is taken place. The IBTL has been used for preparation of tritiated soybean trypsin inhibitor, ribonuclease A, elastin and pachyman etc. After purifing by dialysis, ion exchange chromatography and gel filtration, the tritiated proteins and polysaccharide were obtained with the specific activity over 37 GBq/mmol, the function of tritiated decomposition products was not found. The product was shown to have native biological activity. Amino acid analysis of tritiated protein showed that the relative specific radioactivities were higher for His., Tyr. and Phe. but lower for Val., Ile. and Ser

  10. Writing Poetry: A Self-Instructional Approach.

    Science.gov (United States)

    Utah Univ., Salt Lake City. Bureau of Educational Research.

    The general design of this book is that of a step-by-step self-instructional program leading toward the writing of poetry. It consists of 156 exercises which lead the student from writing about a picture and poems to kinds of poetry and techniques for writing poetry (alliteration, assonance, consonance, onomatopoeia, metaphor, simile, rhyme, meter…

  11. Source reconstruction using phase space beam summation technique

    International Nuclear Information System (INIS)

    Graubart, Gideon.

    1990-10-01

    In this work, the phase-space beam summation technique (PSBS), is applied to back propagation and inverse source problems. The PSBS expresses the field as a superposition of shifted and tilted beams. This phase space spectrum of beams is matched to the source distribution via an amplitude function which expresses the local spectrum of the source function in terms of a local Fourier transform. In this work, the emphasis is on the phase space processing of the data, on the information content of this data and on the back propagation scheme. More work is still required to combine this back propagation approach in a full, multi experiment inverse scattering scheme. It is shown that the phase space distribution of the data, computed via the local spectrum transform, is localized along lines that define the local arrival direction of the wave data. We explore how the choice of the beam width affects the compactification of this distribution, and derive criteria for choosing a window that optimizes this distribution. It should be emphasized that compact distribution implies fewer beams in the back propagation scheme and therefore higher numerical efficiency and better physical insight. Furthermore it is shown how the local information property of the phase space representation can be used to improve the performance of this simple back propagation problem, in particular with regard to axial resolution; the distance to the source can be determined by back propagating only the large angle phase space beams that focus on the source. The information concerning transverse distribution of the source, on the other hand, is contained in the axial phase space region and can therefore be determined by the corresponding back propagating beams. Because of the global nature of the plane waves propagators the conventional plane wave back propagation scheme does not have the same 'focusing' property, and therefore suffers from lack of information localization and axial resolution. The

  12. Learning to Write and Writing to Learn Social Work Concepts: Application of Writing across the Curriculum Strategies and Techniques to a Course for Undergraduate Social Work Students

    Science.gov (United States)

    Horton, E. Gail; Diaz, Naelys

    2011-01-01

    Although writing is of great importance to effective social work practice, many students entering social work education programs experience serious academic difficulties related to writing effectively and thinking critically. The purpose of this article is to present an introductory social work course that integrates Writing Across the Curriculum…

  13. A calculation technique of passing of a powerful relativistic beam through substance

    International Nuclear Information System (INIS)

    Pobitko, A.I.; Sal'nikov, L.I.; Sukhovitskij, E.Sh.

    1995-01-01

    The calculation algorithm of passing powerful relativistic beam through substance is developed. Algorithm of calculation is separated on the following problems: 1) a trial charge movement in electromagnetic field of the cylindrical geometry; 2) a computing of own electromagnetic field arising at movement of a particle heavy-current beam in a target; 3) accounting of an interaction of a beam with target atoms; 4) accounting of change of the target properties in a time; 5) geometry and construction of an iterative procedure of calculation. The calculation of passing heavy-current beams of charged particles for transient case is carried out by Monte Carlo method. A conclusion of equations of movement trial charge and technique of calculation own electromagnetic field of the powerful relativistic beam at passing through substance are resulted. 6 refs

  14. New technique of skin embedded wire double-sided laser beam welding

    Science.gov (United States)

    Han, Bing; Tao, Wang; Chen, Yanbin

    2017-06-01

    In the aircraft industry, double-sided laser beam welding is an approved method for producing skin-stringer T-joints on aircraft fuselage panels. As for the welding of new generation aluminum-lithium alloys, however, this technique is limited because of high hot cracking susceptibility and strengthening elements' uneven distributions within weld. In the present study, a new technique of skin embedded wire double-sided laser beam welding (LBW) has been developed to fabricate T-joints consisting of 2.0 mm thick 2060-T8/2099-T83 aluminum-lithium alloys using eutectic alloy AA4047 filler wire. Necessary dimension parameters of the novel groove were reasonably designed for achieving crack-free welds. Comparisons were made between the new technique welded T-joint and conventional T-joint mainly on microstructure, hot crack, elements distribution features and mechanical properties within weld. Excellent crack-free microstructure, uniform distribution of silicon and superior tensile properties within weld were found in the new skin embedded wire double-sided LBW T-joints.

  15. RF photo-injector beam energy distribution studies by slicing technique

    Science.gov (United States)

    Filippetto, D.; Bellaveglia, M.; Musumeci, P.; Ronsivalle, C.

    2009-07-01

    The SPARC photo-injector is an R&D facility dedicated to the production of high brightness electron beams for radiation generation via FEL or Thomson scattering processes. It is the prototype injector for the recently approved SPARX project, aiming at the construction in the Frascati/University of Rome Tor Vergata area of a new high brightness electron linac for the generation of SASE-FEL radiation in the 1-10 nm wavelength range. The first phase of the SPARC project has been dedicated to the e-beam source characterization; the beam transverse and longitudinal parameters at the exit of the gun have been measured, and the photo-injector settings optimized to achieve best performance. Several beam dynamics topics have been experimentally studied in this first phase of operation, as, for example, the effect of photocathode driver laser beam shaping and the evolution of the beam transverse emittance. These studies have been made possible by the use of a novel diagnostic tool, the " emittance-meter" which enables the measurement of the transverse beam parameters at different positions along the propagation axis in the very interesting region at the exit of the RF gun. The new idea of extending the e-meter capabilities came out more recently. Information on the beam longitudinal phase space and correlations with the transverse planes can be retrieved by the slicing technique. In this paper, we illustrate the basic concept of the measurement together with simulations that theoretically validate the methodology. Some preliminary results are discussed and explained with the aid of code simulations.

  16. Writing for Immediacy: Narrative Writing as a Teaching Technique in Undergraduate Cultural Geography

    Science.gov (United States)

    Summerby-Murray, Robert

    2010-01-01

    Narrative inquiry is an innovative means of encouraging students to internalize concepts, reflect on experiences or create applications for theoretical ideas. The use of first-person creative writing in a second-year cultural geography course prompted initial scepticism from students but eventually highlighted their constructivist engagement with…

  17. Technical report writing today

    CERN Document Server

    Riordan, Daniel G

    2014-01-01

    "Technical Report Writing Today" provides thorough coverage of technical writing basics, techniques, and applications. Through a practical focus with varied examples and exercises, students internalize the skills necessary to produce clear and effective documents and reports. Project worksheets help students organize their thoughts and prepare for assignments, and focus boxes highlight key information and recent developments in technical communication. Extensive individual and collaborative exercises expose students to different kinds of technical writing problems and solutions. Annotated student examples - more than 100 in all - illustrate different writing styles and approaches to problems. Numerous short and long examples throughout the text demonstrate solutions for handling writing assignments in current career situations. The four-color artwork in the chapter on creating visuals keeps pace with contemporary workplace capabilities. The Tenth Edition offers the latest information on using electronic resum...

  18. Phase aberrations and beam cleanup techniques in carbon-dioxide laser fusion systems

    International Nuclear Information System (INIS)

    Viswanathan, V.K.

    1981-01-01

    This paper describes the various carbon dioxide laser fusion systems at Los Alamos from the point of view of an optical designer. The types of phase aberrations present in these systems, as well as the beam cleanup techniques that can be used to improve the beam optical quality, are discussed. As this is a review article, some previously published results are also used where relevant

  19. Beam profile measurements on the advanced test accelerator using optical techniques

    International Nuclear Information System (INIS)

    Chong, Y.P.; Kalibjian, R.; Cornish, J.P.; Kallman, J.S.; Donnelly, D.

    1986-01-01

    Beam current density profiles of ATA have been measured both spatially and temporally using a number of diagnostics. An extremely important technique involves measuring optical emissions from either a target foil inserted into the beam path or gas atoms and molecules excited by beam electrons. This paper describes the detection of the optical emission. A 2-D gated television camera with a single or dual micro-channel-plate (MCP) detector for high gain provides excellent spatial and temporal resolution. Measurements are routinely made with resolutions of 1 mm and 5 ns respectively. The optical line of sight allows splitting part of the signal to a streak camera or photometer for even higher time resolution

  20. Laser writing and rewriting on YBa2Cu3O7 films

    International Nuclear Information System (INIS)

    Shen, Y.Q.; Freltoft, T.; Vase, P.

    1991-01-01

    High-resolution patterning (4 μm) has been achieved on epitaxial thin films of the high-temperature superconductor YBa 2 Cu 3 O 7-δ using laser writing. A focused laser beam is applied to write semiconducting patterns on superconducting films in a vacuum or in a nitrogen atmosphere. The semiconducting patterns are shown to be formed by a reduction of the oxygen content due to local heating caused by the laser beam. The process does not cause any structural damage and does not change the surface morphology. When reapplying the same laser beam and rewriting the film in an oxygen atmosphere, it is possible to restore the superconductive properties. The application of this method for production of superconducting microelectronic circuits is discussed

  1. A Community of Writers: Peer Tutor Training for Writing Center Techniques Which Foster Dialogue in the Writing Process.

    Science.gov (United States)

    Houston, Linda; Johnson, Candice

    After much trial and error, the Agricultural Technical Institute of the Ohio State University (ATI/OSO) discovered that training of writing lab tutors can best be done through collaboration of the Writing Lab Coordinator with the "Development of Tutor Effectiveness" course offered at the institute. The ATI/OSO main computer lab and…

  2. Dosimetric evaluations and comparisons between different techniques (Fan beam, Cone beam, OPT) in the dental industry and not

    International Nuclear Information System (INIS)

    Rampado, O.

    2014-01-01

    In recent years there has been an impressive evolution and spread of cone beam tomographic equipment, in particular in the dental and maxillofacial surgery. These devices exhibit unique characteristics both from the point of view of the geometric parameters of exposure than the quality of the beams radiating employed. In parallel to this technological development it was dealt with the quantification of the dose to the patient, with a discussion between experts to define what are the variables most appropriate to use and the appropriate ways of measuring. And it is of interest also the discussion on the comparison of the risks associated with the use of this method as an alternative to traditional techniques or other tomographic techniques, both on the criteria of optimization in the realization of the tests.

  3. Writing robust C++ code for critical applications

    CERN Multimedia

    CERN. Geneva

    2015-01-01

    **C++** is one of the most **complex**, expressive and powerful languages out there. However, its complexity makes it hard to write **robust** code. When using C++ to code **critical** applications, ensuring **reliability** is one of the key topics. Testing, debugging and profiling are all a major part of this kind of work. In the BE department we use C++ to write a big part of the controls system for beam operation, which implies putting a big focus on system stability and ensuring smooth operation. This talk will try to: - Highlight potential problems when writing C++ code, giving guidelines on writing defensive code that could have avoided such issues - Explain how to avoid common pitfalls (both in writing C++ code and at the debugging & profiling phase) - Showcase some tools and tricks useful to C++ development The attendees' proficiency in C++ should not be a concern. Anyone is free to join, even people that do not know C++, if only to learn the pitfalls a language may have. This may benefit f...

  4. Patterning of nanoparticulate transparent conductive ITO films using UV light irradiation and UV laser beam writing

    International Nuclear Information System (INIS)

    Solieman, A.; Moharram, A.H.; Aegerter, M.A.

    2010-01-01

    Indium tin oxide (ITO) thin film is one of the most widely used as transparent conductive electrodes in all forms of flat panel display (FPD) and microelectronic devices. Suspension of already crystalline conductive ITO nanoparticles fully dispersed in alcohol was spun, after modifying with coupling agent, on glass substrates. The low cost, simple and versatile traditional photolithography process without complication of the photoresist layer was used for patterning ITO films. Using of UV light irradiation through mask and direct UV laser beam writing resulted in an accurate linear, sharp edge and very smooth patterns. Irradiated ITO film showed a high transparency (∼85%) in the visible region. The electrical sheet resistance decrease with increasing time of exposure to UV light and UV laser. Only 5 min UV light irradiation is enough to decrease the electrical sheet resistance down to 5 kΩ□.

  5. Writing in turbulent air.

    Science.gov (United States)

    Bominaar, Jeroen; Pashtrapanska, Mira; Elenbaas, Thijs; Dam, Nico; ter Meulen, Hans; van de Water, Willem

    2008-04-01

    We describe a scheme of molecular tagging velocimetry in air in which nitric oxide (NO) molecules are created out of O2 and N2 molecules in the focus of a strong laser beam. The NO molecules are visualized a while later by laser-induced fluorescence. The precision of the molecular tagging velocimetry of gas flows is affected by the gradual blurring of the written patterns through molecular diffusion. In the case of turbulent flows, molecular diffusion poses a fundamental limit on the resolution of the smallest scales in the flow. We study the diffusion of written patterns in detail for our tagging scheme which, at short (micros) delay times is slightly anomalous due to local heating by absorption of laser radiation. We show that our experiments agree with a simple convection-diffusion model that allows us to estimate the temperature rise upon writing. Molecular tagging can be a highly nonlinear process, which affects the art of writing. We find that our tagging scheme is (only) quadratic in the intensity of the writing laser.

  6. Laser direct writing using submicron-diameter fibers.

    Science.gov (United States)

    Tian, Feng; Yang, Guoguang; Bai, Jian; Xu, Jianfeng; Hou, Changlun; Liang, Yiyong; Wang, Kaiwei

    2009-10-26

    In this paper, a novel direct writing technique using submicron-diameter fibers is presented. The submicron-diameter fiber probe serves as a tightly confined point source and it adopts micro touch mode in the process of writing. The energy distribution of direct writing model is analyzed by Three-Dimension Finite-Difference Time-Domain method. Experiments demonstrate that submicron-diameter fiber direct writing has some advantages: simple process, 350-nm-resolution (lower than 442-nm-wavelength), large writing area, and controllable width of lines. In addition, by altering writing direction of lines, complex submicron patterns can be fabricated.

  7. How to write reports and proposals

    CERN Document Server

    Forsyth, Patrick

    2016-01-01

    How to Write Reports and Proposals is essential reading for achieving effective writing techniques. Getting a message across on paper and presenting a proposal in a clear and persuasive form are vital skills for anyone in business. How to Write Reports and Proposals provides practical advice on how to impress, convince and persuade your colleagues or clients. It will help you: improve your writing skills; think constructively before writing; create a good report; produce persuasive proposals; use clear and distinctive language; present numbers, graphs and charts effectively. Full of checklists, exercises and real life examples, this new edition also contains content on how to write succinctly and with impact across different mediums. How to Write Reports and Proposals will help you to put over a good case with style.

  8. Moving strip technique of electron beam therapy

    Energy Technology Data Exchange (ETDEWEB)

    Matsushima, Kishio; Wakasa, Hiroyuki; Oguri, Nobuhiro; Kitayama, Takuichi; Nakagiri, Yoshitada; Mikami, Yasutaka; Hashimoto, Keiji; Hiraki, Yoshio; Aono, Kaname

    1984-12-01

    The fieldsize in electron beam therapy is determined by the cone size. In case of skin metastasis of a malignant tumor and so on, which need a large field size and whose area is much larger than the size of the cone, a large field size is usually produced by dividing the portals. However, the dose distribution at the border of the field becomes unequal, and hot and cold dose areas are produced according to the distance between portals. We tried the strip field technique in a large field along the long axis of the body in order to flatten the dose of the border employing the moving strip used for whole abdominal irradiation in ovarian cancer. We set the film in Mix-DP and used the strip field technique with 2.5cm steps. We discussed the relationship between the interval (distance between portals) and the flattening of the dose within the field. Skin movement due to breathing and influences on the flattening of the dose were considered. The proper flatness was obtained at depths of 0,1,2, and 3cm by setting the interval at 0.5cm. When skin movement was produced by breathing in +-1.5mm, the proper flaness was obtained also at a 0.5-cm interval. It seems that smoothing is increased by breathing. An ''electron beam moving strip'' with a 2.5-cm step and 0.5-cm interval was clinically effective in the treatment of patients with skin metastasis of colon cancer. (author).

  9. Beam synchronous detection techniques for X-Ray spectroscopy

    International Nuclear Information System (INIS)

    Goujon, Gérard; Rogalev, Andreï; Goulon, José; Feite, Serge; Wilhelm, Fabrice

    2013-01-01

    The Photo diode detectors combine a set of properties that make them most appropriate, in particular, for X-ray Magnetic Circular Dichroism (XMCD) experiments. Under standard operating conditions, the detection bandwidth is primarily limited by the transimpedance preamplifier that converts the very low ac photocurrent into a voltage. On the other hand, when the photodiode is reverse biased, its finite shunt resistance will cause an undesirable, temperature dependent DC dark current. The best strategy to get rid of it is to use synchronous detection techniques. A classical implementation is based on the use of a chopper modulating the X-ray beam intensity at rather low frequencies (typically below 1 kHz). Here we report on the recent development of a fast Xray detection which has the capability to fully exploit the frequency structure of the ESRF X-ray beam (355 KHz and its harmonics). The availability of new wide band preamplifiers allowed us to extend the working frequency range up to a few MHz. A beam synchronous data processing was implemented in large FPGAs. Performances of the new detection system implemented at the ESRF beamline ID12 are illustrated with detection of the Fe K-edge XMCD spectra in garnets, using 4 bunches operation mode with modulation frequency of 1.4 MHz.

  10. VIDEO TO AMPLIFY BANKING STUDENT’S WRITING PERFORMANCE

    Directory of Open Access Journals (Sweden)

    Fenny Thresia -

    2017-02-01

    Full Text Available Abstract: Writing is activity to mix between the idea, vocabulary and also grammar. By looking at the problems, the teacher should make the proper method in teaching writing in order to increase the students writing skill and also make the writing be an interesting activity to them. One of the good methods is using video as a media of learning. Video can stimulates the student’s to makes them easier to find the ideas in writing process, because video included 3D and also the complex media. This research was aimed at detecting the influence of using video as a media toward student's writing performance.This research was quantitative research form and the sampling technique was random sampling. The data collection method in this research used the documentation and test that consist of pre-test and pos-test. The data analysis technique of this research used T-test as the hypothetical statistic calculation. Based on the research analysis, there is any positive and significant influence of using video as a media toward students’ writing performance of banking students.

  11. What If They Just Want To Write?

    Science.gov (United States)

    Gilmar, Sybil

    1979-01-01

    Writing workshops are held for gifted students (7 to 15 years old) and include journalism, guidebook, and fiction work with critical analysis of each other's writing. Sample exercises and brainstorming techniques are discussed. (CL)

  12. Clinical report writing: Process and perspective

    Science.gov (United States)

    Ewald, H. R.

    1981-01-01

    Clinical report writing in psychology and psychiatry is addressed. Audience/use analysis and the basic procedures of information gathering, diagnosis, and prognosis are described. Two interlinking processes are involved: the process of creation and the process of communication. Techniques for good report writing are presented.

  13. Implementation of intra-cavity beam shaping technique to enhance pump efficiency

    CSIR Research Space (South Africa)

    Litvin, IA

    2012-02-01

    Full Text Available In this work the author proposes an implementation of a new intra-cavity beam shaping technique to vary the intensity distribution of the fundamental mode in a resonator cavity while maintaining a constant intensity distribution at the output...

  14. The UV and Laser Aging for PMMA/BDK/Azo-dye Polymer Blend Cured by UV Light Beams

    International Nuclear Information System (INIS)

    Ahmad, A A; Omari, A M

    2015-01-01

    A polymeric-based solution blend composed of Azo-dye methyl red (MR) doped with polymethelmethacrelate (PMMA) solution, in addition, to the BenzylDimethylKetal (BDK) photoinitiator was made with optimum molar ratios and deposited on glass substrate by spin coating technique. The samples were then exposed to UV light beams in order to assist the layers polymerization by the proper exposure process. The photo chemical reaction occurred during the UV light polymerization process induces photo refractive changes which were presented as a function of wavelength or photon energy. Two main strong absorption peaks were observed in the films at around 330 nm (3.75 eV) and 500 nm (2.48 eV) for different curing time periods. This phenomenon enhances the films usage for optical data storage media at these two wavelengths. Since the deposited films were then useful as based layers for Read/Write optical data storage media, they were then tested by UV or laser Read/Write beams independently. The optical properties of the films were investigated while exposed to each beam. Finally, their optical properties were investigated as a function of aging time in order to relate the temporary and/or permanent light-exposure effect on the films compared to their optical properties before the light exposure. The films show a low absorbance at 630 nm (1.97 eV) and high absorbance at 480 nm (2.58 eV). This fact makes it possible to record holographic gratings in the polymeric film upon light exposure. In all cases the optical properties were evaluated by using the very sensitive, non destructive surface testing spectroscopic ellipsometry technique. The films were characterized in the spectral range of 300 to 1000 nm using Lorentz oscillator model with one oscillator centred at 4.15 eV. This study has been supported by the SEM and EDAX results to investigate the effect of the UV and visible beams on their optical properties. The results of this research determined the proper conditions for

  15. A new crossed molecular beam apparatus using time-sliced ion velocity imaging technique

    International Nuclear Information System (INIS)

    Wu Guorong; Zhang Weiqing; Pan Huilin; Shuai Quan; Jiang Bo; Dai Dongxu; Yang Xueming

    2008-01-01

    A new crossed molecular beam apparatus has been constructed for investigating polyatomic chemical reactions using the time-sliced ion velocity map imaging technique. A unique design is adopted for one of the two beam sources and allows us to set up the molecular beam source either horizontally or vertically. This can be conveniently used to produce versatile atomic or radical beams from photodissociation and as well as electric discharge. Intensive H-atom beam source with high speed ratio was produced by photodissociation of the HI molecule and was reacted with the CD 4 molecule. Vibrational-state resolved HD product distribution was measured by detecting the CD 3 product. Preliminary results were also reported on the F+SiH 4 reaction using the discharged F atom beam. These results demonstrate that this new instrument is a powerful tool for investigating chemical dynamics of polyatomic reactions.

  16. Additive direct-write microfabrication for MEMS: A review

    Science.gov (United States)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  17. The application of Lie algebra techniques to beam transport design

    International Nuclear Information System (INIS)

    Irwin, J.

    1990-01-01

    Using a final focus system for high-energy linear colliders as an example of a beam transport system, we illustrate for each element, and for the interplay of elements, the connection of Lie algebra techniques with usual optical analysis methods. Our analysis describes, through fourth order, the calculation and compensation of all important aberrations. (orig.)

  18. The Effectiveness of Using WhatsApp Messenger as One of Mobile Learning Techniques to Develop Students' Writing Skills

    Science.gov (United States)

    Fattah, Said Fathy El Said Abdul

    2015-01-01

    The present study was an attempt to determine the effectiveness of using a WhatsApp Messenger as one of mobile learning techniques to develop students' writing skills. Participants were 30 second year college students, English department from a private university in Saudi Arabia. The experimental group (N = 15) used WhatsApp technology to develop…

  19. Techniques to sort Bessel beams

    CSIR Research Space (South Africa)

    Dudley, Angela L

    2013-09-01

    Full Text Available -polar coordinate transformation, translating helically phased beams into a transverse phase gradient. By introducing two cylindrical lenses we can focus each of the azimuthal modes associated with each Bessel beam to a different lateral position in the Fourier...

  20. Neutral atom beam technique enhances bioactivity of PEEK

    International Nuclear Information System (INIS)

    Khoury, Joseph; Kirkpatrick, Sean R.; Maxwell, Melissa; Cherian, Raymond E.; Kirkpatrick, Allen; Svrluga, Richard C.

    2013-01-01

    Polyetheretherketone (PEEK) is currently gaining popularity in orthopedic and spinal applications but has potential drawbacks in use. PEEK is biocompatible, similar in elasticity to bone, and radiolucent; however, it has been shown to be inert and does not integrate well with bone. Recent efforts have focused on increasing the bioactivity of PEEK by modifying the surface to improve the bone-implant interface. We have employed a novel Accelerated Neutral Atom Beam technique (ANAB) to enhance the bioactivity of PEEK. ANAB employs an intense beam of cluster-like packets of accelerated unbonded neutral argon (Ar) gas atoms. These beams are created by first producing a highly energetic Gas Cluster Ion Beam (GCIB) comprised of van der Waals bonded Ar atoms, then transferring energy to the clusters so as to cause release of most of the interatomic bonds, and finally deflecting away the remaining electrically charged cluster cores of still bonded atoms. We identified that ANAB treatment of PEEK results in nanometer scale surface modifications as well as increased surface hydrophilicity. Human osteoblasts seeded onto the surface of ANAB-treated PEEK exhibited enhanced growth as compared to control PEEK as evidenced by cell proliferation assays and microscopy. This increase in bioactivity resulted in cell proliferation levels comparable to native titanium. An in vivo study using a rat calvarial critical size defect model revealed enhanced osseointegration where bone tissue formation was evident only on the ANAB treated PEEK. Taken together, these data suggest that ANAB treatment of PEEK has the potential to enhance its bioactivity, resulting in bone formation and significantly decreasing osseointegration time of orthopedic and spinal implants

  1. Nanosecond and femtosecond mass spectroscopic analysis of a molecular beam produced by the spray-jet technique

    International Nuclear Information System (INIS)

    Yamada, Toshiki; Shinohara, Hidenori; Kamikado, Toshiya; Okuno, Yoshishige; Suzuki, Hitoshi; Mashiko, Shinro; Yokoyama, Shiyoshi

    2008-01-01

    The spray-jet molecular beam apparatus enabled us to produce a molecular beam of non-volatile molecules under high vacuum from a sprayed mist of sample solutions. The apparatus has been used in spectroscopic studies and as a means of molecular beam deposition. We analyzed the molecular beam, consisting of non-volatile, solvent, and carrier-gas molecules, by using femtosecond- and nanosecond- laser mass spectroscopy. The information thus obtained provided insight into the molecular beam produced by the spray-jet technique

  2. Site control technique for quantum dots using electron beam induced deposition

    Energy Technology Data Exchange (ETDEWEB)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi [Nippon Institute of Technology, 4-1 Gakuendai, Miyashiro, Minami-saitama, Saitama 3458501 (Japan)

    2014-05-15

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement.

  3. Site control technique for quantum dots using electron beam induced deposition

    International Nuclear Information System (INIS)

    Iizuka, Kanji; Jung, JaeHun; Yokota, Hiroshi

    2014-01-01

    To develop simple and high throughput sit definition technique for quantum dots (QDs), the electron beam induced deposition (EBID) method was used as desorption guide of phosphorus atoms form InP substrate. As the results one or a few indium (In) droplets (DLs) were created in the carbon grid pattern by thermal annealing at a temperature of 450°C for 10 min in the ultra high vacuum condition. The size of In DLs was larger than QDs, but arsenide DLs by molecular beam in growth chamber emitted wavelength of 1.028μm at 50K by photoluminescence measurement

  4. An x-ray technique for precision laser beam synchronization

    International Nuclear Information System (INIS)

    Landen, O.L.; Lerche, R.A.; Hay, R.G.; Hammel, B.A.; Kalantar, D.; Cable, M.D.

    1994-01-01

    A new x-ray technique for recording the relative arrival times of multiple laser beams at a common target with better than ± 10 ps accuracy has been implemented at the Nova laser facility. 100 ps, 3ω Nova beam are focused to separate locations on a gold ribbon target viewed from the side. The measurement consists of using well characterized re-entrant x-ray streak cameras for 1-dimensional streaked imaging of the > 3 keV x-rays emanating from these isolated laser plasmas. After making the necessary correction for the differential laser, x-ray and electron transit times involved, timing offsets as low as ± 7 ps are resolved, and on subsequent shots, corrected for, verified and independently checked. This level of synchronization proved critical in meeting the power balance requirements for indirectly-driven pulse-shaped Nova implosions

  5. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors

    Directory of Open Access Journals (Sweden)

    Rachel M. Thorman

    2015-09-01

    Full Text Available Focused electron beam induced deposition (FEBID is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (3, Pt(PF34, Co(CO3NO, and W(CO6. Through these case studies, it is evident that this combination of studies can provide valuable insight into potential mechanisms governing deposit formation in FEBID. Although further experiments and new approaches are needed, these studies are an important stepping-stone toward better understanding the fundamental physics behind the deposition process and establishing design criteria for optimized FEBID precursors.

  6. Suppressing Ghost Diffraction in E-Beam-Written Gratings

    Science.gov (United States)

    Wilson, Daniel; Backlund, Johan

    2009-01-01

    A modified scheme for electron-beam (E-beam) writing used in the fabrication of convex or concave diffraction gratings makes it possible to suppress the ghost diffraction heretofore exhibited by such gratings. Ghost diffraction is a spurious component of diffraction caused by a spurious component of grating periodicity as described below. The ghost diffraction orders appear between the main diffraction orders and are typically more intense than is the diffuse scattering from the grating. At such high intensity, ghost diffraction is the dominant source of degradation of grating performance. The pattern of a convex or concave grating is established by electron-beam writing in a resist material coating a substrate that has the desired convex or concave shape. Unfortunately, as a result of the characteristics of electrostatic deflectors used to control the electron beam, it is possible to expose only a small field - typically between 0.5 and 1.0 mm wide - at a given fixed position of the electron gun relative to the substrate. To make a grating larger than the field size, it is necessary to move the substrate to make it possible to write fields centered at different positions, so that the larger area is synthesized by "stitching" the exposed fields.

  7. The edge transient-current technique (E-TCT) with high energy hadron beam

    Energy Technology Data Exchange (ETDEWEB)

    Gorišek, Andrej; Cindro, Vladimir; Kramberger, Gregor; Mandić, Igor [J. Stefan Institute, Ljubljana (Slovenia); Mikuž, Marko [J. Stefan Institute, Ljubljana (Slovenia); University of Ljubljana (Slovenia); Muškinja, Miha; Zavrtanik, Marko [J. Stefan Institute, Ljubljana (Slovenia)

    2016-09-21

    We propose a novel way to investigate the properties of silicon and CVD diamond detectors for High Energy Physics experiments complementary to the already well-established E-TCT technique using laser beam. In the proposed setup the beam of high energy hadrons (MIPs) is used instead of laser beam. MIPs incident on the detector in the direction parallel to the readout electrode plane and perpendicular to the edge of the detector. Such experiment could prove very useful to study CVD diamond detectors that are almost inaccessible for the E-TCT measurements with laser due to large band-gap as well as to verify and complement the E-TCT measurements of silicon. The method proposed is being tested at CERN in a beam of 120 GeV hadrons using a reference telescope with track resolution at the DUT of few μm. The preliminary results of the measurements are presented.

  8. Direct write of copper-graphene composite using micro-cold spray

    Directory of Open Access Journals (Sweden)

    Sameh Dardona

    2016-08-01

    Full Text Available Direct write of a new class of composite materials containing copper and graphene in the powder phase is described. The composite was synthesized using batch electroless plating of copper for various times onto Nano Graphene Platelets (NGP to control the amount of copper deposited within the loosely aggregated graphene powder. Copper deposition was confirmed by both Focused Ion Beam (FIB and Auger electron spectroscopic analysis. A micro-cold spray technique was used to deposit traces that are ∼230 μm wide and ∼5 μm thick of the formulated copper/graphene powder onto a glass substrate. The deposited traces were found to have good adhesion to the substrate with ∼65x the copper bulk resistivity.

  9. A technique for measuring an electron beam close-quote s longitudinal phase space with sub-picosecond resolution

    International Nuclear Information System (INIS)

    Crosson, E.R.; Berryman, K.W.; Richman, B.A.; Smith, T.I.; Swent, R.L.

    1996-01-01

    We have developed a technique for measuring the longitudinal phase space distribution of the Stanford Superconducting Accelerator close-quote s (SCA) electron beam which involves applying tomographic techniques to energy spectra taken as a function of the relative phase between the beam and the accelerating field, and optionally, as a function of the strength of a variable dispersion section in the system. The temporal profile of the beam obtained by projecting the inferred distribution onto the time axis is compared with that obtained from interferometric transition radiation measurements. copyright 1996 American Institute of Physics

  10. Learning from the experts: exploring playground experience and activities using a write and draw technique.

    Science.gov (United States)

    Knowles, Zoe Rebecca; Parnell, Daniel; Stratton, Gareth; Ridgers, Nicola Diane

    2013-03-01

    Qualitative research into the effect of school recess on children's physical activity is currently limited. This study used a write and draw technique to explore children's perceptions of physical activity opportunities during recess. 299 children age 7-11 years from 3 primary schools were enlisted. Children were grouped into Years 3 & 4 and Years 5 & 6 and completed a write and draw task focusing on likes and dislikes. Pen profiles were used to analyze the data. Results indicated 'likes' focused on play, positive social interaction, and games across both age groups but showed an increasing dominance of games with an appreciation for being outdoors with age. 'Dislikes' focused on dysfunctional interactions linked with bullying, membership, equipment, and conflict for playground space. Football was a dominant feature across both age groups and 'likes/dislikes' that caused conflict and dominated the physically active games undertaken. Recess was important for the development of conflict management and social skills and contributed to physical activity engagement. The findings contradict suggestions that time spent in recess should be reduced because of behavioral issues.

  11. Optical fiber sensors fabricated by the focused ion beam technique

    DEFF Research Database (Denmark)

    Yuan, Scott Wu; Wang, Fei; Bang, Ole

    2012-01-01

    crystal fiber (PCF). Using this technique we fabricate a highly compact fiber-optic Fabry-Pérot (FP) refractive index sensor near the tip of fiber taper, and a highly sensitive in-line temperature sensor in PCF. We also demonstrate the potential of using FIB to selectively fill functional fluid......Focused ion beam (FIB) is a highly versatile technique which helps to enable next generation of lab-on-fiber sensor technologies. In this paper, we demonstrate the use application of FIB to precisely mill the fiber taper and end facet of both conventional single mode fiber (SMF) and photonic...

  12. Effective data compaction algorithm for vector scan EB writing system

    Science.gov (United States)

    Ueki, Shinichi; Ashida, Isao; Kawahira, Hiroichi

    2001-01-01

    We have developed a new mask data compaction algorithm dedicated to vector scan electron beam (EB) writing systems for 0.13 μm device generation. Large mask data size has become a significant problem at mask data processing for which data compaction is an important technique. In our new mask data compaction, 'array' representation and 'cell' representation are used. The mask data format for the EB writing system with vector scan supports these representations. The array representation has a pitch and a number of repetitions in both X and Y direction. The cell representation has a definition of figure group and its reference. The new data compaction method has the following three steps. (1) Search arrays of figures by selecting pitches of array so that a number of figures are included. (2) Find out same arrays that have same repetitive pitch and number of figures. (3) Search cells of figures, where the figures in each cell take identical positional relationship. By this new method for the mask data of a 4M-DRAM block gate layer with peripheral circuits, 202 Mbytes without compaction was highly compacted to 6.7 Mbytes in 20 minutes on a 500 MHz PC.

  13. Neutron Spectroscopy for pulsed beams with frame overlap using a double time-of-flight technique

    Science.gov (United States)

    Harrig, K. P.; Goldblum, B. L.; Brown, J. A.; Bleuel, D. L.; Bernstein, L. A.; Bevins, J.; Harasty, M.; Laplace, T. A.; Matthews, E. F.

    2018-01-01

    A new double time-of-flight (dTOF) neutron spectroscopy technique has been developed for pulsed broad spectrum sources with a duty cycle that results in frame overlap, where fast neutrons from a given pulse overtake slower neutrons from previous pulses. Using a tunable beam at the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory, neutrons were produced via thick-target breakup of 16 MeV deuterons on a beryllium target in the cyclotron vault. The breakup spectral shape was deduced from a dTOF measurement using an array of EJ-309 organic liquid scintillators. Simulation of the neutron detection efficiency of the scintillator array was performed using both GEANT4 and MCNP6. The efficiency-corrected spectral shape was normalized using a foil activation technique to obtain the energy-dependent flux of the neutron beam at zero degrees with respect to the incoming deuteron beam. The dTOF neutron spectrum was compared to spectra obtained using HEPROW and GRAVEL pulse height spectrum unfolding techniques. While the unfolding and dTOF results exhibit some discrepancies in shape, the integrated flux values agree within two standard deviations. This method obviates neutron time-of-flight spectroscopy challenges posed by pulsed beams with frame overlap and opens new opportunities for pulsed white neutron source facilities.

  14. Direct writing of large-area micro/nano-structural arrays on single crystalline germanium substrates using femtosecond lasers

    Science.gov (United States)

    Li, Lin; Wang, Jun

    2017-06-01

    A direct writing technique for fabricating micro/nano-structural arrays without using a multi-scanning process, multi-beam interference, or any assisted microlens arrays is reported. Various sub-wavelength micro/nano-structural arrays have been directly written on single crystalline germanium substrate surfaces using femtosecond laser pulses. The evolution of the multiscale surface morphology from periodic micro/nano-structures to V-shaped microgrooves has been achieved, and the relationship between array characteristics and laser polarization directions has been discussed. The self-organization model agrees well with the experimental results in this study.

  15. Proposing a Wiki-Based Technique for Collaborative Essay Writing (Propuesta de un modelo pedagógico para la escritura colaborativa de ensayos en un entorno virtual wiki)

    Science.gov (United States)

    Ortiz Navarrete, Mabel; Ferreira Cabrera, Anita

    2014-01-01

    This paper aims at proposing a technique for students learning English as a foreign language when they collaboratively write an argumentative essay in a wiki environment. A wiki environment and collaborative work play an important role within the academic writing task. Nevertheless, an appropriate and systematic work assignment is required in…

  16. Reducing beam shaper alignment complexity: diagnostic techniques for alignment and tuning

    Science.gov (United States)

    Lizotte, Todd E.

    2011-10-01

    Safe and efficient optical alignment is a critical requirement for industrial laser systems used in a high volume manufacturing environment. Of specific interest is the development of techniques to align beam shaping optics within a beam line; having the ability to instantly verify by a qualitative means that each element is in its proper position as the beam shaper module is being aligned. There is a need to reduce these types of alignment techniques down to a level where even a newbie to optical alignment will be able to complete the task. Couple this alignment need with the fact that most laser system manufacturers ship their products worldwide and the introduction of a new set of variables including cultural and language barriers, makes this a top priority for manufacturers. Tools and methodologies for alignment of complex optical systems need to be able to cross these barriers to ensure the highest degree of up time and reduce the cost of maintenance on the production floor. Customers worldwide, who purchase production laser equipment, understand that the majority of costs to a manufacturing facility is spent on system maintenance and is typically the largest single controllable expenditure in a production plant. This desire to reduce costs is driving the trend these days towards predictive and proactive, not reactive maintenance of laser based optical beam delivery systems [10]. With proper diagnostic tools, laser system developers can develop proactive approaches to reduce system down time, safe guard operational performance and reduce premature or catastrophic optics failures. Obviously analytical data will provide quantifiable performance standards which are more precise than qualitative standards, but each have a role in determining overall optical system performance [10]. This paper will discuss the use of film and fluorescent mirror devices as diagnostic tools for beam shaper module alignment off line or in-situ. The paper will also provide an overview

  17. New approaches for investigating paintings by ion beam techniques

    International Nuclear Information System (INIS)

    Beck, L.; Viguerie, L. de; Walter, Ph.; Pichon, L.; Gutierrez, P.C.; Salomon, J.; Menu, M.; Sorieul, S.

    2010-01-01

    Up to now, among the IBA techniques, only PIXE has been used for analyzing paintings. However, quantitative PIXE analysis is sometimes difficult to interpret due to the layered structure, the presence of varnish and organic binder and, in some cases, discoloration of the pigments has been observed due to the interaction of the ion beam with the compounds. In order to improve the characterization of paintings, we propose some alternative experimental procedures. First of all, backscattering spectrometry (BS) and PIXE are simultaneously combined in order to collect complementary information such as layer thickness and organic compound quantification. The simultaneous PIXE and BS experiments also have the advantage of being able to analyze the same area in one experiment. This combination, implemented with an external beam, was directly applied on paintings and on painting cross-sections for the study of Italian Renaissance masterpieces. We have obtained valuable results not only on the pigment itself but also, for the first time, on the binder to pigment proportion which is not well documented in the ancient recipes. Moreover, in order to restrain beam damages due to the ion stopping power, we propose to analyze very thin painting cross-sections by a combination of PIXE-RBS and Scanning Transmission Ion Microscopy (STIM).

  18. New approaches for investigating paintings by ion beam techniques

    Science.gov (United States)

    Beck, L.; de Viguerie, L.; Walter, Ph.; Pichon, L.; Gutiérrez, P. C.; Salomon, J.; Menu, M.; Sorieul, S.

    2010-06-01

    Up to now, among the IBA techniques, only PIXE has been used for analyzing paintings. However, quantitative PIXE analysis is sometimes difficult to interpret due to the layered structure, the presence of varnish and organic binder and, in some cases, discoloration of the pigments has been observed due to the interaction of the ion beam with the compounds. In order to improve the characterization of paintings, we propose some alternative experimental procedures. First of all, backscattering spectrometry (BS) and PIXE are simultaneously combined in order to collect complementary information such as layer thickness and organic compound quantification. The simultaneous PIXE and BS experiments also have the advantage of being able to analyze the same area in one experiment. This combination, implemented with an external beam, was directly applied on paintings and on painting cross-sections for the study of Italian Renaissance masterpieces. We have obtained valuable results not only on the pigment itself but also, for the first time, on the binder to pigment proportion which is not well documented in the ancient recipes. Moreover, in order to restrain beam damages due to the ion stopping power, we propose to analyze very thin painting cross-sections by a combination of PIXE-RBS and Scanning Transmission Ion Microscopy (STIM).

  19. New approaches for investigating paintings by ion beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Beck, L., E-mail: Lucile.beck@cea.f [Centre de Recherche et de Restauration des Musees de France (C2RMF), CNRS-UMR 171, Palais du Louvre - Porte des Lions, 14 quai Francois Mitterrand, 75001 Paris (France); Viguerie, L. de; Walter, Ph.; Pichon, L. [Centre de Recherche et de Restauration des Musees de France (C2RMF), CNRS-UMR 171, Palais du Louvre - Porte des Lions, 14 quai Francois Mitterrand, 75001 Paris (France); Gutierrez, P.C. [Centro de Micro-Analisis de Materiales (CMAM), Universidad Autonoma de Madrid, Campus de Cantoblanco, E-28049 Madrid (Spain); Salomon, J.; Menu, M. [Centre de Recherche et de Restauration des Musees de France (C2RMF), CNRS-UMR 171, Palais du Louvre - Porte des Lions, 14 quai Francois Mitterrand, 75001 Paris (France); Sorieul, S. [Centre d' Etudes Nucleaires de Bordeaux-Gradignan, IN2P3, UMR 5797, Universite de Bordeaux 1, Chemin du Solarium BP120, 33175 Gradignan Cedex (France)

    2010-06-15

    Up to now, among the IBA techniques, only PIXE has been used for analyzing paintings. However, quantitative PIXE analysis is sometimes difficult to interpret due to the layered structure, the presence of varnish and organic binder and, in some cases, discoloration of the pigments has been observed due to the interaction of the ion beam with the compounds. In order to improve the characterization of paintings, we propose some alternative experimental procedures. First of all, backscattering spectrometry (BS) and PIXE are simultaneously combined in order to collect complementary information such as layer thickness and organic compound quantification. The simultaneous PIXE and BS experiments also have the advantage of being able to analyze the same area in one experiment. This combination, implemented with an external beam, was directly applied on paintings and on painting cross-sections for the study of Italian Renaissance masterpieces. We have obtained valuable results not only on the pigment itself but also, for the first time, on the binder to pigment proportion which is not well documented in the ancient recipes. Moreover, in order to restrain beam damages due to the ion stopping power, we propose to analyze very thin painting cross-sections by a combination of PIXE-RBS and Scanning Transmission Ion Microscopy (STIM).

  20. Compositional analysis of YBaCuO superconducting films with ion beam analysis techniques

    International Nuclear Information System (INIS)

    Jones, S.; Timmers, H.; Ophel, T.R.; Elliman, R.G.

    1999-01-01

    High-T c YBa x Cu y O 7-δ superconducting films are being developed for applications such as superconducting quantum interference devices. The carrier concentration, critical current density J c and critical temperature T c of these films depend sensitively on the oxygen content . Stoichiometry, uniformity with depth, homogeneity across the sample and film thickness are also important quantities for their characterisation. It has been shown, for example, that the stoichiometry of the metallic elements affects the growth characteristics and surface morphology of the films. With the deposit ion techniques used, reproducibility of film properties is difficult. The characterisation of YBa x Cu y O 7-δ films with ion beam analysis techniques is complex. Whereas the three metallic elements can be detected with helium beams and Rutherford Backscattering (RBS), the oxygen signal is generally obscured by that from substrate elements. It can be better detected using resonant backscattering with 3.04MeV 4 He ions or nuclear reaction analysis. Elastic Recoil Detection (ERD) with high-energetic (1MeV/amu), heavy beams (Z > 120), enables all elements to be detected and separated in a single experiment. It is well established that ion bombardment induces vacancies in the oxygen sub-lattice, driving the material to change from crystalline to amorphous, the latter phase having a reduced oxygen content. In previous heavy ion ERD measurements of YBa x Cu yO z films with 200MeV 127 I beams, the opaque films became transparent in the beam spot area, indicative of the amorphous phase. The accuracy of the oxygen measurement is therefore questionable. Indeed, using Raman spectroscopy, distortions of the crystalline structure above a fluence of 5 x 10 11 ion/cm 2 and for higher doses some signatures of a reduction in oxygen content have been observed for such beams. It appears therefore that a correct determination of the oxygen content requires either a drastic reduction in fluence or a

  1. Evaluation of Temporal Diagnostic Techniques for Two-Bunch Facet Beam

    Energy Technology Data Exchange (ETDEWEB)

    Litos, M.D.; Bionta, M.R.; Dolgashev, V.A.; England, R.J.; Fritz, D.; Gilevich, S.; Hering, Ph.; Hogan, M.J.; /SLAC

    2011-08-19

    Three temporal diagnostic techniques are considered for use in the FACET facility at SLAC, which will incorporate a unique two-bunch beam for plasma wakefield acceleration experiments. The results of these experiments will depend strongly on the the inter-bunch spacing as well as the longitudinal profiles of the two bunches. A reliable, singleshot, high resolution measurement of the beam's temporal profile is necessary to fully quantify the physical mechanisms underlying the beam driven plasma wakefield acceleration. In this study we show that a transverse deflecting cavity is the diagnostic which best meets our criteria. Based on our laboratory testing, numerical calculations, and simulations of the three single-shot temporal diagnostic devices, the X-band TCAV system is the best candidate for resolving FACET's two-bunch beam, with an estimated resolution of 7 {micro}m. Both the S-band TCAV system and the EO system could resolve the peak-to-peak separation of the two bunches in the FACET beam with estimated resolutions of 25 {micro}m and 30 {micro}m, respectively, but would be unable to resolve the temporal profiles of the individual bunches themselves. Because the TCAV signal is more easily interpreted and because the reliability of the EO system is less well known, however, the S-band TCAV system would be the next preferred option after the X-band TCAV system. The Fesca-200 streak camera, though simple, compact, and reliable, is unable to achieve a resolution that would be of use to FACET.

  2. The rapid secondary electron imaging system of the proton beam writer at CIBA

    International Nuclear Information System (INIS)

    Udalagama, C.N.B.; Bettiol, A.A.; Kan, J.A. van; Teo, E.J.; Watt, F.

    2007-01-01

    The recent years have witnessed a proliferation of research involving proton beam (p-beam) writing. This has prompted investigations into means of optimizing the process of p-beam writing so as to make it less time consuming and more efficient. One such avenue is the improvement of the pre-writing preparatory procedures that involves beam focusing and sample alignment which is centred on acquiring images of a resolution standard or sample. The conventional mode of imaging used up to now has utilized conventional nuclear microprobe signals that are of a pulsed nature and are inherently slow. In this work, we report the new imaging system that has been introduced, which uses proton induced secondary electrons. This in conjunction with software developed in-house that uses a National Instruments DAQ card with hardware triggering, facilitates large data transfer rates enabling rapid imaging. Frame rates as much as 10 frames/s have been achieved at an imaging resolution of 512 x 512 pixels

  3. Femtosecond laser direct writing of monocrystalline hexagonal silver prisms

    Energy Technology Data Exchange (ETDEWEB)

    Vora, Kevin; Kang, SeungYeon; Moebius, Michael [School of Engineering and Applied Sciences, Harvard University, 9 Oxford Street, Cambridge, Massachusetts 02138 (United States); Mazur, Eric [School of Engineering and Applied Sciences, Harvard University, 9 Oxford Street, Cambridge, Massachusetts 02138 (United States); Department of Physics, Harvard University, 9 Oxford Street, Cambridge, Massachusetts 02138 (United States)

    2014-10-06

    Bottom-up growth methods and top-down patterning techniques are both used to fabricate metal nanostructures, each with a distinct advantage: One creates crystalline structures and the other offers precise positioning. Here, we present a technique that localizes the growth of metal crystals to the focal volume of a laser beam, combining advantages from both approaches. We report the fabrication of silver nanoprisms—hexagonal nanoscale silver crystals—through irradiation with focused femtosecond laser pulses. The growth of these nanoprisms is due to a nonlinear optical interaction between femtosecond laser pulses and a polyvinylpyrrolidone film doped with silver nitrate. The hexagonal nanoprisms have bases hundreds of nanometers in size and the crystal growth occurs over exposure times of less than 1 ms (8 orders of magnitude faster than traditional chemical techniques). Electron backscatter diffraction analysis shows that the hexagonal nanoprisms are monocrystalline. The fabrication method combines advantages from both wet chemistry and femtosecond laser direct-writing to grow silver crystals in targeted locations. The results presented in this letter offer an approach to directly positioning and growing silver crystals on a substrate, which can be used for plasmonic devices.

  4. Femtosecond laser direct writing of monocrystalline hexagonal silver prisms

    International Nuclear Information System (INIS)

    Vora, Kevin; Kang, SeungYeon; Moebius, Michael; Mazur, Eric

    2014-01-01

    Bottom-up growth methods and top-down patterning techniques are both used to fabricate metal nanostructures, each with a distinct advantage: One creates crystalline structures and the other offers precise positioning. Here, we present a technique that localizes the growth of metal crystals to the focal volume of a laser beam, combining advantages from both approaches. We report the fabrication of silver nanoprisms—hexagonal nanoscale silver crystals—through irradiation with focused femtosecond laser pulses. The growth of these nanoprisms is due to a nonlinear optical interaction between femtosecond laser pulses and a polyvinylpyrrolidone film doped with silver nitrate. The hexagonal nanoprisms have bases hundreds of nanometers in size and the crystal growth occurs over exposure times of less than 1 ms (8 orders of magnitude faster than traditional chemical techniques). Electron backscatter diffraction analysis shows that the hexagonal nanoprisms are monocrystalline. The fabrication method combines advantages from both wet chemistry and femtosecond laser direct-writing to grow silver crystals in targeted locations. The results presented in this letter offer an approach to directly positioning and growing silver crystals on a substrate, which can be used for plasmonic devices.

  5. Accuracy of image-guided radiotherapy of prostate cancer based on the BeamCath urethral catheter technique

    DEFF Research Database (Denmark)

    Poulsen, Per Rugaard; Fokdal, Lars; Petersen, Jørgen B.B.

    2007-01-01

    BACKGROUND AND PURPOSE: To examine the accuracy of the BeamCath urethral catheter technique for prostate localization during radiotherapy. MATERIALS AND METHODS: Sixty-four patients were CT scanned twice with the BeamCath catheter, and once without the catheter. The catheter contains radiopaque...

  6. Modification of PMMA/graphite nanocomposites through ion beam technique

    Science.gov (United States)

    Singhal, Prachi; Rattan, Sunita; Avasthi, Devesh Kumar; Tripathi, Ambuj

    2013-08-01

    Swift heavy ion (SHI) irradiation is a special technique for inducing physical and chemical modifications in bulk materials. In the present work, the SHI hs been used to prepare nanocomposites with homogeneously dispersed nanoparticles. The nanographite was synthesized from graphite using the intercalation-exfoliation method. PMMA Poly(methyl methacrylate)/graphite nanocomposites have been synthesized by in situ polymerization. The prepared PMMA/graphite nanocomposite films were irradiated with SHI irradiation (Ni ion beam, 80 MeV and C ion beam, 50 MeV) at a fluence of 1×1010 to 3×1012 ions/cm2. The nanocomposite films were characterized by scanning electron microscope (SEM) and were evaluated for their electrical and sensor properties. After irradiation, significant changes in surface morphology of nanocomposites were observed as evident from the SEM images, which show the presence of well-distributed nanographite platelets. The irradiated nanocomposites exhibit better electrical and sensor properties for the detection of nitroaromatics with marked improvement in sensitivity as compared with unirradiated nanocomposites.

  7. Using the in situ lift-out technique to prepare TEM specimens on a single-beam FIB instrument

    International Nuclear Information System (INIS)

    Lekstrom, M; McLachlan, M A; Husain, S; McComb, D W; Shollock, B A

    2008-01-01

    Transmission electron microscope (TEM) specimens are today routinely prepared using focussed ion beam (FIB) instruments. Specifically, the lift-out method has become an increasingly popular technique and involves removing thin cross-sections from site-specific locations and transferring them to a TEM grid. This lift-out process can either be performed ex situ or in situ. The latter is mainly carried out on combined dual-beam FIB and scanning electron microscope (SEM) systems whereas conventional single-beam instruments often are limited to the traditional ex situ method. It is nevertheless desirable to enhance the capabilities of existing single-beam instruments to allow for in situ lift-out preparation to be performed since this technique offers a number of advantages over the older ex situ method. A single-beam FIB instrument was therefore modified to incorporate an in situ micromanipulator fitted with a tungsten needle, which can be attached to a cut-out FIB section using ion beam induced platinum deposition. This article addresses the issues of using an ion beam to monitor the in situ manipulation process as well as approaches that can be used to create stronger platinum welds between two objects, and finally, views on how to limit the extent of ion beam damage to the specimen surface.

  8. The Effectiveness of Collaborative Writing Strategy (CWS in Writing Lesson Regarded to The Students’ Creativity

    Directory of Open Access Journals (Sweden)

    Kiky Soraya

    2016-11-01

    Full Text Available This study is aimed at finding out what appropriate methods to be usedin writing lesson seen from the students’ creativity especially for studentswho have high creativityand low creativity. This study used quasi experimental research. The population of the research was the eighth grade of a Junior High School in Wonosari in the academic year of 2013/2014. The sampling technique used was cluster random sampling. The sample in this study was 64 students covering 32 students of E as experimental class and 32 students of C as control class. The data or the students’ writing scores were analyzed in terms of their frequency distribution, normality, homogeneity, then ANOVA and Tuckey tests to test the research hypotheses. Based on the result, the research findings are: CWS is more effective than MWS in writing lesson; the high creativity students produced better writing rather than the low creativity student; and the interaction of teaching methods and the students’ creativity is existing in this writing lesson. In short, Collaborative Writing Strategy (CWS is effective to teach writing for the eighth grade of a Junior High School in Wonosari, Gunungkidul. Then, the research result implies that it is better for the teachers to apply CWS in teaching and learning process of writing, to improve the students’ writing achievement, CWS needs to be used in the classroom activities, then future research can conduct the similar research with different sample and different students’ condition.

  9. Motivating Young Writers through Write-Talks: Real Writers, Real Audiences, Real Purposes

    Science.gov (United States)

    Wilson, Amy Alexandra

    2008-01-01

    Modeled after the popular teaching technique of book talks, write talks are brief motivational talks designed to engage students in writing. Teachers can invite adults from their communities into their classrooms to give write talks, thereby conveying to students that real people go through different writing processes to write real texts for real…

  10. Probe beam deflection technique as acoustic emission directionality sensor with photoacoustic emission source.

    Science.gov (United States)

    Barnes, Ronald A; Maswadi, Saher; Glickman, Randolph; Shadaram, Mehdi

    2014-01-20

    The goal of this paper is to demonstrate the unique capability of measuring the vector or angular information of propagating acoustic waves using an optical sensor. Acoustic waves were generated using photoacoustic interaction and detected by the probe beam deflection technique. Experiments and simulations were performed to study the interaction of acoustic emissions with an optical sensor in a coupling medium. The simulated results predict the probe beam and wavefront interaction and produced simulated signals that are verified by experiment.

  11. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid

    Science.gov (United States)

    Platzgummer, Elmar; Klein, Christof; Loeschner, Hans

    2013-07-01

    IMS Nanofabrication realized a 50 keV electron multibeam proof-of-concept (POC) tool confirming writing principles with 0.1 nm address grid and lithography performance capability. The POC system achieves the predicted 5 nm 1 sigma blur across the 82 μm×82 μm array of 512×512 (262,144) programmable 20 nm beams. 24-nm half pitch (HP) has been demonstrated and complex patterns have been written in scanning stripe exposure mode. The first production worthy system for the 11-nm HP mask node is scheduled for 2014 (Alpha), 2015 (Beta), and first-generation high-volume manufacturing multibeam mask writer (MBMW) tools in 2016. In these MBMW systems the max beam current through the column is 1 μA. The new architecture has also the potential for 1× mask (master template) writing. Substantial further developments are needed for maskless e-beam direct write (EBDW) applications as a beam current of >2 mA is needed to achieve 100 wafer per hour industrial targets for 300 mm wafer size. Necessary productivity enhancements of more than three orders of magnitude are only possible by shrinking the multibeam optics such that 50 to 100 subcolumns can be placed on the area of a 300 mm wafer and by clustering 10 to 20 multicolumn tools. An overview of current EBDW efforts is provided.

  12. 14th International Workshop on Slow Positron Beam Techniques and Applications

    International Nuclear Information System (INIS)

    2017-01-01

    These proceedings arose from the 14th International Workshop on Slow Positron Beam Techniques (SLOPOS14), which was held at Kunibiki Messe, Matsue, Shimane prefecture, Japan, from the 22nd—27th May 2016. Meetings in the SLOPOS series are held every three years. The SLOPOS workshop series has traditionally been devoted to investigations on the production of positron and positronium beams, their fundamental physics and chemistry, and their applications to materials such as metals, semiconductors and soft matter. During the workshop numerous applications using positron and positronium beams were also presented, clearly demonstrating the usefulness of such beams to the determination of surface structure, defect characterization as well as to fundamental scientific studies. For SLOPOS14 the main subjects of the workshop included the following: • Positron transport and beam technology • Pulsed positron beams and positron traps • Defect profiling in bulk and layered structures • Nano structures, porous materials and thin films • Surface and interface analysis • Positronium formation, emission and beams • Positron and positronium interactions with atoms and molecules • Many positrons and anti-hydrogen • Improvement of experimental techniques 106 delegates from 14 countries participated in the SLOPOS14, including 31 student delegates, which was a most encouraging sign for the future. The scientific program comprised 5 plenary talks, 22 invited talks, 32 contributed talks and 46 posters presented during two poster sessions. Student prizes were awarded for the best presented scientific contributions by 4 students from University of College London, Universität der Bundeswehr München and The University of Tokyo. On a sad note, delegates paid tribute to the contributions of our recently deceased colleagues, Prof. A. Seeger, Prof. R.N. West, Prof. T.C. Griffith, and Prof. Z. Tang. Memorial talks were given and a one minute silence was observed before the

  13. Dosimetric characterization of BeO samples in alpha, beta and X radiation beams using luminescent techniques

    International Nuclear Information System (INIS)

    Groppo, Daniela Piai

    2013-01-01

    In the medical field, the ionizing radiation is used both for therapeutic and diagnostic purposes, in a wide range of radiation doses. In order to ensure that the objective is achieved in practice, detailed studies of detectors and devices in different types of radiations beams are necessary. In this work a dosimetric characterization of BeO samples was performed using the techniques of thermoluminescence (TL) and optically stimulated luminescence (OSL) by a comparison of their response for alpha, beta and X radiations and the establishment of an appropriated system for use in monitoring of these radiations beams. The main results are: the high sensitivity to beta radiation for both techniques, good reproducibility of TL and OSL response (coefficients of variation lower than 5%), maximum energy dependence of the X radiation of 28% for the TL technique, and only 7% for the OSL technique, within the studied energy range. The dosimetric characteristics obtained in this work show the possibility of applying BeO samples to dosimetry of alpha, beta and X radiations, considering the studied dose ranges, using the TL and OSL techniques. From the results obtained, the samples of BeO showed their potential use for beam dosimetry in diagnostic radiology and radiotherapy. (author)

  14. Vietnam Project For Production Of Radioactive Beam Based On ISOL Technique With The Dalat Reactor

    International Nuclear Information System (INIS)

    Le Hong Khiem; Phan Viet Cuong; Fadi Ibrahim

    2011-01-01

    The presence in Vietnam of Dalat nuclear reactor dedicated to fundamental studies is a unique opportunity to produce Radioactive Ion (RI) Beams with the fission of a 235 U induced by the thermal neutrons produced by the reactor. We propose to produce RI beams at the Dalat nuclear reactor using ISOL (Isotope Separation On-Line) technique. This project should be a unique opportunity for Vietnamese nuclear physics community to use its own facilities to produce RI beams for studying nuclear physics at an international level. (author)

  15. A technique for simultaneous detection of individual vortex states of Laguerre-Gaussian beams transmitted through an aqueous suspension of microparticles

    Science.gov (United States)

    Khonina, S. N.; Karpeev, S. V.; Paranin, V. D.

    2018-06-01

    A technique for simultaneous detection of individual vortex states of the beams propagating in a randomly inhomogeneous medium is proposed. The developed optical system relies on the correlation method that is invariant to the beam wandering. The intensity distribution formed at the optical system output does not require digital processing. The proposed technique based on a multi-order phase diffractive optical element (DOE) is studied numerically and experimentally. The developed detection technique is used for the analysis of Laguerre-Gaussian vortex beams propagating under conditions of intense absorption, reflection, and scattering in transparent and opaque microparticles in aqueous suspensions. The performed experimental studies confirm the relevance of the vortex phase dependence of a laser beam under conditions of significant absorption, reflection, and scattering of the light.

  16. The Effect of Roundtable and Clustering Teaching Techniques and Students' Personal Traits on Students' Achievement in Descriptive Writing

    Science.gov (United States)

    Sinaga, Megawati

    2017-01-01

    The Objectives of this paper as an experimental research was to investigate the effect of Roundtable and Clustering teaching techniques and students' personal traits on students' achievement in descriptive writing. The students in grade ix of SMP Negeri 2 Pancurbatu 2016/2017 school academic year were chose as the population of this research. The…

  17. Progress in ETA-II magnetic field alignment using stretched wire and low energy electron beam techniques

    International Nuclear Information System (INIS)

    Griffith, L.V.; Deadrick, F.J.

    1991-01-01

    Flux line alignment of the solenoidal focus magnets used on the ETA-II linear induction accelerator is a key element leading to a reduction of beam corkscrew motion. Two techniques have been used on the ETA-II accelerator to measure and establish magnet alignment. A low energy electron beam has been used to directly map magnetic field lines, and recent work has utilized a pulsed stretched wire technique to measure magnet tilts and offsets with respect to a reference axis. This paper reports on the techniques used in the ETA-II accelerator alignment, and presents results from those measurements which show that accelerator is magnetically aligned to within ∼ ± 200 microns

  18. Writing and Learning in the Business Classroom: The Workshop Approach

    Science.gov (United States)

    Fernsten, Linda; Fernsten, Jeffrey

    2008-01-01

    A writing workshop is a pedagogical tool that can create a more productive experience for teachers and students alike. Business students who have used this technique with experienced instructors agree that a well-planned writing workshop can be useful for dispelling writing fears, furthering understanding of business communication skills,…

  19. Improvements in technique for determining the surfactant penetration in hair fibres using scanning ion beam analyses

    International Nuclear Information System (INIS)

    Hollands, R.; Clough, A.S.; Meredith, P.

    1999-01-01

    The penetration abilities of surfactants need to be known by companies manufacturing hair-care products. In this work three complementary techniques were used simultaneously - PIXE, NRA and RBS - to measure the penetration of a surfactant, which had been deuterated, into permed hair fibres. Using a scanning micro-beam of 2 MeV 3 He ions 2-dimensional concentration maps were obtained which showed whether the surfactant penetrated the fibre or just stayed on the surface. This is the first report of the use of three simultaneous scattering techniques with a scanning micro-beam. (author)

  20. Measurement of g factors of excited states in radioactive beams by the transient field technique: 132Te

    International Nuclear Information System (INIS)

    Benczer-Koller, N.; Kumbartzki, G.; Gurdal, G; Gross, Carl J; Krieger, B; Hatarik, Robert; O'Malley, Patrick; Pain, S. D.; Segen, L.; Baktash, Cyrus; Bingham, C. R.; Danchev, M.; Grzywacz, R.; Mazzocchi, C.

    2008-01-01

    The g factor of the 2 1 + state in 52 132 Te, E(2 1 + ) = 0.9739 MeV, r = 2.6 ps, was measured by the transient field technique applied to a radioactive beam. The development of an experimental approach necessary for work in radioactive beam environments is described. The result g = 0.28(15) agrees with the previous measurement by the recoil-in-vacuum technique, but here the sign of the g factor is measured as well

  1. High current density ion beam measurement techniques

    International Nuclear Information System (INIS)

    Ko, W.C.; Sawatzky, E.

    1976-01-01

    High ion beam current measurements are difficult due to the presence of the secondary particles and beam neutralization. For long Faraday cages, true current can be obtained only by negative bias on the target and by summing the cage wall and target currents; otherwise, the beam will be greatly distorted. For short Faraday cages, a combination of small magnetic field and the negative target bias results in correct beam current. Either component alone does not give true current

  2. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    International Nuclear Information System (INIS)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei; Bruegger, Juergen; Villanueva, Guillermo

    2009-01-01

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of a silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.

  3. Teaching Technical Writing in a Lab Course in Chemical Engineering

    Science.gov (United States)

    Lombardo, Stephen J.

    2010-01-01

    Techniques are presented for improving the technical writing of chemical engineering students enrolled in an undergraduate laboratory course. The principles of writing covered are adopted from the book, Style: Lessons in Clarity and Grace, by Joseph M. Williams: General examples of writing are taken from this book and then are recast into examples…

  4. ASSISTING STUDENTS TO ATTACK WRITING TASKS ON IELTS TEST WITH “PROBING TECHNIQUE”

    Directory of Open Access Journals (Sweden)

    Tedi Rohadi

    2015-06-01

    Full Text Available Writing section on IELTS test is commonly considered one of the most difficult parts of test. The test takers can’t even understand what to do with the tasks provided. They eventually write without clearly knowing the expected direction. Therefore, there should be a fastest way to well equip students to successfully cope with such hindrances. This paper is an action research report of how to make students better understand and answer writing tasks on IELTS test by employing probing techniques as one of test taking strategies. The paper will preliminarily elaborate the characteristics or nature of IELTS test in general and writing section consisting two different tasks in particular including its indicators of what expected kind of writing the testees should be aware of. It will then discuss probing techniques in details. The attack strategies and their sequential implementation will afterward be discussed. The technique will assist students to respectively understand what and how to plan and write effectively on the test.

  5. A novel technique for tuning of co-axial cavity of multi-beam klystron

    Energy Technology Data Exchange (ETDEWEB)

    Saha, Sukalyan, E-mail: sstechno18@gmail.com; Bandyopadhyay, Ayan Kumar; Pal, Debashis; Kant, Deepender; Joshi, Lalit Mohan; Kumar, Bijendra; Meena, Rakesh; Rawat, Vikram [Microwave Tubes Division, CSIR-CEERI, Pilani, Rajasthan-333031 (India)

    2016-03-09

    Multi-beam Klystrons (MBKs) have gained wide acceptances in the research sector for its inherent advantages. But developing a robust tuning technique for an MBK cavity of coaxial type has still remained a challenge as these designs are very prone to suffer from asymmetric field distribution with inductive tuning of the cavity. Such asymmetry leads to inhomogeneous beam-wave interaction, an undesirable phenomenon. Described herein is a new type of coaxial cavity that has the ability to suppress the asymmetry, thereby allowing tuning of the cavity with a single tuning post.

  6. Use of the Drawing-Writing Technique to Determine the Level of Knowledge of Pre-Service Teachers Regarding Renewable Energy Sources

    Science.gov (United States)

    Kara, Filiz

    2015-01-01

    The aim of this study was to determine the level of knowledge of pre-service science teachers in Turkey regarding the different types of renewable energy sources, the methods used for obtaining energy from these sources, and the areas of use for these energy sources. Within the context of the study, the drawing-writing technique was used in order…

  7. TEACHING WRITING SKILL BY USING BRAINWRITING STRATEGY

    Directory of Open Access Journals (Sweden)

    Nina Khayatul Virdyna

    2016-05-01

    Full Text Available English is getting more crucial to be mastered since its important part in the world nowadays.  It is not only as a means of communication but also a means transferring knowledge, news, and technology around the world. There are four basic skills in English such as listening, speaking, reading, and writing, every students must have problem in learning and mastering those skill. But writing is the main issue to be discussed in this article.  In writing, some of the writer’s students feel difficult to determine the topic when they want to write, they are hardly to complete a writing paper because they run out of idea. In this case the students need to absorb some information to understand a word, including how to combine a word with the other words. Therefore the teacher should have a strategy to get the students understanding and overcome their problems.Teaching is about just how to encourage the learners to achieve their goals and other times it requires that we actually facilitate resources and foster experiences so students can learn, continue learning and love the process. It is an art of the teacher to know how to make the students able to create knowledge of their own. Brainstorming is one of the teaching techniques in writing that can encourage the students to think about the topic as many as possible. This technique is help the students to enrich their vocabularies then create an idea become a writing composition. By using this strategy the students will be able to improve their writing skill. Brainwriting is an alternative method to brainstorming that tries to encourage a more uniform participation within a group. Like brainstorming, it is designed to generate lots and lots of ideas in a short amount of time.

  8. Introduction to focused ion beams instrumentation, theory, techniques and practice

    CERN Document Server

    Giannuzzi, Lucille A

    2005-01-01

    The focused ion beam (FIB) instrument has experienced an intensive period of maturation since its inception. Numerous new techniques and applications have been brought to fruition, and over the past few years, the FIB has gained acceptance as more than just an expensive sample preparation tool. It has taken its place among the suite of other instruments commonly available in analytical and forensic laboratories, universities, geological, medical and biological research institutions, and manufacturing plants. Although the utility of the FIB is not limited to the preparation of specimens for subsequent analysis by other analytical techniques, it has revolutionized the area of TEM specimen preparation. The FIB has also been used to prepare samples for numerous other analytical techniques, and offers a wide range of other capabilities. While the mainstream of FIB usage remains within the semiconductor industry, FIB usage has expanded to applications in metallurgy, ceramics, composites, polymers, geology, art, bio...

  9. PDMS patterning by proton beam

    International Nuclear Information System (INIS)

    Szilasi, S.Z.; Huszank, R.; Csik, A.; Rajta, I.; Cserhati, C.

    2008-01-01

    Complete text of publication follows. In this paper the poly-(dimethylsiloxane) (PDMS) is introduced as a resist material for proton beam writing. We were looking for a biocompatible micropatternable polymer in which the chemical structure changes significantly due to proton beam exposure making the polymer capable of proton beam writing. PDMS is a commonly used silicon-based organic polymer, optically clear, and generally considered to be inert, non-toxic biocompatible polymer. PDMS is also notably hydrophobic, meaning that water cannot easily penetrate its surface. This property has led extended use of PDMS in microfluidics too. PDMS is a crosslinkable polymer, it acts like a rubbery solid when it is cross-linked. In this state, the polymer does not deform permanently under stress or strain. Up to now the PDMS has been used as a casting or replicating material in microfabrication to form microchannels, micromolding, or creating microstamps, etc. PDMS has not been used as a resist material for direct write techniques. In this work we investigated the surface topography of the irradiated regions of PDMS under and without stress (on the cut surface and on the original fluid surface, respectively). In the samples wherein stress was not developed, noticeable compaction was observed. In case of those samples wherein stress was developed, noticeable swelling occurred. During the irradiation around the actual position of the beam spot we experienced significant swelling that reduced in time. To determine the large scale remaining changes in the surface topography at the cut edges of the samples we used Scanning Electron Microscope (SEM). After numerous profilometer measurements we experienced that the irradiated areas became harder, so the probe could move on it without sinking. The unirradiated areas of the PDMS were so soft, that the probe sank in the medium even with the smallest load (5 x 10 -7 N). Because of this phenomenon the irradiated areas seem to be higher

  10. THINK-PAIR-SHARE: A TECNIQUE TO ENHANCE STUDENTS’ WRITING SKILL

    OpenAIRE

    Okta Ika Rahmawati

    2017-01-01

    Abstract: Think – Pair Share: A Tecnique to Enhance Students’ Writing Skill. This article refers to a classroom action research on teaching writing by implementing Think-Pair-Share at High School in Bojonegoro. Think-Pair-Share Technique is a kind of cooperative learning technique. This technique encourages students to actively involve in the learning process since they have to discuss with their partner about the material being learned. The subject of the study was the tenth-grade students o...

  11. TRAVEL WRITING: AN APPLICATION OF WRITING WORKSHOP TO ENHANCE STUDENTS’S CREATIVE WRITING

    Directory of Open Access Journals (Sweden)

    Prayudias Margawati

    2014-10-01

    Full Text Available Writing is often assumed as uneasy skill to either learn or teach. For students, they find it difficult to develop ideas in writing. On the other hand, teachers, many of them, only ready with the materials but confuse with the appropriate ways to teach. This paper intends to describe and discuss a method of teaching writing namely writing workshop to improve students’ writing skill through travel writing. Writing workshop proposed by Calkins that consists of mini lesson, work time, peer conferring and/or response groups, share sessions, and publication celebration is applied in writing class for methodological purposes. In mini lesson, teacher offers something to the class that is meant to introduce a writing strategy done at the beginning of the workshop. During work time point, students start their new piece of writing. Teacher moves among students conferring with them while checking their works. Peer conferences or response groups provide a forum for students to talk about works in progress. When students work in group, one of them could arrange his/ her group needs during the work time. A share session may be varied, one possible way is each group shares their process of writing to other students. At the end of writing class, student writers come together to publish and/ or celebrate their final work. The publication could be in the form of portfolio, students’ diary, blog, or others. Travel writing genre is chosen as it could develop students’ creativity in describing/ narrating their own stories during, let say holiday or things they used to see on the way home weekly or monthly. Furthermore, travel writing as the product of creative writing teaches the readers of values, characteristics, and way of life. Last but not least, a professional writing teacher should set the writing workshop components in variety ways to achieve effective running-class.

  12. Possible applications of the ion beams technique for investigations in the field of equation of state

    International Nuclear Information System (INIS)

    Kanel, G.I.; Fortov, V.E.; Baumung, K.; Bluhm, H.

    1998-01-01

    The shock wave generation through the interaction of a high-power ion beam with condensed targets is considered with a goal to reveal possible ways to study the equations of state of matter using ion beams. The equation of state is thought about in an extended interpretation including the relaxation processes, such as phase transitions, chemical reactions, and stress relaxation. Advantages of the beam-driven generation of the high-energy states and possible areas of competition with more conventional technique are discussed. (orig.)

  13. Technique for measuring charged particle distribution in a pulsed beam. Sposob izmereniya raspredeleniya zaryazhennykh chastits v impul'snom puchke

    Energy Technology Data Exchange (ETDEWEB)

    Zakutin, V V; Shenderovich, A M

    1988-11-07

    Technique for measuring charged particle distribution in a pulsed beam by producing beam imprint on a target is described. In order to measure beam particle distribution in longitudinal direction, all beam particles are deflected simultaneously to the target, located in parallel with initial direction of beam motion, by transverse pulse magnetic field, homogeneous in the field of trajectories of beam particle motion in the field. The invention enables to conduct measurements of longitudinal distribution of particle density in beams of 10{sup -9}-10{sup -11}s duration, this corresponds to longitudinal beam dimensions from 30 cm down to 3 mm. 1 fig.

  14. The analysis of composite laminated beams using a 2D interpolating meshless technique

    Science.gov (United States)

    Sadek, S. H. M.; Belinha, J.; Parente, M. P. L.; Natal Jorge, R. M.; de Sá, J. M. A. César; Ferreira, A. J. M.

    2018-02-01

    Laminated composite materials are widely implemented in several engineering constructions. For its relative light weight, these materials are suitable for aerospace, military, marine, and automotive structural applications. To obtain safe and economical structures, the modelling analysis accuracy is highly relevant. Since meshless methods in the recent years achieved a remarkable progress in computational mechanics, the present work uses one of the most flexible and stable interpolation meshless technique available in the literature—the Radial Point Interpolation Method (RPIM). Here, a 2D approach is considered to numerically analyse composite laminated beams. Both the meshless formulation and the equilibrium equations ruling the studied physical phenomenon are presented with detail. Several benchmark beam examples are studied and the results are compared with exact solutions available in the literature and the results obtained from a commercial finite element software. The results show the efficiency and accuracy of the proposed numeric technique.

  15. Fabrication of flex sensors through direct ink write technique and its electrical characterization

    Science.gov (United States)

    Abas, Muhammad; Rahman, Khalid

    2016-11-01

    The present work is intended to fabricate low-cost flex sensor from conductive carbon paste using direct ink write (DIW) technique. DIW method is one of the additive manufacturing processes, which is capable to deposit a variety of material on a variety of substrates by a different mechanism to feature resolution at a microns level. It is widely used in the electronic industry for fabrication of PCBS and electrodes for different electronic devices. The DIW system in present study extrudes material stored in the syringe barrel through nozzle using compressed air. This mechanism will assist in creating patterns on a variety of substrates. Pneumatic controller is employed to control deposition of material, while computer-controlled X-Y stage is employed to control pattern generation. For effective and control patterning, printing parameters were optimized using Taguchi design optimization technique. The conductive carbon paste is used as ink for pattern generation on flexible PET substrate. Samples of flex sensor having different dimensions are prepared through DIW. The fabricated sensors were used as flexion sensor, and its electrical characteristic was evaluated. The obtained sensors are stable and reliable in performance.

  16. Direct nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation.

    Science.gov (United States)

    El Mel, Abdel-Aziz; Stephant, Nicolas; Gautier, Romain

    2016-10-06

    In this communication, we report on the growth, direct writing and nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation using a scanning electron microscope. The nanoblocks are produced by placing a droplet of an ethylene glycol solution containing silver nitrate and polyvinylpyrrolidone diluted in ethanol directly on a hot substrate heated up to 150 °C. Upon complete evaporation of the droplet, nanospheres, nano- and micro-triangles and nanoblocks made of silver-containing polymers, form over the substrate surface. Considering the nanoblocks as a model system, we demonstrate that such nanostructures are extremely sensitive to the e-beam extracted from the source of a scanning electron microscope operating at low acceleration voltages (between 5 and 7 kV). This sensitivity allows us to efficiently create various nanopatterns (e.g. arrays of holes, oblique slits and nanotrenches) in the material under e-beam irradiation. In addition to the possibility of writing, the nanoblocks revealed a self-healing ability allowing them to recover a relatively smooth surface after etching. Thanks to these properties, such nanomaterials can be used as a support for data writing and erasing on the nanoscale under low energy electron beam irradiation.

  17. Collaborative writing: Tools and tips.

    Science.gov (United States)

    Eapen, Bell Raj

    2007-01-01

    Majority of technical writing is done by groups of experts and various web based applications have made this collaboration easy. Email exchange of word processor documents with tracked changes used to be the standard technique for collaborative writing. However web based tools like Google docs and Spreadsheets have made the process fast and efficient. Various versioning tools and synchronous editors are available for those who need additional functionality. Having a group leader who decides the scheduling, communication and conflict resolving protocols is important for successful collaboration.

  18. The Teaching of EFL Writing in Indonesia

    Directory of Open Access Journals (Sweden)

    Ariyanti Ariyanti

    2016-12-01

    Full Text Available Writing is one of the most important aspects in English language acquisition. Teaching writing has its own challenges since there are some steps and requirements that teachers should prepare to undertake in the classroom. This article is aimed to discuss teaching and learning writing in the classroom based on theoretical conceptualisation. In addition, curriculum of teaching writing will be another important factor to consider as well as research and practice in teaching writing. Based on comparison to many theoretical concepts from various researchers, it shows that most of Indonesian students still struggle to figure out their problems of grammatical area. The biggest challenge is derived from the difference in cultural backgrounds between the students’ mother tongue and English, so it is possible to know the production of their writing does not ‘sound’ well in appropriate culture of English. Several problems also occur when the teachers have big classes to teach and the result of teaching writing to the students may be defeated. In this case, time also being a big challenge for the teachers to have the students’ writing improve because to accomplish a good composition in English, it needs complex steps such as brainstorming, prewriting, drafting, and editing. However, new techniques in teaching writing are needed to develop the students’ writing outcomes.

  19. The Predictive Validity of CBM Writing Indices for Eighth-Grade Students

    Science.gov (United States)

    Amato, Janelle M.; Watkins, Marley W.

    2011-01-01

    Curriculum-based measurement (CBM) is an alternative to traditional assessment techniques. Technical work has begun to identify CBM writing indices that are psychometrically sound for monitoring older students' writing proficiency. This study examined the predictive validity of CBM writing indices in a sample of 447 eighth-grade students.…

  20. Creative writing in recovery from severe mental illness.

    Science.gov (United States)

    King, Robert; Neilsen, Philip; White, Emma

    2013-10-01

    There is evidence that creative writing forms an important part of the recovery experience of people affected by severe mental illness. In this paper, we consider theoretical models that explain how creative writing might contribute to recovery, and we discuss the potential for creative writing in psychosocial rehabilitation. We argue that the rehabilitation benefits of creative writing might be optimized through focus on process and technique in writing, rather than content, and that consequently, the involvement of professional writers might be important. We describe a pilot workshop that deployed these principles and was well-received by participants. Finally, we make recommendations regarding the role of creative writing in psychosocial rehabilitation for people recovering from severe mental illness and suggest that the development of an evidence base regarding the effectiveness of creative writing is a priority. © 2012 The Authors; International Journal of Mental Health Nursing © 2012 Australian College of Mental Health Nurses Inc.

  1. A novel calorimetry technique for monitoring electron beam curing of polymer resins

    International Nuclear Information System (INIS)

    Chen, J.H.; Johnston, A.; Petrescue, L.; Hojjati, M.

    2006-01-01

    This paper describes the development of a calorimetry-based technique for monitoring of the curing of electron beam (EB) curable resins, including design of the calorimeter hardware and the development of an analytical model for calculating resin cure rates and radiation dose. Factors affecting the performance of the calorimeter were investigated. Experimental trials monitoring the curing of epoxy resin were conducted under single pass and multiple passes of EB irradiation. Results show that the developed calorimeter is a simple, inexpensive and reasonably accurate technique for monitoring the EB curing of cationic epoxies

  2. VOC removal by microwave, electron beam and catalyst technique

    International Nuclear Information System (INIS)

    IghigeanuI, D.; Martin, D.; OproiuI, C.; Manaila, E.; Craciun, G.; Calinescu, I.; Zissulescu, E.

    2007-01-01

    A hybrid technique, developed for VOCs removal using microwave (MW) treatment, electron beam (EB) irradiation and catalyst method, is presented. Two hybrid laboratory installations, developed for the study of air pollution control by combined EB irradiation, MW irradiation and catalyst, are described. Air loaded with toluene was treated at different MW power levels, water content, flow rates, and different irradiation modes, separately and combined with MW and EB. Also, simultaneous EB and MW irradiation method was applied to SO 2 and NO x removal. Real synergy effects between EB induced NTP, MW induced NTP and catalysis can be observed

  3. Flush-mounting technique for composite beams

    Science.gov (United States)

    Harman, T. C.; Kay, B. F.

    1980-01-01

    Procedure permits mounting of heavy parts to surface of composite beams without appreciably weakening beam web. Web is split and held apart in region where attachment is to be made by lightweight precast foam filler. Bolt hole penetrates foam rather than web, and is secured by barrelnut in transverse bushing through web.

  4. Helium ion beam induced growth of hammerhead AFM probes

    NARCIS (Netherlands)

    Nanda, G.; Veldhoven, E. van; Maas, D.J.; Sadeghian Marnani, H.; Alkemade, P.F.A.

    2015-01-01

    The authors report the direct-write growth of hammerhead atomic force microscope (AFM) probes by He+ beam induced deposition of platinum-carbon. In order to grow a thin nanoneedle on top of a conventional AFM probe, the authors move a focused He+ beam during exposure to a PtC precursor gas. In the

  5. Investigation of chemical vapour deposition diamond detectors by X-ray micro-beam induced current and X-ray micro-beam induced luminescence techniques

    International Nuclear Information System (INIS)

    Olivero, P.; Manfredotti, C.; Vittone, E.; Fizzotti, F.; Paolini, C.; Lo Giudice, A.; Barrett, R.; Tucoulou, R.

    2004-01-01

    Tracking detectors have become an important ingredient in high-energy physics experiments. In order to survive the harsh detection environment of the large hadron collider (LHC), trackers need to have special properties. They must be radiation hard, provide fast collection of charge, be as thin as possible and remove heat from readout electronics. The unique properties of diamond allow it to fulfill these requirements. In this work we present an investigation of the charge transport and luminescence properties of 'detector grade' artificial chemical vapour deposition (CVD) diamond devices developed within the CERN RD42 collaboration, performed by means of X-ray micro-beam induced current collection (XBICC) and X-ray micro-beam induced luminescence (XBIL) techniques. XBICC technique allows quantitative estimates of the transport parameters of the material to be evaluated and mapped with micrometric spatial resolution. In particular, the high resolution and sensitivity of the technique has allowed a quantitative study of the inhomogeneity of the charge transport parameter defined as the product of mobility and lifetime for both electron and holes. XBIL represents a technique complementary to ion beam induced luminescence (IBIL), which has already been used by our group, since X-ray energy loss profile in the material is different from that of MeV ions. X-ray induced luminescence maps have been performed simultaneously with induced photocurrent maps, to correlate charge transport and induced luminescence properties of diamond. Simultaneous XBICC and XBIL maps exhibit features of partial complementarity that have been interpreted on the basis of considerations on radiative and non-radiative recombination processes which compete with charge transport efficiency

  6. All-optical optoacoustic microscopy based on probe beam deflection technique

    Directory of Open Access Journals (Sweden)

    Saher M. Maswadi

    2016-09-01

    Full Text Available Optoacoustic (OA microscopy using an all-optical system based on the probe beam deflection technique (PBDT for detection of laser-induced acoustic signals was investigated as an alternative to conventional piezoelectric transducers. PBDT provides a number of advantages for OA microscopy including (i efficient coupling of laser excitation energy to the samples being imaged through the probing laser beam, (ii undistorted coupling of acoustic waves to the detector without the need for separation of the optical and acoustic paths, (iii high sensitivity and (iv ultrawide bandwidth. Because of the unimpeded optical path in PBDT, diffraction-limited lateral resolution can be readily achieved. The sensitivity of the current PBDT sensor of 22 μV/Pa and its noise equivalent pressure (NEP of 11.4 Pa are comparable with these parameters of the optical micro-ring resonator and commercial piezoelectric ultrasonic transducers. Benefits of the present prototype OA microscope were demonstrated by successfully resolving micron-size details in histological sections of cardiac muscle.

  7. All-optical optoacoustic microscopy based on probe beam deflection technique.

    Science.gov (United States)

    Maswadi, Saher M; Ibey, Bennett L; Roth, Caleb C; Tsyboulski, Dmitri A; Beier, Hope T; Glickman, Randolph D; Oraevsky, Alexander A

    2016-09-01

    Optoacoustic (OA) microscopy using an all-optical system based on the probe beam deflection technique (PBDT) for detection of laser-induced acoustic signals was investigated as an alternative to conventional piezoelectric transducers. PBDT provides a number of advantages for OA microscopy including (i) efficient coupling of laser excitation energy to the samples being imaged through the probing laser beam, (ii) undistorted coupling of acoustic waves to the detector without the need for separation of the optical and acoustic paths, (iii) high sensitivity and (iv) ultrawide bandwidth. Because of the unimpeded optical path in PBDT, diffraction-limited lateral resolution can be readily achieved. The sensitivity of the current PBDT sensor of 22 μV/Pa and its noise equivalent pressure (NEP) of 11.4 Pa are comparable with these parameters of the optical micro-ring resonator and commercial piezoelectric ultrasonic transducers. Benefits of the present prototype OA microscope were demonstrated by successfully resolving micron-size details in histological sections of cardiac muscle.

  8. Lung stereotactic body radiotherapy using a coplanar versus a non-coplanar beam technique: a comparison of clinical outcomes

    Science.gov (United States)

    Stauder, Michael C.; Miller, Robert C.; Garces, Yolanda I.; Foote, Robert L.; Sarkaria, Jann N.; Bauer, Heather J.; Mayo, Charles S.; Olivier, Kenneth R.

    2013-01-01

    Objectives To determine if lung stereotactic body radiotherapy (SBRT) using a coplanar beam technique was associated with similar outcomes as lung SBRT using a non-coplanar beam technique. Methods A retrospective review was performed of patients undergoing lung SBRT between January 2008 and April 2011. SBRT was initially delivered with multiple non-coplanar, non-overlapping beams; however, starting in December 2009, SBRT was delivered predominantly with all coplanar beams in order to reduce treatment time and complexity. Results This analysis included 149 patients; the median follow-up was 21 months. SBRT was delivered for primary (n = 90) or recurrent (n = 17) non-small cell lung cancer, or lung oligometastasis (n = 42). The most common dose (Gy)/fraction (fx) regimens were 48 Gy/4 fx (39%), 54 Gy/3 fx (37%), and 50 Gy/5 fx (17%). The beam arrangement was coplanar in 61 patients (41%) and non-coplanar in 88 patients (59%). In patients treated with 54 Gy/3 fx, the mean treatment times per fraction for the coplanar and non-coplanar cohorts were 10 and 14 minutes (p < 0.0001). Kaplan-Meier 2-year estimates of overall survival (OS), progression-free survival, and local control (LC) for the coplanar and non-coplanar cohorts were 65% vs. 56% (p = 0.30), 47% vs. 39% (p = 0.71), and 92% and 92% (p = 0.94), respectively. The 1-year estimates of grade 2-5 pulmonary toxicity for the coplanar and non-coplanar cohorts were 11% and 17%, respectively (p = 0.30). On multivariate analysis, beam arrangement was not significantly associated with OS, LC or pulmonary toxicity. Conclusions Patients treated with lung SBRT using a coplanar technique had similar outcomes as those treated with a non-coplanar technique. PMID:29296365

  9. Squids, snakes, and polarimeters: A new technique for measuring the magnetic moments of polarized beams

    International Nuclear Information System (INIS)

    Cameron, P.R.; Luccio, A.U.; Shea, T.J.; Tsoupas, N.; Goldberg, D.A.

    1997-01-01

    Effective polarimetry at high energies in hadron and lepton synchrotrons has been a long-standing and difficult problem. In synchrotrons with polarized beams it is possible to cause the direction of the polarization vector of a given bunch to alternate at a frequency which is some subharmonic of the rotation frequency. This can result in the presence of lines in the beam spectrum which are due only to the magnetic moment of the beam and which are well removed from the various lines due to the charge of the beam. The magnitude of these lines can be calculated from first principles. They are many orders of magnitude weaker than the Schottky signals. Measurement of the magnitude of one of these lines would be an absolute measurement of beam polarization. For measuring magnetic field, the Superconducting Quantum Interference Device, or squid, is about five orders of magnitude more sensitive than any other transducer. Using a squid, such a measurement might be accomplished with the proper combination of shielding, pickup loop design, and filtering. The resulting instrument would be fast, non-destructive, and comparatively cheap. In addition, techniques developed in the creation of such an instrument could be used to measure the Schottky spectrum in unprecedented detail. We present specifics of a polarimeter design for the Relativistic Heavy Ion Collider (RHIC) and briefly discuss the possibility of using this technique to measure polarization at high-energy electron machines like LEP and HERA. copyright 1997 American Institute of Physics

  10. Direct writing of micro/nano-scale patterns by means of particle lens arrays scanned by a focused diode pumped Nd:YVO4 laser

    Science.gov (United States)

    Pena, Ana; Wang, Zengbo; Whitehead, David; Li, Lin

    2010-11-01

    A practical approach to a well-known technique of laser micro/nano-patterning by optical near fields is presented. It is based on surface patterning by scanning a Gaussian laser beam through a self-assembled monolayer of silica micro-spheres on a single-crystalline silicon (Si) substrate. So far, the outcome of this kind of near-field patterning has been related to the simultaneous, parallel surface-structuring of large areas either by top hat or Gaussian laser intensity distributions. We attempt to explore the possibility of using the same technique in order to produce single, direct writing of features. This could be of advantage for applications in which only some areas need to be patterned (i.e. local area selective patterning) or single lines are required (e.g. a particular micro/nano-fluidic channel). A diode pumped Nd:YVO4 laser system (wavelength of 532 nm, pulse duration of 8 ns, repetition rate of 30 kHz) with a computer-controlled 3 axis galvanometer beam scanner was employed to write user-defined patterns through the particle lens array on the Si substrate. After laser irradiation, the obtained patterns which are in the micro-scale were composed of sub-micro/micro-holes or bumps. The micro-pattern resolution depends on the dimension of both the micro-sphere’s diameter and the beam’s spot size. The developed technique could potentially be employed to fabricate photonic crystal structures mimicking nature’s butterfly wings and anti-reflective “moth eye” arrays for photovoltaic cells.

  11. Implementation of a secondary-ion tritium beam by means of the associated particle technique and its test on a gold target

    Energy Technology Data Exchange (ETDEWEB)

    Policroniades, R.; Fernández-Arnáiz, J.; Murillo, G.; Moreno, E.; Villaseñor, P.; Méndez, B. [Departamento de Aceleradores, Instituto Nacional de Investigaciones Nucleares, Carr. México-Toluca S/N, Ocoyoacac, Estado de México 52750 (Mexico); Chávez, E.; Ortíz-Salazar, M.E.; Huerta, A. [Instituto de Física, Universidad Nacional Autónoma de México, A.P. 20-364, México, D.F. 01000 (Mexico); Varela-González, A. [Centro de Ciencias de la Atmósfera, Universidad Nacional Autónoma de México, A.P. 20-364, México, D.F. 01000 (Mexico)

    2014-05-21

    In this work we present the implementation and characterization of a (secondary ion) tritium beam generated through the D(d,t)p reaction, at deuteron energies of 2.0 and 1.88 MeV, tagging the tritium ions with the associated particle technique. In order to prove its utility as a projectile for scientific applications, this beam was made to impinge on a thin gold target to observe expected elastic scattering events. - Highlights: • A new secondary ion tritium beam obtained through the D(d,t)3He reaction. • Tritium beam tagging by the associated particle technique. • A low energy Tritium beam without radiation contamination of equipment. • Tritium elastic scattering on gold.

  12. Implementation of a secondary-ion tritium beam by means of the associated particle technique and its test on a gold target

    International Nuclear Information System (INIS)

    Policroniades, R.; Fernández-Arnáiz, J.; Murillo, G.; Moreno, E.; Villaseñor, P.; Méndez, B.; Chávez, E.; Ortíz-Salazar, M.E.; Huerta, A.; Varela-González, A.

    2014-01-01

    In this work we present the implementation and characterization of a (secondary ion) tritium beam generated through the D(d,t)p reaction, at deuteron energies of 2.0 and 1.88 MeV, tagging the tritium ions with the associated particle technique. In order to prove its utility as a projectile for scientific applications, this beam was made to impinge on a thin gold target to observe expected elastic scattering events. - Highlights: • A new secondary ion tritium beam obtained through the D(d,t)3He reaction. • Tritium beam tagging by the associated particle technique. • A low energy Tritium beam without radiation contamination of equipment. • Tritium elastic scattering on gold

  13. Collaborative writing: Tools and tips

    Directory of Open Access Journals (Sweden)

    Eapen Bell

    2007-01-01

    Full Text Available Majority of technical writing is done by groups of experts and various web based applications have made this collaboration easy. Email exchange of word processor documents with tracked changes used to be the standard technique for collaborative writing. However web based tools like Google docs and Spreadsheets have made the process fast and efficient. Various versioning tools and synchronous editors are available for those who need additional functionality. Having a group leader who decides the scheduling, communication and conflict resolving protocols is important for successful collaboration.

  14. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  15. A new technique of ion beam tritium labelling

    International Nuclear Information System (INIS)

    Zhang Nianbao; Sheng Shugang; Yao Fuzeng

    1990-01-01

    In this paper a new technique is reported for tritium labelling of proteins, peptides and other nonvolatile organic compounds. A tritium ion beam is accelerated to bombard solid sample target for producing tritium exchange with hydrogen. The tritium labelling method has been applied to tritiated soybean trypsin inhibitor, ribonuclease A, elastin, pachyman and others totalled 11. After purifying by dialysis, ion exchange chromatography and gel filtration, the tritiated proteins and polysaccharide were obtained with specific activity over 37 GBq/mmol, without decomposition and with biological activity well preserved. By amino acid analysis of tritiated protein it was shown that the relative specific radioactivities for His., Tyr. and Phe. residues were higher while those for Val., Ile. and Ser. residues were lower

  16. TEACHING WRITING THROUGHT DICTOGLOSS

    Directory of Open Access Journals (Sweden)

    Ratna Sari Dewi

    2015-03-01

    Full Text Available The objective of this study is to help students in developing their ideas in writing due to their difficulties to arrange ideas. Although they do have ideas, they cannot structure their ideas well in their papers. Several factors could cause this problem such as lack of vocabulary and knowledge or strategies in arranging ideas in papers. Another factor is unclear explanation and insufficient guidance from the teachers. Based on literature review, dictoglos can be a guide for students to develop their ideas in writing. It is a teaching technique which incorporates various activities such listening, taking notes, discussing, and reconstructing which have some standard procedures and variations.

  17. A control technique of oxygen contamination by Ga beam irradiation in InN MOMBE growth

    International Nuclear Information System (INIS)

    Isamoto, K.; Uesaka, Y.; Yamamoto, A.; Hashimoto, A.

    2006-01-01

    We have investigated about a control technique of oxygen contamination into the InN layers by simultaneous irradiation of Ga beam during RF-MOMBE growth using the combination of the TMIn and the RF-plasma nitrogen sources. Red shifts of the band gap energy and the improvement of the electrical properties have been achieved by the Ga beam irradiation. The suppression mechanism of the oxygen contamination has been discussed from the experimental results of the InN growth by the RF-MOMBE with the Ga beam irradiation. The present results strongly indicate that the simultaneous irradiation of the Ga beam would be useful to suppress the oxygen contamination into the InN layers during the growth. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  18. Characterization of beam dynamics in the APS injector rings using time-resolved imaging techniques

    International Nuclear Information System (INIS)

    Yang, B.X.; Lumpkin, A.H.; Borland, M.

    1997-01-01

    Images taken with streak cameras and gated intensified cameras with both time (longitudinal) and spatial (transverse) resolution reveal a wealth of information about circular accelerators. The authors illustrate a novel technique by a sequence of dual-sweep streak camera images taken at a high dispersion location in the booster synchrotron, where the horizontal coordinate is strongly correlated with the particle energy and the open-quotes top-viewclose quotes of the beam gives a good approximation to the particle density distribution in the longitudinal phase space. A sequence of top-view images taken fight after injection clearly shows the beam dynamics in the phase space. We report another example from the positron accumulator ring for the characterization of its beam compression bunching with the 12th harmonic rf

  19. Beam-beam deflections as an interaction point diagnostic for the SLC

    International Nuclear Information System (INIS)

    Bambade, P.; Erickson, R.

    1986-05-01

    A technique is described for non-destructive measurement and monitoring of the steering offset of the electron and positron beams at the interaction point of the SLC, based on using stripline beam-position monitors to measure the centroid of one beam as it is deflected by the opposing beam. This technique is also expected to provide diagnostic information related to the spot size of the micron-size beams

  20. Beam position monitor for energy recovered linac beams

    Energy Technology Data Exchange (ETDEWEB)

    Powers, Thomas; Evtushenko, Pavel

    2017-06-06

    A method of determining the beam position in an energy recovered linac (ERL). The method makes use of in phase and quadrature (I/Q) demodulation techniques to separate the pickup signal generated by the electromagnetic fields generated by the first and second pass beam in the energy recovered linac. The method includes using analog or digital based I/Q demodulation techniques in order to measure the relative amplitude of the signals from a position sensitive beam pickup such as a button, strip line or microstripline beam position monitor.

  1. Meta-Cognitive Awareness of Writing Strategy Use among Iranian EFL Learners and Its Impact on Their Writing Performance

    Directory of Open Access Journals (Sweden)

    Muhammad Azizi

    2017-03-01

    Full Text Available It is believed that by improving students’ meta-cognitive awareness of elements of language, learning can be enhanced. Therefore, this study consisted of two main objectives. First, it aimed at examining meta-cognitive awareness of writing strategy use among Iranian EFL learners. Using a Friedman test to check if there was any significant difference among the participants in their use of writing strategies, it was found that the differences among the strategies were not significant. The second objective of the study was to examine the impact of the participants’ meta-cognitive awareness of writing strategy use on their L2 writing performance. This was answered using two statistical techniques, namely Pearson correlation and Multiple Regression. Using Pearson Correlation, it was found that there was a significant relationship between writing performance and all writing strategy categories (planning, monitoring, evaluation, and self-awareness. Moreover, using Multiple Regression, it was found that the p–value was significant only for evaluation strategy category, but not for the rest. That is, it was found that strategy categories such as planning, monitoring, and self-awareness did not predict students’ writing performance. The result of this study responds to the ongoing problems students have in their meta-cognitive awareness of writing strategy use which can contribute to raising proficiency levels in shorter time frames.

  2. Initial experience of using an active beam delivery technique at PSI

    International Nuclear Information System (INIS)

    Pedroni, E.; Boehringer, T.; Coray, A.; Egger, E.; Grossmann, M.; Lin Shixiong; Lomax, A.; Goitein, G.; Roser, W.; Schaffner, B.

    1999-01-01

    At PSI a new proton therapy facility has been assembled and commissioned. The major features of the facility are the spot scanning technique and the very compact gantry. The operation of the facility was started in 1997 and the feasibility of the spot scanning technique has been demonstrated in practice with patient treatments. In this report we discuss the usual initial difficulties encountered in the commissioning of a new technology, the very positive preliminary experience with the system and the optimistic expectations for the future. The long range goal of this project is to parallel the recent developments regarding inverse planning for photons with a similar advanced technology optimized for a proton beam. (orig.)

  3. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    Science.gov (United States)

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  4. University writing

    Directory of Open Access Journals (Sweden)

    Miguel Zabalza Beraza

    2013-01-01

    Full Text Available Writing in the University is a basic necessity and a long-range educational purpose. One of the basic characteristics of the university context is that it requires writing both as a tool of communication and as a source of intellectual stimulation. After establishing the basic features of academic writing, this article analyzes the role of writing for students (writing to learn and for teachers (write to plan, to reflect, to document what has been done. The article also discusses the contributions of writing for both students and teachers together: writing to investigate. Finally, going beyond what writing is as academic tool, we conclude with a more playful and creative position: writing for pleasure and enjoyment.

  5. Development of a micro-tomography technique by ion beams

    International Nuclear Information System (INIS)

    Moretto, Ph.; Michelet, C.

    1997-01-01

    The capability for an ion beam to penetrate easily the matter is an original feature for the nuclear microprobe analysis when compared to other techniques. Information in death of the sample can thus be obtained. Scanning Transmission Ion Microscopy (STIM) takes advantage of this capability to provide two dimensional maps of the sample thickness. Cross-sectional images of an object may be calculated from a set of STIM projections allowing the determination of the three-dimensional structure. This is the principle of STIM-Tomography. When PIXE analysis is carried out rotating the object under investigation, the elemental 3-D chemical distribution may also be elucidated at a microscopic scale. (authors)

  6. Beam structure and transverse emittance studies of high-energy ion beams

    International Nuclear Information System (INIS)

    Saadatmand, K.; Johnson, K.F.; Schneider, J.D.

    1991-01-01

    A visual diagnostic technique has been developed to monitor and study ion beam structure shape and size along a transport line. In this technique, a commercially available fluorescent screen is utilized in conjunction with a video camera. This visual representation of the beam structure is digitized and enhanced through use of false-color coding and displayed on a TV monitor for on-line viewing. Digitized information is stored for further off-line processing (e.g., extraction of beam profiles). An optional wire grid placed upstream of the fluor screen adds the capability of transverse emittance (or angular spread) measurement to this technique. This diagnostic allows real-time observation of the beam response to parameter changes (e.g., evolution of the beam structure, shifts in the beam intensity at various spatial locations within the beam perimeter, and shifts in the beam center and position). 3 refs., 5 figs

  7. Real-time capture of student reasoning while writing

    Science.gov (United States)

    Franklin, Scott V.; Hermsen, Lisa M.

    2014-12-01

    We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, "S notation," is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews) into student reasoning during the writing process.

  8. Write in style a guide to good English

    CERN Document Server

    Palmer, Richard

    2013-01-01

    Write in Style is aimed at all for whom clarity and accuracy of expression are important skills. All the main styles and grammaticalrules are covered, their sense axplained and vivid examples given of how not to write. Plenty of sound and meticulous advice is offered in a friendly and enthusiastic toneand a large part of the book covers specific types of writing, from essays and articles to minutes and reportage. The many illustrations, examples and exercises throughout help the reader put into practice the techniques and skillds the book explores.

  9. Nuclear analytical techniques with neutron beams at the Univ. of Texas at Austin

    International Nuclear Information System (INIS)

    Uenlue, K.; Wehring, B.W.

    1996-01-01

    Neutron beams produced by nuclear research reactors can be used for analytical chemical analysis by measuring nuclear radiation produced by neutron capture. Prompt gamma activation analysis (PGAA) and neutron depth profiling (NDP) are two such analytical techniques. For the last three decades, these techniques have been applied at a number of research reactors around the world. Within the last 4 yr, we have developed NDP and PGAA facilities at The University of Texas at Austin research reactor, a 1-MW TRIGA Mark II reactor. Brief descriptions of the facilities and summaries of activities for these analytical techniques at the University of Texas at Austin are provided in this paper

  10. Photonic guiding structures in lithium niobate crystals produced by energetic ion beams

    Science.gov (United States)

    Chen, Feng

    2009-10-01

    A range of ion beam techniques have been used to fabricate a variety of photonic guiding structures in the well-known lithium niobate (LiNbO3 or LN) crystals that are of great importance in integrated photonics/optics. This paper reviews the up-to-date research progress of ion-beam-processed LiNbO3 photonic structures and reports on their fabrication, characterization, and applications. Ion beams are being used with this material in a wide range of techniques, as exemplified by the following examples. Ion beam milling/etching can remove the selected surface regions of LiNbO3 crystals via the sputtering effects. Ion implantation and swift ion irradiation can form optical waveguide structures by modifying the surface refractive indices of the LiNbO3 wafers. Crystal ion slicing has been used to obtain bulk-quality LiNbO3 single-crystalline thin films or membranes by exfoliating the implanted layer from the original substrate. Focused ion beams can either generate small structures of micron or submicron dimensions, to realize photonic bandgap crystals in LiNbO3, or directly write surface waveguides or other guiding devices in the crystal. Ion beam-enhanced etching has been extensively applied for micro- or nanostructuring of LiNbO3 surfaces. Methods developed to fabricate a range of photonic guiding structures in LiNbO3 are introduced. Modifications of LiNbO3 through the use of various energetic ion beams, including changes in refractive index and properties related to the photonic guiding structures as well as to the materials (i.e., electro-optic, nonlinear optic, luminescent, and photorefractive features), are overviewed in detail. The application of these LiNbO3 photonic guiding structures in both micro- and nanophotonics are briefly summarized.

  11. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2015-03-01

    Full Text Available The study is aimed at developing the implementation of Writing Process Approach (WPA to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy was implemented, the percentage of the students achieving the score greater than or equal to C (56-70 was 40.00% (6 students of the class. However, after the strategy was implemented in Cycle I, it enhanced enough to 60.00% (9 students of the class, but this result did not meet the criteria of success set up in the study. Next, in Cycle II it increased slightly to 86.67% (13 students of the class. Thus, the enhancement of the students’ skill in writing essay can be reached but it should follow the proper model procedures of the implementation of WPA developed. Keywords: writing process approach, writing skill, essay writing

  12. ENHANCING WRITING SKILL THROUGH WRITING PROCESS APPROACH

    OpenAIRE

    M. Zaini Miftah

    2015-01-01

    The study is aimed at developing the implementation of Writing Process Approach (WPA) to enhance the students’ skill in writing essay. The study employed Classroom Action Research. The subjects of the study were 15 university students enrolled in the writing class. The data were gained from writing task, observation and field notes. The findings show that the implementation of WPA with the proper model procedures developed can enhance the students’ skill in writing essay. Before the strategy ...

  13. Oxide-nitride-oxide dielectric stacks with Si nanoparticles obtained by low-energy ion beam synthesis

    International Nuclear Information System (INIS)

    Ioannou-Sougleridis, V; Dimitrakis, P; Vamvakas, V Em; Normand, P; Bonafos, C; Schamm, S; Mouti, A; Assayag, G Ben; Paillard, V

    2007-01-01

    Formation of a thin band of silicon nanoparticles within silicon nitride films by low-energy (1 keV) silicon ion implantation and subsequent thermal annealing is demonstrated. Electrical characterization of metal-insulator-semiconductor capacitors reveals that oxide/Si-nanoparticles-nitride/oxide dielectric stacks exhibit enhanced charge transfer characteristics between the substrate and the silicon nitride layer compared to dielectric stacks using unimplanted silicon nitride. Attractive results are obtained in terms of write/erase memory characteristics and data retention, indicating the large potential of the low-energy ion-beam-synthesis technique in SONOS memory technology

  14. Diode-laser pumping into the emitting level for efficient lasing of depressed cladding waveguides realized in Nd:YVO4 by the direct femtosecond-laser writing technique.

    Science.gov (United States)

    Pavel, Nicolaie; Salamu, Gabriela; Jipa, Florin; Zamfirescu, Marian

    2014-09-22

    Depressed cladding waveguides have been realized in Nd:YVO(4) employing direct writing technique with a femtosecond-laser beam. It was shown that the output performances of such laser devices are improved by the reduction of the quantum defect between the pump wavelength and the laser wavelength. Thus, under the classical pump at 808 nm (i.e. into the (4)F(5/2) level), a 100-μm diameter circular waveguide inscribed in a 0.7-at.% Nd:YVO(4) outputted 1.06-μm laser pulses with 3.0-mJ energy, at 0.30 optical efficiency and slope efficiency of 0.32. The pump at 880 nm (i.e.directly into the (4)F(3/2) emitting level) increased the pulse energy at 3.8 mJ and improved both optical efficiency and slope efficiency at 0.36 and 0.39, respectively. The same waveguide yielded continuous-wave 1.5-W output power at 1.06 μm under the pump at 880 nm. Laser emission at 1.34 μm was also improved using the pump into the (4)F(3/2) emitting level of Nd:YVO(4).

  15. CO2 laser direct writing of silver lines on epoxy resin from solid film

    International Nuclear Information System (INIS)

    Liu, J.G.; Chen, C.H.; Zheng, J.S.; Huang, J.Y.

    2005-01-01

    A technique of CO 2 laser direct writing from solid film was proposed in this paper. Patterns of silver lines were locally deposited on the non-conductive substrate using a preset layer of silver compound solid film, which was irradiated by focused CO 2 laser beam. The deposits were analyzed by XPS and EPMA. Results showed that metallic silver was dominant with an even distribution on the surface of the substrate, and part of the deposited silver had diffused into the substrate interior. The deposits had catalytic activity for the further electroless copper plating and had strong adhesion to the substrate. At last, the deposition mechanism and the dependence of the width of silver lines on the laser power and scan speed were roughly explored

  16. Creating aperiodic photonic structures by synthesized Mathieu-Gauss beams

    Science.gov (United States)

    Vasiljević, Jadranka M.; Zannotti, Alessandro; Timotijević, Dejan V.; Denz, Cornelia; Savić, Dragana M. Jović

    2017-08-01

    We demonstrate a kind of aperiodic photonic structure realized using the interference of multiple Mathieu-Gauss beams. Depending on the beam configurations, their mutual distances, angles of rotation, or phase relations we are able to observe different classes of such aperiodic optically induced refractive index structures. Our experimental approach is based on the optical induction in a single parallel writing process.

  17. INCREASING EFL STUDENTS’ WRITING ABILITIES USING PEER RESPONSE ACTIVITIES VIA FACEBOOK

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2016-12-01

    Full Text Available This study was aimed to increase EFL students’ abilities in writing argumentative essay via Facebook by developing peer response activities. The classroom action research was employed in cyclic activities. The subjects were 25 Indonesian fourth-year undergraduate EFL students who enrolled in Writing III course. The data were gained from writing task, observation, and field notes. The result shows that this strategy with the appropriate instructional procedures can increase the EFL students’ abilities in writing argumentative essay. It is indicated by the increases of the students’ writing achievement and involvement in writing class during peer response activities via Facebook. Hence, it gives insights to employ this way as an alternative teaching technique in writing classroom because of its effectiveness.

  18. Increasing EFL Students’ Writing Abilities Using Peer Response Activities via Facebook

    Directory of Open Access Journals (Sweden)

    M. Zaini Miftah

    2016-12-01

    Full Text Available This study was aimed to increase EFL students’ abilities in writing argumentative essay via Facebook by developing peer response activities. The classroom action research was employed in cyclic activities. The subjects were 25 Indonesian fourth-year undergraduate EFL students who enrolled in Writing III course. The data were gained from writing task, observation, and field notes. The result shows that this strategy with the appropriate instructional procedures can increase the EFL students’ abilities in writing argumentative essay. It is indicated by the increases of the students’ writing achievement and involvement in writing class during peer response activities via Facebook. Hence, it gives insights to employ this way as an alternative teaching technique in writing classroom because of its effectiveness.

  19. Diagnostics for high-brightness beams

    International Nuclear Information System (INIS)

    Shafer, R.E.

    1990-01-01

    Special techniques are required for beam diagnostics on high-brightness particle beams. Examples of high-brightness beams include low-emittance proton linacs (either pulsed or CW), electron linacs suitable for free-electron-laser applications, and future linear colliders. Non-interceptive and minimally-interceptive techniques for measuring beam current, position, profile, and transverse and longitudinal emittance will be reviewed. Included will be stripline, wire scanner, laser neutralization, beam-beam scattering, interceptive microgratings, spontaneous emission, optical transition radiation, and other techniques. 24 refs

  20. The art of scientific writing

    NARCIS (Netherlands)

    Wopereis, Iwan

    2018-01-01

    This three-part workshop introduces strategies, tools, and techniques for sound scientific output. It discusses success and failure factors relevant to the publication process (writing included). The first part aims to understand the entire publication process. It presents an overview of standard

  1. Estimating the vibration level of an L-shaped beam using power flow techniques

    Science.gov (United States)

    Cuschieri, J. M.; Mccollum, M.; Rassineux, J. L.; Gilbert, T.

    1986-01-01

    The response of one component of an L-shaped beam, with point force excitation on the other component, is estimated using the power flow method. The transmitted power from the source component to the receiver component is expressed in terms of the transfer and input mobilities at the excitation point and the joint. The response is estimated both in narrow frequency bands, using the exact geometry of the beams, and as a frequency averaged response using infinite beam models. The results using this power flow technique are compared to the results obtained using finite element analysis (FEA) of the L-shaped beam for the low frequency response and to results obtained using statistical energy analysis (SEA) for the high frequencies. The agreement between the FEA results and the power flow method results at low frequencies is very good. SEA results are in terms of frequency averaged levels and these are in perfect agreement with the results obtained using the infinite beam models in the power flow method. The narrow frequency band results from the power flow method also converge to the SEA results at high frequencies. The advantage of the power flow method is that detail of the response can be retained while reducing computation time, which will allow the narrow frequency band analysis of the response to be extended to higher frequencies.

  2. Measurement techniques for low emittance tuning and beam dynamics at CESR

    Science.gov (United States)

    Billing, M. G.; Dobbins, J. A.; Forster, M. J.; Kreinick, D. L.; Meller, R. E.; Peterson, D. P.; Ramirez, G. A.; Rendina, M. C.; Rider, N. T.; Sagan, D. C.; Shanks, J.; Sikora, J. P.; Stedinger, M. G.; Strohman, C. R.; Williams, H. A.; Palmer, M. A.; Holtzapple, R. L.; Flanagan, J.

    2018-03-01

    After operating as a High Energy Physics electron-positron collider, the Cornell Electron-positron Storage Ring (CESR) has been converted to become a dedicated synchrotron light source for the Cornell High Energy Synchrotron Source (CHESS). Over the course of several years CESR was adapted for accelerator physics research as a test accelerator, capable of studying topics relevant to future damping rings, colliders and light sources. Initially some specific topics were targeted for accelerator physic research with the storage ring in this mode, labeled CesrTA. These topics included 1) tuning techniques to produce low emittance beams, 2) the study of electron cloud (EC) development in a storage ring and 3) intra-beam scattering effects. The complete conversion of CESR to CesrTA occurred over a several year period, described elsewhere [1–3]. A number of specific instruments were developed for CesrTA. Much of the pre-existing instrumentation was modified to accommodate the scope of these studies and these are described in a companion paper [4]. To complete this research, a number of procedures were developed or modified, often requiring coordinated measurements among different instruments [5]. This paper provides an overview of types of measurements employed for the study of beam dynamics during the operation of CesrTA.

  3. Overview of Alternative Bunching and Current-shaping Techniques for Low-Energy Electron Beams

    Energy Technology Data Exchange (ETDEWEB)

    Piot, Philippe [Northern Illinois U.

    2015-12-01

    Techniques to bunch or shape an electron beam at low energies (E <15 MeV) have important implications toward the realization of table-top radiation sources [1] or to the design of compact multi-user free-electron lasers[2]. This paper provides an overview of alternative methods recently developed including techniques such as wakefield-based bunching, space-charge-driven microbunching via wave-breaking [3], ab-initio shaping of the electron-emission process [4], and phase space exchangers. Practical applications of some of these methods to foreseen free-electron-laser configurations are also briefly discussed [5].

  4. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. My goal in this paper is to make Iwo points: Writing style does not come from writing or from direct instruction, but from reading. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Readino, A substantial amount of research strongly suggests that we learn to write by reading. To be more precise, we acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instniction, is consistent with what is known about language acquisition: Most of language acquisition lakes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982.

  5. Geometrical E-beam proximity correction for raster scan systems

    Science.gov (United States)

    Belic, Nikola; Eisenmann, Hans; Hartmann, Hans; Waas, Thomas

    1999-04-01

    High pattern fidelity is a basic requirement for the generation of masks containing sub micro structures and for direct writing. Increasing needs mainly emerging from OPC at mask level and x-ray lithography require a correction of the e-beam proximity effect. The most part of e-beam writers are raster scan system. This paper describes a new method for geometrical pattern correction in order to provide a correction solution for e-beam system that are not able to apply variable doses.

  6. Using the Technique of Journal Writing to Learn Emergency Psychiatry

    Science.gov (United States)

    Bhuvaneswar, Chaya; Stern, Theodore; Beresin, Eugene

    2009-01-01

    Objective: The authors discuss journal writing in learning emergency psychiatry. Methods: The journal of a psychiatry intern rotating through an emergency department is used as sample material for analysis that could take place in supervision or a resident support group. A range of articles are reviewed that illuminate the relevance of journal…

  7. Statistical signal processing techniques for coherent transversal beam dynamics in synchrotrons

    Energy Technology Data Exchange (ETDEWEB)

    Alhumaidi, Mouhammad

    2015-03-04

    identifying and analyzing the betatron oscillation sourced from the kick based on its mixing and temporal patterns. The accelerator magnets can generate unwanted spurious linear and non-linear fields due to fabrication errors or aging. These error fields in the magnets can excite undesired resonances leading together with the space charge tune spread to long term beam losses and reducing dynamic aperture. Therefore, the knowledge of the linear and non-linear magnets errors in circular accelerator optics is very crucial for controlling and compensating resonances and their consequent beam losses and beam quality deterioration. This is indispensable, especially for high beam intensity machines. Fortunately, the relationship between the beam offset oscillation signals recorded at the BPMs is a manifestation of the accelerator optics, and can therefore be exploited in the determination of the optics linear and non-linear components. Thus, beam transversal oscillations can be excited deliberately for purposes of diagnostics operation of particle accelerators. In this thesis, we propose a novel method for detecting and estimating the optics lattice non-linear components located in-between the locations of two BPMs by analyzing the beam offset oscillation signals of a BPMs-triple containing these two BPMs. Depending on the non-linear components in-between the locations of the BPMs-triple, the relationship between the beam offsets follows a multivariate polynomial accordingly. After calculating the covariance matrix of the polynomial terms, the Generalized Total Least Squares method is used to find the model parameters, and thus the non-linear components. A bootstrap technique is used to detect the existing polynomial model orders by means of multiple hypothesis testing, and determine confidence intervals for the model parameters.

  8. We learn to write by reading, but writing can make you smarter We learn to write by reading, but writing can make you smarter

    Directory of Open Access Journals (Sweden)

    Stephen Krashen

    2008-04-01

    Full Text Available My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper. My goal in this paper is to make two points: 1. Writing style does not come from writing or from direct instruction, but from reading. 2. Actual writing can help us solve problems and can make us smarter. Writing Style Comes from Reading A substantial amount of research slrongly suggests that wc learn to write by reading. To be more precise, wc acquire writing style, the special language of writing, by reading. Hypothesizing that writing style comes from reading, not from writing or instruction, is consistent with what is known about language acquisition: Most of language acquisition takes place subconsciously, not through deliberate study, and it is a result of input (comprehension, not output (production (Krashen, 1982. Thus, if you wrile a page a day, your writing style or your command of mechanics will not improve. On Ihe other hand, other good things may result from your writing, as we shall see in the second section of this paper.

  9. A large capacity time division multiplexed (TDM) laser beam combining technique enabled by nanosecond speed KTN deflector

    Science.gov (United States)

    Yin, Stuart (Shizhuo); Chao, Ju-Hung; Zhu, Wenbin; Chen, Chang-Jiang; Campbell, Adrian; Henry, Michael; Dubinskiy, Mark; Hoffman, Robert C.

    2017-08-01

    In this paper, we present a novel large capacity (a 1000+ channel) time division multiplexing (TDM) laser beam combining technique by harnessing a state-of-the-art nanosecond speed potassium tantalate niobate (KTN) electro-optic (EO) beam deflector as the time division multiplexer. The major advantages of TDM approach are: (1) large multiplexing capability (over 1000 channels), (2) high spatial beam quality (the combined beam has the same spatial profile as the individual beam), (3) high spectral beam quality (the combined beam has the same spectral width as the individual beam, and (4) insensitive to the phase fluctuation of individual laser because of the nature of the incoherent beam combining. The quantitative analyses show that it is possible to achieve over one hundred kW average power, single aperture, single transverse mode solid state and/or fiber laser by pursuing this innovative beam combining method, which represents a major technical advance in the field of high energy lasers. Such kind of 100+ kW average power diffraction limited beam quality lasers can play an important role in a variety of applications such as laser directed energy weapons (DEW) and large-capacity high-speed laser manufacturing, including cutting, welding, and printing.

  10. Transverse Writing of Multimode Interference Waveguides inside Silica Glass by Femtosecond Laser Pulses

    International Nuclear Information System (INIS)

    Da-Yong, Liu; Yan, Li; Yan-Ping, Dou; Heng-Chang, Guo; Hong, Yang; Qi-Huang, Gong

    2008-01-01

    Multi-mode interference waveguides are fabricated inside silica glass by transverse writing geometry with femtosecond laser pulses. The influences of several writing and reading factors on the output mode are systematically studied. The experimental results of straight waveguides are in good agreement with the simulations by the beam propagation method. By integrating a straight waveguide with a bent waveguide, a 1 × 2 multi-mode splitter is formed and 2 × 3 lobes are observed in the output mode. (fundamental areas of phenomenology (including applications))

  11. Effects of an expressive writing intervention on a group of public employees subjected to work relocation.

    Science.gov (United States)

    Tarquini, Matteo; Di Trani, Michela; Solano, Luigi

    2016-02-15

    Pennebaker's writing technique has yielded good results on health, psychological and performance dimensions. In spite of the positive outcomes, the technique has rarely been applied directly within the workplace and its effects on burnout have never been tested. 18 public employees subjected to work relocation were asked to write about their present work situation or another difficult event of their life (Writing Group), while another 17 were not assigned any writing task (Control Group). To assess whether there was an improvement in burnout, alexithymia and psychological well-being in the Writing Group compared with the baseline measurement and the Control Group. While the baseline levels in the Writing and Control Groups in the 3 dimensions considered were similar, scores in the Writing Group at both a second (1 month after the end of the procedure) and third measurement (7 months after the end) improved when compared with the baseline, whereas those in the Control Group worsened. Pennebaker's writing technique appears to promote adaptive coping strategies in stressful situations, and to increase occupational and psychological well-being as well as the ability to process emotions. It also appears to buffer the negative effects of work-related stress.

  12. Real-time capture of student reasoning while writing

    Directory of Open Access Journals (Sweden)

    Scott V. Franklin

    2014-09-01

    Full Text Available We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, “S notation,” is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews into student reasoning during the writing process.

  13. Techniques for slow positron beam generation and the applications

    International Nuclear Information System (INIS)

    Okada, Sohei

    1994-01-01

    Slow positron beams have been expected to be a powerful tool for observation of nature in wide range of research fields from materials science to basic physics, chemistry and biology. In this paper, at first, the beam technology is reviewed, which includes the positron generation, the transformation to slow positron beams and the beam manipulation such as beam stretching, bunching and brightness enhancement. Next, the present status of the slow positron beam applications to a variety of fields is demonstrated in terms of special characteristics of positron, that is, depth controllability, surface sensitivity, unique ionization channels and elemental anti-particle properties. Finally, prospects to produce intense slow positron beams are described. (author) 65 refs

  14. Passionate Writing

    DEFF Research Database (Denmark)

    Borgström, Benedikte

    With care of writing as a method of inquiry, this paper engages in academic writing such as responsible knowledge development drawing on emotion, thought and reason. The aim of the paper is to better understand emancipatory knowledge development. Bodily experiences and responses shape academic...... writing and there are possibilities for responsible academic writing in that iterative process. I propose that academic writing can be seen as possibilities of passionate as well as passive writing....

  15. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    International Nuclear Information System (INIS)

    Bootkul, D.; Chaiwai, C.; Tippawan, U.; Wanthanachaisaeng, B.; Intarasiri, S.

    2015-01-01

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al_2O_3) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  16. Model of diffusion-assisted direct laser writing by means of nanopolymerization in the presence of radical quencher

    International Nuclear Information System (INIS)

    Pikulin, Alexander; Bityurin, Nikita; Sokolov, Viktor I.

    2015-01-01

    Diffusion-assisted direct laser writing (DA-DLW) by multiphoton polymerization has been recently shown to be one of the most promising methods for the high-resolution 3D nanofabrication [I. Sakellari, et al., ACS Nano 6, 2302 (2012)]. The improvement of the writing spatial resolution has been observed under certain conditions when the mobile radical quencher (polymerization inhibitor) is added to the photosensitive composition. In this work, we present a theoretical study of this method, focusing on the resolution capabilities and optimal writing parameters. The laser beam absorption in the polymerizable composition causes the localized depletion of the quencher molecules. If the quencher depletion is balanced by its diffusion from the outside of the focal volume, the quasi-stationary non-equillibrium concentration spatial profile with zero minimum can be obtained. The polymer is then effectively formed only in the domain where the quencher is depleted. The spatially-distributed quencher, in this case, has the effect similar to that of the vortex beam in STimulated Emission Microscopy (STED)

  17. Students’ Problems in Writing Paraphrases in Research Paper Writing Class

    Directory of Open Access Journals (Sweden)

    Herdiansari Hayuningrum

    2017-01-01

    Full Text Available Paraphrase is one of the techniques of incorporating sources in which every writer is allowed to borrow the author’s ideas and restate them into their own words. Based on the previous study, it was found that English Language Education Study Program (ELESP students, Sanata Dharma University, were unable to paraphrase properly since they tended to copy the author’s words directly. If this problem was continuously ignored, it would be dangerous for the students because they could be charged with inadvertent plagiarism. This study was intended to investigate ELESP students’ problems in writing paraphrases and the reasons why they produce unacceptable paraphrases by conducting document analysis and interview in Research Paper Writing class. From the findings, it could be identified that the most frequent type of problem encountered by the students was word-for-word plagiarism.   DOI: https://doi.org/10.24071/llt.2012.150101

  18. Electron beam patterning for writing of positively charged gold colloidal nanoparticles

    Science.gov (United States)

    Zafri, Hadar; Azougi, Jonathan; Girshevitz, Olga; Zalevsky, Zeev; Zitoun, David

    2018-02-01

    Synthesis at the nanoscale has progressed at a very fast pace during the last decades. The main challenge today lies in precise localization to achieve efficient nanofabrication of devices. In the present work, we report on a novel method for the patterning of gold metallic nanoparticles into nanostructures on a silicon-on-insulator (SOI) wafer. The fabrication makes use of relatively accessible equipment, a scanning electron microscope (SEM), and wet chemical synthesis. The electron beam implants electrons into the insulating material, which further anchors the positively charged Au nanoparticles by electrostatic attraction. The novel fabrication method was applied to several substrates useful in microelectronics to add plasmonic particles. The resolution and surface density of the deposition were tuned, respectively, by the electron energy (acceleration voltage) and the dose of electronic irradiation. We easily achieved the smallest written feature of 68 ± 18 nm on SOI, and the technique can be extended to any positively charged nanoparticles, while the resolution is in principle limited by the particle size distribution and the scattering of the electrons in the substrate. [Figure not available: see fulltext.

  19. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    International Nuclear Information System (INIS)

    Zhang Wei; Theil Kuhn, Luise; Zhang Qiang; Zhao Mengqiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10 −9 A m −2 ) in scanning transmission electron microscopy (STEM) mode. Under electron probe irradiation, the carbon atom tends to displace within a crystalline specimen, and dangling bonds are formed from the original sp 2 bonding after local carbon atoms have been kicked off. The absorbed random foreign amorphous carbon assembles along the line of the scanning direction induced by secondary electrons and is immobilized near the edge. With the ultralow secondary electron yield of the graphene, additional foreign atoms determining the accuracy of the pattern have been greatly reduced near the targeting region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through electron beams. (paper)

  20. Direct writing on graphene ‘paper’ by manipulating electrons as ‘invisible ink’

    DEFF Research Database (Denmark)

    Zhang, Wei; Zhang, Qiang; Zhao, Meng-Qiang

    2013-01-01

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the ‘paper’ on which to save the information...... is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ∼2 × 10...... region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through...

  1. Writing by the Book, Writing beyond the Book

    Science.gov (United States)

    Johnson, Kristine

    2017-01-01

    Writing has become more visible in academia through writing advice manuals and the faculty development activities they inspire. In this article, I examine writing advice manuals and argue they are epistemologically current traditional, which limits how well and how far they can support scholarly writers. Writing advice manuals and composition…

  2. Formal solution for the fields within a beam-bug calibrator

    International Nuclear Information System (INIS)

    Fessenden, T J.

    1998-01-01

    For some time I was bothered by the fact that measurements of offsets in the various bug calibration setups never agreed with the simple formulae (2) used for determining electron beam position in the Livermore induction linacs and transport systems. About 1983 I realized that the discrepancy arises from the way the bug calibrator simulates an electron beam in a conducting pipe. At that time I solved the problem using the method presented here. Unfortunately, I did not write it up at that time. After considerable effort, I was able to repeat the calculation. Since I have little confidence that after a few years I could ever do it again, I felt obliged to write it up in some detail. Our beam bug calibrator consists of two conducting cylinders, nominally concentric, that simulate the electron beam within a drift tube. The radii of the larger cylinder is 2.3 times that of the smaller giving an electrical impedance of 50 Ohms to the coaxial combination. To simulate a beam off-axis within a drift tube, the inner tube is moved relative to the outer tube. This only approximately simulates the motion of a beam because the surface current on the inner tube redistributes in response to the translation. Fortunately, the fields of the translated inner cylinder can be found exactly using complex variable theory (1)

  3. Electron irradiation of polymers and its application to resists for electron-beam lithography

    International Nuclear Information System (INIS)

    Bowden, M.J.

    1979-01-01

    Resists are used in the fabrication of microelectronic devices to protect the underlying substrate during processes such as chemical etching. Photolithographic techniques are currently used to selectively pattern the resist. However, diffraction effects limit resolution to 1 to 2 μm, and recent trends have been towards using a finely focused beam of high-energy (5 to 20 kV) electrons. This technology potentially offers a much higher resolution as well as other advantages such as improved line-width control and direct computer-controlled fabrication. Several electron-beam writing machines which employ different writing strategies have been developed. These strategies are discussed with reference to current processing needs and constraints which they place on resist performance. Resolution in electron lithography is limited by electron scattering and resist contrast. A variety of models have been developed based on Monte Carlo, analytical, and phenomenological approaches, to predict developed profiles for a given set of exposure and development parameters. These models are reviewed and their results compared with experimental observations of scattering profiles. Optimum design of a resist requires a detailed understanding of the radiation chemistry of polymeric systems. This is discussed with particular emphasis on those resist parameters (such as sensitivity and contrast) which affect lithographic performance. Finally, developments in both positive and negative resists are reviewed. 95 references, 35 figures, 3 tables

  4. Focussed MeV ion beam implanted waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Von Bibra, M.L.; Roberts, A.; Nugent, K.; Jamieson, D.N. [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1996-12-31

    Single mode buried optical waveguides have been fabricated in fused silica by MeV proton implantation using a focussed hydrogen ion beam. The technique has the potential to direct write waveguide devices and produce multi-layered structures, without the need for intermediate steps such as mask fabrication or layered depositions. A micron resolution Confocal Raman Spectrometer has been used to map the distribution of atomic vacancies that forms the waveguiding region. The results are compared with theoretical calculations. Losses of 3 dB cm{sup -1} have been measured in unannealed samples, which decreases to less than 0.5 dB cm{sup -1} after annealing at 500 degrees Celsius. We describe methods for determining the refractive index distribution of single mode buried waveguides from their output intensity distributions via an inversion of the scalar wave equation. (authors). 5 figs.

  5. Focussed MeV ion beam implanted waveguides

    Energy Technology Data Exchange (ETDEWEB)

    Von Bibra, M L; Roberts, A; Nugent, K; Jamieson, D N [Melbourne Univ., Parkville, VIC (Australia). School of Physics

    1997-12-31

    Single mode buried optical waveguides have been fabricated in fused silica by MeV proton implantation using a focussed hydrogen ion beam. The technique has the potential to direct write waveguide devices and produce multi-layered structures, without the need for intermediate steps such as mask fabrication or layered depositions. A micron resolution Confocal Raman Spectrometer has been used to map the distribution of atomic vacancies that forms the waveguiding region. The results are compared with theoretical calculations. Losses of 3 dB cm{sup -1} have been measured in unannealed samples, which decreases to less than 0.5 dB cm{sup -1} after annealing at 500 degrees Celsius. We describe methods for determining the refractive index distribution of single mode buried waveguides from their output intensity distributions via an inversion of the scalar wave equation. (authors). 5 figs.

  6. The kick-out mass selection technique for ions stored in an Electrostatic Ion Beam Trap

    International Nuclear Information System (INIS)

    Toker, Y; Altstein, N; Aviv, O; Rappaport, M L; Heber, O; Schwalm, D; Strasser, D; Zajfman, D

    2009-01-01

    A simple mass selection technique which allows one to clean a keV ion beam of undesirable masses while stored in an Electrostatic Ion Beam Trap (EIBT) is described. The technique is based on the time-of-flight principle and takes advantage of the long storage times and self-bunching that are possible in this type of traps (self bunching being the effect that keeps ions of the same mass bunched in spite of their finite distributions of velocities and trajectories). As the oscillation period is proportional to the square root of the ion mass, bunches containing ions of different masses will separate in space with increasing storage time and can be kicked out by a pulsed deflector mounted inside the trap. A mass selector of this type has been implemented successfully in an EIBT connected to an Even-Lavie supersonic expansion source and is routinely used in ongoing cluster experiments.

  7. Technical writing versus technical writing

    Science.gov (United States)

    Dillingham, J. W.

    1981-01-01

    Two terms, two job categories, 'technical writer' and 'technical author' are discussed in terms of industrial and business requirements and standards. A distinction between 'technical writing' and technical 'writing' is made. The term 'technical editor' is also considered. Problems inherent in the design of programs to prepare and train students for these jobs are discussed. A closer alliance between industry and academia is suggested as a means of preparing students with competent technical communication skills (especially writing and editing skills) and good technical skills.

  8. Beam-beam diagnostics from closed-orbit distortion

    International Nuclear Information System (INIS)

    Furman, M.; Chin, Y.H.; Eden, J.; Kozanecki, W.; Tennyson, J.; Ziemann, V.

    1992-07-01

    We study the applicability of beam-beam deflection techniques as a tuning tool for asymmetric B factories, focusing on PEP-II as an example. Assuming that the closed orbits of the two beams are separated vertically at the interaction point by a local orbit bump that is nominally closed, we calculate the residual beam orbit distortions due to the beam-beam interaction. Difference orbit measurements, performed at points conveniently distant from the interaction point (IP), provide distinct signatures that can be used to maintain the beams in collision and perform detailed optical diagnostics at the IP. A proposal to test this method experimentally at the TRISTAN ring is briefly discussed

  9. Comparison between intensity modulated radiotherapy (IMRT) and 3D tangential beams technique used in patients with early-stage breast cancer who received breast-conserving therapy

    International Nuclear Information System (INIS)

    Sas-Korczynska, B.; Kokoszka, A.; Korzeniowski, S.; Sladowska, A.; Rozwadowska-Bogusz, B.; Lesiak, J.; Dyczek, S.

    2010-01-01

    Background: The most often found complications in patients with breast cancer who received radiotherapy are cardiac and pulmonary function disorders and development of second malignancies. Aim: To compare the intensity modulated radiotherapy with the 3D tangential beams technique in respect of dose distribution in target volume and critical organs they generate in patients with early-stage breast cancer who received breast-conserving therapy. Materials and methods: A dosimetric analysis was performed to assess the three radiotherapy techniques used in each of 10 consecutive patients with early-stage breast cancer treated with breast-conserving therapy. Radiotherapy was planned with the use of all the three techniques: 3D tangential beams with electron boost, IMRT with electron boost, and intensity modulated radiotherapy with simultaneous integrated boost. Results: The use of the IMRT techniques enables more homogenous dose distribution in target volume. The range of mean and median dose to the heart and lung was lower with the IMRT techniques in comparison to the 3D tangential beams technique. The range of mean dose to the heart amounted to 0.3 - 3.5 Gy for the IMRT techniques and 0.4 - 4.3 for the tangential beams technique. The median dose to the lung on the irradiated side amounted to 4.9 - 5 Gy for the IMRT techniques and 5.6 Gy for the 3D tangential beams technique. Conclusion: The application of the IMRT techniques in radiotherapy patients with early-stage breast cancer allows to obtain more homogenous dose distribution in target volume, while permitting to reduce the dose to critical organs. (authors)

  10. Analysis and modification of blue sapphires from Rwanda by ion beam techniques

    Energy Technology Data Exchange (ETDEWEB)

    Bootkul, D., E-mail: mo_duangkhae@hotmail.com [Department of General Science - Gems & Jewelry, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Chaiwai, C.; Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Wanthanachaisaeng, B. [Gems Enhancement Research Unit, Faculty of Gems, Burapha University, Chanthaburi Campus, Chanthaburi 22170 (Thailand); Intarasiri, S., E-mail: saweat@gmail.com [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2015-12-15

    Highlights: • Ion beam analysis is an effective method for detecting trace elements. • Ion beam treatment is able to improve optical and color appearances of the blue sapphire from Rwanda. • These alternative methods can be extended to jewelry industry for large scale application. - Abstract: Blue sapphire is categorised in a corundum (Al{sub 2}O{sub 3}) group. The gems of this group are always amazed by their beauties and thus having high value. In this study, blue sapphires from Rwanda, recently came to Thai gemstone industry, are chosen for investigations. On one hand, we have applied Particle Induced X-ray Emission (PIXE), which is a highly sensitive and precise analytical technique that can be used to identify and quantify trace elements, for chemical analysis of the sapphires. Here we have found that the major element of blue sapphires from Rwanda is Al with trace elements such as Fe, Ti, Cr, Ga and Mg as are commonly found in normal blue sapphire. On the other hand, we have applied low and medium ion implantations for color improvement of the sapphire. It seems that a high amount of energy transferring during cascade collisions have altered the gems properties. We have clearly seen that the blue color of the sapphires have been intensified after nitrogen ion bombardment. In addition, the gems were also having more transparent and luster. The UV–Vis–NIR measurement detected the modification of their absorption properties, implying of the blue color increasing. Here the mechanism of these modifications is postulated and reported. In any point of view, the bombardment by using nitrogen ion beam is a promising technique for quality improvement of the blue sapphire from Rwanda.

  11. Monte Carlo simulation for scanning technique with scattering foil free electron beam: A proof of concept study.

    Directory of Open Access Journals (Sweden)

    Wonmo Sung

    Full Text Available This study investigated the potential of a newly proposed scattering foil free (SFF electron beam scanning technique for the treatment of skin cancer on the irregular patient surfaces using Monte Carlo (MC simulation. After benchmarking of the MC simulations, we removed the scattering foil to generate SFF electron beams. Cylindrical and spherical phantoms with 1 cm boluses were generated and the target volume was defined from the surface to 5 mm depth. The SFF scanning technique with 6 MeV electrons was simulated using those phantoms. For comparison, volumetric modulated arc therapy (VMAT plans were also generated with two full arcs and 6 MV photon beams. When the scanning resolution resulted in a larger separation between beams than the field size, the plan qualities were worsened. In the cylindrical phantom with a radius of 10 cm, the conformity indices, homogeneity indices and body mean doses of the SFF plans (scanning resolution = 1° vs. VMAT plans were 1.04 vs. 1.54, 1.10 vs. 1.12 and 5 Gy vs. 14 Gy, respectively. Those of the spherical phantom were 1.04 vs. 1.83, 1.08 vs. 1.09 and 7 Gy vs. 26 Gy, respectively. The proposed SFF plans showed superior dose distributions compared to the VMAT plans.

  12. Monte Carlo simulation for scanning technique with scattering foil free electron beam: A proof of concept study.

    Science.gov (United States)

    Sung, Wonmo; Park, Jong In; Kim, Jung-In; Carlson, Joel; Ye, Sung-Joon; Park, Jong Min

    2017-01-01

    This study investigated the potential of a newly proposed scattering foil free (SFF) electron beam scanning technique for the treatment of skin cancer on the irregular patient surfaces using Monte Carlo (MC) simulation. After benchmarking of the MC simulations, we removed the scattering foil to generate SFF electron beams. Cylindrical and spherical phantoms with 1 cm boluses were generated and the target volume was defined from the surface to 5 mm depth. The SFF scanning technique with 6 MeV electrons was simulated using those phantoms. For comparison, volumetric modulated arc therapy (VMAT) plans were also generated with two full arcs and 6 MV photon beams. When the scanning resolution resulted in a larger separation between beams than the field size, the plan qualities were worsened. In the cylindrical phantom with a radius of 10 cm, the conformity indices, homogeneity indices and body mean doses of the SFF plans (scanning resolution = 1°) vs. VMAT plans were 1.04 vs. 1.54, 1.10 vs. 1.12 and 5 Gy vs. 14 Gy, respectively. Those of the spherical phantom were 1.04 vs. 1.83, 1.08 vs. 1.09 and 7 Gy vs. 26 Gy, respectively. The proposed SFF plans showed superior dose distributions compared to the VMAT plans.

  13. Stop. Write! Writing Grounded Theory

    Directory of Open Access Journals (Sweden)

    Barney G. Glaser, PhD, Hon. PhD

    2012-06-01

    Full Text Available The message in this book, the dictum in this book, is to stop and write when the Grounded Theory (GT methodology puts you in that ready position. Stop unending conceptualization, unending data coverage, and unending listening to others who would egg you on with additional data, ideas and/or requirements or simply wait too long. I will discuss these ideas in detail. My experience with PhD candidates is that for the few who write when ready, many do not and SHOULD. Simply put, many write-up, but many more should.

  14. THE INSTRUCTIONAL DELIVERY OF WRITING COURSE AT ENGLISH DEPARTMENT OF UMS: A NATURALISTIC STUDY

    Directory of Open Access Journals (Sweden)

    Fibrian Anindyawati

    2017-08-01

    Full Text Available The objective of this research is to get a thorough description of the teaching learning process of Writing Course at English Department of Muhammadiyah University of Surakarta, covering the syllabuses, the learning objectives, the instructional materials, the teachers’ roles, the students’ roles, the classroom techniques, the classroom procedures, the teaching media, and the assessment models. The data of this research were collected through observation, interview, and documentation. This research was a naturalistic study. The result shows that the syllabus used in Writing I & II is grammatical syllabus and Writing III & IV task-based syllabus. The learning objectives categorized into two namely, general objectives and specific objectives. The instructional materials were divided into three categories: printed materials, visual materials, and materials from the internet. The teachers’ roles were as organizer, consultant, feedback provider, assessor, and motivator. The students’ roles were as active participant, peer reviewer, and peer editor. The classroom techniques consist of brainstorming, discussion, question and answer, self-correction, assignment. The classroom procedures of Writing I & II were BKOF-MOT-ICOT; Writing III were reviewing, gathering ideas, organizing, build writing activity; and Writing IV were reviewing, explaining the materials, gathering ideas, organizing, build writing activity. The media used were LCD Projector, board, slides, and videos. The assessment model consisted of: multiple choices, weekly assignments, quizzes, mid-test, and final-test.     Keywords: Instruction, writing course, teaching writing

  15. Development of Plant Mutation Breeding Techniques and Mutants Using by Ion Beam

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Si Yong; Song, Hi Sup; Park, In Sook; Kim, Dong Sub; Lee, Hye Jeong

    2005-06-15

    In recent, Japanese scientists have revealed that high liner energy transfer (LET) heavy-ion beams have relative high biological effectiveness (RBE) and seem to be more effective for induced plant mutation than low LET radiation i.e., X-rays, gamma rays and electrons. This study was conducted to develop basic induced mutation techniques of ion-beam using the MC-50 cyclotron (50MeV) at the Korea Institute of Radiological and Medical Science. For the irradiation of ion-beam, not only dry seeds of Arabidopsis, tabacco, Zosiagrass, radish, rice and perilla were packed with thin plastic film to be a monolayer of seeds for homogenous irradiation, but also calli of Zosiagrass and Chrysanthemum were placed in the plastic petridish (diameter 5.5cm) with agar medium. They were irradiated with a proton beam of MC-50 cyclotron with various dose ranges of 10 to 5000Gy depend on plant materials and then measured germination rate and early growth of M1 plants. Arabidopsis, tabacco, and Zosiagrass showed little inhibition of germination and early growth at doses tested over than 1000 Gy. In particular, Arabidopsis showed less growth inhibition than 50 % even at dose of 5000Gy. On the other hand, radish, perilla and rice were not only sensitively inhibited at the lower doses, but also linearly decreased with accordance with the increasing irradiation dose. The lethal dose 50 (LD50) for two cultivars of perilla was estimated to be at approximately 25-30Gy. All M1 plants of rice did not growth over than 500Gy. These results indicate that the significant difference in sensitivity or in LD50 to irradiation of MC-50 proton beam was observed among plant species and materials.

  16. Characterization of the Plasma Edge for Technique of Atomic Helium Beam in the CIEMAT Fusion Device

    International Nuclear Information System (INIS)

    Hidalgo, A.

    2003-01-01

    In this report, the measurement of Electron Temperature and Density in the Boundary Plasma of TJ-II with a Supersonic Helium Beam Diagnostic and work devoted to the upgrading of this technique are described. Also, simulations of Laser Induced Fluorescence (LIF) studies of level populations of electronically excited He atoms are shown. This last technique is now being installed in the CIEMAT fusion device. (Author )

  17. Writing Workshop.

    Science.gov (United States)

    Novelli, Joan

    2001-01-01

    Six ideas for writing autobiographies with elementary school students include: model the writing process to get students started; read examples of autobiographies; brainstorm writing ideas; free-write the first draft; edit and revise; and publish the stories. Suggestions for mini-lessons are included. A student reproducible offers an editing…

  18. Gas Source Techniques for Molecular Beam Epitaxy of Highly Mismatched Ge Alloys

    Directory of Open Access Journals (Sweden)

    Chad A. Stephenson

    2016-12-01

    Full Text Available Ge and its alloys are attractive candidates for a laser compatible with silicon integrated circuits. Dilute germanium carbide (Ge1−xCx offers a particularly interesting prospect. By using a precursor gas with a Ge4C core, C can be preferentially incorporated in substitutional sites, suppressing interstitial and C cluster defects. We present a method of reproducible and upscalable gas synthesis of tetrakis(germylmethane, or (H3Ge4C, followed by the design of a hybrid gas/solid-source molecular beam epitaxy system and subsequent growth of defect-free Ge1−xCx by molecular beam epitaxy (MBE. Secondary ion mass spectroscopy, transmission electron microscopy and contactless electroreflectance confirm the presence of carbon with very high crystal quality resulting in a decrease in the direct bandgap energy. This technique has broad applicability to growth of highly mismatched alloys by MBE.

  19. Learning to Write with Interactive Writing Instruction

    Science.gov (United States)

    Williams, Cheri

    2018-01-01

    Interactive writing is a process-oriented instructional approach designed to make the composing and encoding processes of writing overt and explicit for young students who are learning to write. It is particularly suitable for students who struggle with literacy learning. This article describes one first-grade teacher's use of interactive writing…

  20. Dilution kicker for the SPS beam dump

    CERN Multimedia

    1974-01-01

    In order to reduce thermal stress on the SPS dump material, the fast-ejected beam was swept horizontally across the dump. This was done with the "dilution kicker" MKDH, still in use at the time of writing. The person on the left is Manfred Mayer. See also 7404072X.

  1. Recoil separators for radiative capture using radioactive ion beams. Recent advances and detection techniques

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz, Chris [TRIUMF, Vancouver, BC (Canada); Greife, Uwe; Hager, Ulrike [Colorado School of Mines, Golden, CO (United States)

    2014-06-15

    Radiative capture reactions involving the fusion of hydrogen or helium are ubiquitous in the stellar history of the universe, and are some of the most important reactions in the processes that govern nucleosynthesis and energy generation in both static and explosive scenarios. However, radiative capture reactions pose some of the most difficult experimental challenges due to extremely small cross sections. With the advent of recoil separators and techniques in inverse kinematics, it is now possible to measure radiative capture reactions on very short-lived radioactive nuclei, and in the presence of high experimental backgrounds. In this paper we review the experimental needs for making measurements of astrophysical importance on radiative capture reactions. We also review some of the important historical advances in the field of recoil separators as well as describe current techniques and performance milestones, including descriptions of some of the separators most recently working at radioactive ion beam facilities, such as DRAGON at TRIUMF and the DRS at the Holifield Radioactive Ion Beam Facility. We will also summarize some of the scientific highlight measurements at the RIB facilities. (orig.)

  2. Reliability study of a prestressed concrete beam by Monte Carlo techniques

    International Nuclear Information System (INIS)

    Floris, C.; Migliacci, A.

    1987-01-01

    The safety of a prestressed beam is studied at the third probabilistic level and so calculating the probability of failure (P f ) under known loads. Since the beam is simply supported and subject only to loads perpendicular to its axis, only bending and shear loads are present. Since the ratio between the span and the clear height is over 20 with thus a very considerable shear span, it can be assumed that failure occurs entirely due to the bending moment, with shear having no effect. In order to calculate P f the probability density function (p.d.f.) have to be known both for the stress moment and the resisting moment. Attention here is focused on the construction of the latter. It is shown that it is practically impossible to find the required function analytically. On the other hand, numerical simulation with the help of a computer is particularly convenient. The so-called Monte Carlo techniques were chosen: they are based on the extraction of random numbers and are thus very suitable for simulating random events and quantities. (orig./HP)

  3. The renormalized theory of beam-beam interaction

    International Nuclear Information System (INIS)

    Chin, Yong Ho.

    1988-06-01

    A new approach to calculate analytically the particle distribution in the presence of beam-beam interaction and synchrotron radiation effects for an electron-positron colliding beam storage ring is presented. The method is based on correct calculation of the Green's function which includes the full effect of the beam-beam force on the distortion of particle orbits, borrowing the renormalization technique of quantum field therory. By this way, the theory is applicable to any level of beam-beam interaction, no matter whether chaos ensues in phase space or not. This paper is devoted mostly to verificaiton of the theory by comparison with the results of computer simulations. Fairly good agreements are obtained. 5 refs., 3 figs

  4. The Mutual Storytelling Writing Game.

    Science.gov (United States)

    Scorzelli, James F.; Gold, Julie

    1999-01-01

    Because of differences in cultural backgrounds and learning styles, some children have a difficult time verbalizing their emotions or appear resistant to talking about themselves. Describes a technique, referred to as the mutual storytelling writing game, that has been found to be useful for children who have difficulty in engaging in traditional…

  5. Studies of halo distributions under beam-beam interaction

    International Nuclear Information System (INIS)

    Chen, T.; Irwin, J.; Siemann, R.H.

    1995-01-01

    The halo distribution due to the beam-beam interaction in circular electron-positron colliders is simulated with a program which uses a technique that saves a factor of hundreds to thousands of CPU time. The distribution and the interference between the beam-beam interaction and lattice nonlinearities has been investigated. The effects on the halo distribution due to radiation damping misalignment at the collision point, and chromatic effect are presented

  6. A simple electron-beam lithography system

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Madsen, Dorte Nørgaard; Bøggild, Peter

    2005-01-01

    A large number of applications of electron-beam lithography (EBL) systems in nanotechnology have been demonstrated in recent years. In this paper we present a simple and general-purpose EBL system constructed by insertion of an electrostatic deflector plate system at the electron-beam exit...... of the column of a scanning electron microscope (SEM). The system can easily be mounted on most standard SEM systems. The tested setup allows an area of up to about 50 x 50 pm to be scanned, if the upper limit for acceptable reduction of the SEM resolution is set to 10 run. We demonstrate how the EBL system can...... be used to write three-dimensional nanostructures by electron-beam deposition. (C) 2004 Elsevier B.V. All rights reserved....

  7. An optimized nanoparticle separator enabled by electron beam induced deposition

    International Nuclear Information System (INIS)

    Fowlkes, J D; Rack, P D; Doktycz, M J

    2010-01-01

    Size-based separations technologies will inevitably benefit from advances in nanotechnology. Direct-write nanofabrication provides a useful mechanism for depositing/etching nanoscale elements in environments otherwise inaccessible to conventional nanofabrication techniques. Here, electron beam induced deposition was used to deposit an array of nanoscale features in a 3D environment with minimal material proximity effects outside the beam-interaction region. Specifically, the membrane component of a nanoparticle separator was fabricated by depositing a linear array of sharply tipped nanopillars, with a singular pitch, designed for sub-50 nm nanoparticle permeability. The nanopillar membrane was used in a dual capacity to control the flow of nanoparticles in the transaxial direction of the array while facilitating the sealing of the cellular-sized compartment in the paraxial direction. An optimized growth recipe resulted which (1) maximized the growth efficiency of the membrane (which minimizes proximity effects) and (2) preserved the fidelity of the spacing between nanopillars (which maximizes the size-based gating quality of the membrane) while (3) maintaining sharp nanopillar apexes for impaling an optically transparent polymeric lid critical for device sealing.

  8. An optimized nanoparticle separator enabled by electron beam induced deposition

    Science.gov (United States)

    Fowlkes, J. D.; Doktycz, M. J.; Rack, P. D.

    2010-04-01

    Size-based separations technologies will inevitably benefit from advances in nanotechnology. Direct-write nanofabrication provides a useful mechanism for depositing/etching nanoscale elements in environments otherwise inaccessible to conventional nanofabrication techniques. Here, electron beam induced deposition was used to deposit an array of nanoscale features in a 3D environment with minimal material proximity effects outside the beam-interaction region. Specifically, the membrane component of a nanoparticle separator was fabricated by depositing a linear array of sharply tipped nanopillars, with a singular pitch, designed for sub-50 nm nanoparticle permeability. The nanopillar membrane was used in a dual capacity to control the flow of nanoparticles in the transaxial direction of the array while facilitating the sealing of the cellular-sized compartment in the paraxial direction. An optimized growth recipe resulted which (1) maximized the growth efficiency of the membrane (which minimizes proximity effects) and (2) preserved the fidelity of the spacing between nanopillars (which maximizes the size-based gating quality of the membrane) while (3) maintaining sharp nanopillar apexes for impaling an optically transparent polymeric lid critical for device sealing.

  9. Focus detection by shearing interference of vortex beams for non-imaging systems.

    Science.gov (United States)

    Li, Xiongfeng; Zhan, Shichao; Liang, Yiyong

    2018-02-10

    In focus detection of non-imaging systems, the common image-based methods are not available. Also, interference techniques are seldom used because only the degree with hardly any direction of defocus can be derived from the fringe spacing. In this paper, we propose a vortex-beam-based shearing interference system to do focus detection for a focused laser direct-writing system, where a vortex beam is already involved. Both simulated and experimental results show that fork-like features are added in the interference patterns due to the existence of an optical vortex, which makes it possible to distinguish the degree and direction of defocus simultaneously. The theoretical fringe spacing and resolution of this method are derived. A resolution of 0.79 μm can be achieved under the experimental combination of parameters, and it can be further improved with the help of the image processing algorithm and closed-loop controlling in the future. Finally, the influence of incomplete collimation and the wedge angle of the shear plate is discussed. This focus detection approach is extremely appropriate for those non-imaging systems containing one or more focused vortex beams.

  10. Writing Inspired

    Science.gov (United States)

    Tischhauser, Karen

    2015-01-01

    Students need inspiration to write. Assigning is not teaching. In order to inspire students to write fiction worth reading, teachers must take them through the process of writing. Physical objects inspire good writing with depth. In this article, the reader will be taken through the process of inspiring young writers through the use of boxes.…

  11. Science and thinking: The write connection

    Science.gov (United States)

    Butler, Gene

    1991-09-01

    The effective use of writing in science instruction may open the way for students to grow in their ability to exercise higher order thinking skills (Bland & Koppel, 1988). Scinto (1986) makes a compelling case for writing as a means of stimulating thinking when he states: The production of written text demands more elaborate strategies of preplanning. Written language demands the conscious organization of ensembles of propositions to achieve its end. The need to manipulate linguistic means in such a conscious and deliberate fashion entails a level of linguistic self-reflection not called forth in oral discourse (p. 101). Science educators may find that the writing process is one technique to help them move away from the teacher-centered, textbook-driven science classroom of today, and move toward the realization of science education which will ensure that students are able to function as scientifically literate citizens in our contemporary society.

  12. Writing Retreat Increases Productivity And Community For Women Geoscientists

    Science.gov (United States)

    O'Connell, S.; Holmes, M.

    2011-12-01

    Five, weeklong geoscientist writing retreats have been completed with an NSF ADANCE PAID grant. During the five nights and four and a half days, eight to twenty-four academics have gathered in a rural setting outside of Boston to get to focus on writing papers and proposals while getting to know each other. Participants range in age and experience from graduate students to emeritus professors. Over twenty papers and proposals acknowledge their production, in part to this writing retreat. Impact extends beyond papers as informal mentoring and discussions at meals and in the evenings centers on succeeding in academia. Research and teaching are foremost in the conversation. Post-docs learn strategies for applying for jobs and grants, and senior professors discuss strategies for working with academic administrations, running departments and mentoring students. They also learn new technologies and perspectives from younger participants. Particularly helpful are discussions on work-life balance. Networking opportunities extend beyond the retreat as participants join each other at their home institutions to give seminars, develop research projects and mentor each other's students. All weeks follow the same format. Participants arrive Sunday and meet during an evening welcome reception. Monday is devoted to writing. Tuesday a writing coach is available. In the morning, using examples from the scientific literature, she discusses strategies and techniques for writing clearly at a group session. During the afternoon, participants work with the coach individually or in small groups to improve their own writing projects. Wednesday evening a skill session is offered on a topic of interest. These have included undergraduate research, NSF funding, productive techniques for dealing with conflict, and generational characteristics and attitudes, which can hamper communication. A Thursday evening wrap-up session prepares participants for Friday's departure. We believe that this model

  13. Active Vibration damping of Smart composite beams based on system identification technique

    Science.gov (United States)

    Bendine, Kouider; Satla, Zouaoui; Boukhoulda, Farouk Benallel; Nouari, Mohammed

    2018-03-01

    In the present paper, the active vibration control of a composite beam using piezoelectric actuator is investigated. The space state equation is determined using system identification technique based on the structure input output response provided by ANSYS APDL finite element package. The Linear Quadratic (LQG) control law is designed and integrated into ANSYS APDL to perform closed loop simulations. Numerical examples for different types of excitation loads are presented to test the efficiency and the accuracy of the proposed model.

  14. The Opinion Function: Editorial and Interpretive Writing for the News Media.

    Science.gov (United States)

    Hulteng, John L.

    This guide to editorial and interpretive writing focuses on generating ideas and developing editorials that are striking, lucid, and persuasive. It covers the devices of argumentation and effective writing, considers the fine points of technique and style, catalogues pitfalls common to new writers of opinion pieces, and discusses factors that…

  15. Pixelgram: an application of electron-beam lithography for the security printing industry

    Science.gov (United States)

    Lee, Robert A.

    1991-10-01

    Following the development of the Catpix I diffraction gratings structure first used on the 1988 Australian plastic DLR10 banknote and more recently on the Singapore plastic DLR50 banknote, the CSIRO Australia, Division of Materials Science & Technology has developed a new optical security and anti-counterfeiting technology known as Pixelgram (or Catpix 2). The Pixelgram, which is subject to patent, is an optically variable device based on a computerized procedure for producing an optically variable version of any given input picture, e.g., a photograph. When a Pixelgram is observed under a given source, such as a fluorescent tube, the image of the original input picture appears at particular angles of view. At other angles, the image varies in both contrast and brightness and can even appear as the photographic negative of the original input picture at some angles of view. As well as its ability to generate optically variable text and graphical images, Pixelgram has the unique capability of being able to display easily recognizable small scale optically variable images of the human face of near photographic clarity. Pixelgram optical security device master plates are produced by a technique borrowed from the microelectronics industry and known as electron beam lithography. In this technique, millions of microscopic grooves are written individually by a finely focused electron beam scanning across a glass plate coated with an electron sensitive material. On a typical Pixelgram there are approximately 2,000 million individual polygons etched into the plate by the electron beam. This corresponds to more than 10,000 megabytes of binary data. The only known electron beam lithography systems that have been able to write such large data files with the required precision are the Cambridge Instruments EBMF 10.5 and EBML 300 electron beam systems.

  16. Aplicacion de nuevas tecnicas y procedimientos para la ensenanza de la lectura-escritura (Application of the New Techniques and Procedures for Teaching Reading-Writing).

    Science.gov (United States)

    Instituto Nacional de Pedagogia (Mexico).

    This document is an English-language abstract (approximately 1,500 words) of experiments performed in Mexico, D. F. by way of introducing new techniques for teaching reading and writing, particularly in the remedial classes. The first part of the document deals with a series of experiments carried out with first grade remedial groups as follows:…

  17. Closed orbit distortion and the beam-beam interaction

    Energy Technology Data Exchange (ETDEWEB)

    Furman, M.; Chin, Y.H.; Eden, J. [Lawrence Berkeley Lab., CA (United States); Kozanecki, W. [CEA Centre d`Etudes Nucleaires de Saclay, 91 - Gif-sur-Yvette (France)]|[Stanford Linear Accelerator Center, Menlo Park, CA (United States); Tennyson, J.; Ziemann, V. [Stanford Linear Accelerator Center, Menlo Park, CA (United States)

    1992-06-01

    We study the applicability of beam-beam deflection techniques as a tuning tool for the SLAC/LBL/LLNL B factory, PEP-II. Assuming that the closed orbits of the two beams are separated vertically at the interaction point by a local orbit bump that is nominally closed, we calculate the residual beam orbit distortions due to the beam-beam interaction. Difference orbit measurements, performed at points conveniently distant from the IP, provide distinct coordinate- or frequency-space signatures that can be used to maintain the beams in collision and perform detailed optical diagnostics at the IP. A proposal to test this method experimentally at the TRISTAN ring is briefly discussed.

  18. Closed orbit distortion and the beam-beam interaction

    International Nuclear Information System (INIS)

    Furman, M.; Chin, Y.H.; Eden, J.; Kozanecki, W.; Tennyson, J.; Ziemann, V.

    1992-01-01

    We study the applicability of beam-beam deflection techniques as a tuning tool for the SLAC/LBL/LLNL B factory, PEP-II. Assuming that the closed orbits of the two beams are separated vertically at the interaction point by a local orbit bump that is nominally closed, we calculate the residual beam orbit distortions due to the beam-beam interaction. Difference orbit measurements, performed at points conveniently distant from the IP, provide distinct coordinate- or frequency-space signatures that can be used to maintain the beams in collision and perform detailed optical diagnostics at the IP. A proposal to test this method experimentally at the TRISTAN ring is briefly discussed

  19. The Intercultural Component in Textbooks for Teaching a Service Technical Writing Course

    Science.gov (United States)

    Matveeva, Natalia

    2007-01-01

    This research article investigates new developments in the representation of the intercultural component in textbooks for a service technical writing course. Through textual analysis, using quantitative and qualitative techniques, I report discourse analysis of 15 technical writing textbooks published during 1993-2006. The theoretical and…

  20. Reflective writing: the student nurse's perspective on reflective writing and poetry writing.

    Science.gov (United States)

    Coleman, Dawn; Willis, Diane S

    2015-07-01

    Reflective writing is a mandatory part of nurse education but how students develop their skills and use reflection as part of their experiential learning remains relatively unknown. Understanding reflective writing in all forms from the perspective of a student nurse is therefore important. To explore the use of reflective writing and the use of poetry in pre-registered nursing students. A qualitative design was employed to explore reflective writing in pre-registered nursing students. A small university in Scotland. BSc (Hons) Adult and Mental Health Pre-registration Student Nurses. Two focus groups were conducted with 10 student nurses during March 2012. Data was analysed thematically using the framework of McCarthy (1999). Students found the process of reflective writing daunting but valued it over time. Current educational methods, such as assessing reflective accounts, often lead to the 'narrative' being watered down and the student feeling judged. Despite this, reflection made students feel responsible for their own learning and research on the topic. Some students felt the use of models of reflection constricting, whilst poetry freed up their expression allowing them to demonstrate the compassion for their patient under their care. Poetry writing gives students the opportunity for freedom of expression, personal satisfaction and a closer connection with their patients, which the more formal approach to reflective writing did not offer. There is a need for students to have a safe and supportive forum in which to express and have their experiences acknowledged without the fear of being judged. Crown Copyright © 2015. Published by Elsevier Ltd. All rights reserved.

  1. Signal processing techniques for lithium beam polarimetry on DIII-D

    International Nuclear Information System (INIS)

    Thomas, D. M.; Leonard, A. W.

    2006-01-01

    On the DIII-D tokamak the LIBEAM diagnostic provides precise measurements of the local magnetic field direction by combined polarimetry/ spectroscopy of the Zeeman-split 2S-2P lithium resonance line. Using these measurements we are able to determine the behavior of the edge toroidal current density j φ (r), a parameter of critical interest for edge stability and performance. For a successful measurement, analysis of the polarization state of the spectrally filtered fluorescence must be done with high precision in the presence of nonideal filtering, beam intensity evolution, and dynamically varying background light. This is accomplished by polarization modulation of the collected emission, followed by digital demodulation at various harmonics of the modulation frequency. Either lock-in or fast Fourier transform techniques can be used to determine the various Stokes parameters and reconstruct the field directions based on accurate spatial and polarization efficiency calibrations. Details of the specific techniques used to analyze various DIII-D discharges are described, along with a discussion of the present limitations and some possible avenues towards improving the analysis

  2. The Effects of Portfolio Use in Teaching Report Writing: EFL Students' Perspective

    OpenAIRE

    Hussein Taha Assaggaf; Yousef Salem Bamahra

    2016-01-01

    Portfolio has widely been used in various areas including second language writing. The purpose of this study is to investigate the views of students in using portfolio in teaching technical report-writing. The participants are computer science students enrolled in a report writing course at a university in Yemen. For data collection, the study used three techniques; namely, group discussions, written reflections and a short questionnaire. The findings showed participants' positive views towar...

  3. Advanced Integration Techniques on Broadband Millimeter-Wave Beam Steering for 5G Wireless Networks and Beyond

    NARCIS (Netherlands)

    Cao, Zizheng; Ma, Qian; Smolders, Bart; Jiao, Yuqing; Wale, Mike; Oh, Joanne; wu, hequan; Koonen, Ton

    2015-01-01

    Recently, the desired very high throughput of 5G wireless networks drives millimeter-wave (mm-wave) communication into practical applications. A phased array technique is required to increase the effective antenna aperture at mm-wave frequency. Integrated solutions of beamforming/beam steering are

  4. New imaging technique based on diffraction of a focused x-ray beam

    Energy Technology Data Exchange (ETDEWEB)

    Kazimirov, A [Cornell High Energy Synchrotron Source (CHESS), Cornell University, Ithaca, NY 14853 (United States); Kohn, V G [Russian Research Center ' Kurchatov Institute, 123182 Moscow (Russian Federation); Cai, Z-H [Advanced Photon Source, 9700 S. Cass Avenue, Argonne, IL 60439 (United States)], E-mail: ayk7@cornell.edu

    2009-01-07

    We present first experimental results from a new diffraction depth-sensitive imaging technique. It is based on the diffraction of a focused x-ray beam from a crystalline sample and recording the intensity pattern on a high-resolution CCD detector positioned at a focal plane. Structural non-uniformity inside the sample results in a region of enhanced intensity in the diffraction pattern. The technique was applied to study silicon-on-insulator thin layers of various thicknesses which revealed a complex strain profile within the layers. A circular Fresnel zone plate was used as a focusing optic. Incoherent diffuse scattering spreads out of the diffraction plane and results in intensity recorded outside of the focal spot providing a new approach to separately register x-rays scattered coherently and incoherently from the sample. (fast track communication)

  5. A new analysis technique to measure fusion excitation functions with large beam energy dispersions

    Science.gov (United States)

    Figuera, P.; Di Pietro, A.; Fisichella, M.; Lattuada, M.; Shotter, A. C.; Ruiz, C.; Zadro, M.

    2018-01-01

    Peculiar nuclear structures of two colliding nuclei such has clustering, neutron halo/skin or very low breakup thresholds can affect the reaction dynamics below the Coulomb barrier and this may also have astrophysical consequences. In order to have a better understanding of this topic, in the last decade, several experiments were performed. A typical experimental challenge of such studies is the need to measure excitation functions below the Coulomb barrier, having a strong energy dependence, with rather large beam energy dispersions inside the target. This may easily lead to ambiguities in associating the measured cross section with a proper beam energy. In this paper a discussion on this topic is reported and a new technique to deal with the above problem will be proposed.

  6. Observing writing processes of struggling adult writers with collaborative writing

    Directory of Open Access Journals (Sweden)

    Afra Sturm

    2016-10-01

    Full Text Available This study investigated how struggling adult writers solve a writing task and what they know about writing and themselves as writers. The writing process of the adult writers was examined by combining three elements: the observation of collaborative writing tasks, analyses of their written texts, and structured individual interviews that included both retrospective and prospective parts. This methodical approach provides productive tools to assess writing processes and writing knowledge of struggling adult writers. The triangulation of data from the different sources is visualized in a case study. Findings from the case study suggest both similarities and differences between struggling adult and younger writers. Concerning the writing process of both groups, planning and revision play a limited role. However, alongside these similar limitations in their writing process, struggling adult writers distinguish themselves from their young counterparts through their relatively extensive knowledge about themselves as writers.

  7. Scalable High-Performance Ultraminiature Graphene Micro-Supercapacitors by a Hybrid Technique Combining Direct Writing and Controllable Microdroplet Transfer.

    Science.gov (United States)

    Shen, Daozhi; Zou, Guisheng; Liu, Lei; Zhao, Wenzheng; Wu, Aiping; Duley, Walter W; Zhou, Y Norman

    2018-02-14

    Miniaturization of energy storage devices can significantly decrease the overall size of electronic systems. However, this miniaturization is limited by the reduction of electrode dimensions and the reproducible transfer of small electrolyte drops. This paper reports first a simple scalable direct writing method for the production of ultraminiature microsupercapacitor (MSC) electrodes, based on femtosecond laser reduced graphene oxide (fsrGO) interlaced pads. These pads, separated by 2 μm spacing, are 100 μm long and 8 μm wide. A second stage involves the accurate transfer of an electrolyte microdroplet on top of each individual electrode, which can avoid any interference of the electrolyte with other electronic components. Abundant in-plane mesopores in fsrGO induced by a fs laser together with ultrashort interelectrode spacing enables MSCs to exhibit a high specific capacitance (6.3 mF cm -2 and 105 F cm -3 ) and ∼100% retention after 1000 cycles. An all graphene resistor-capacitor (RC) filter is also constructed by combining the MSC and a fsrGO resistor, which is confirmed to exhibit highly enhanced performance characteristics. This new hybrid technique combining fs laser direct writing and precise microdroplet transfer easily enables scalable production of ultraminiature MSCs, which is believed to be significant for practical application of micro-supercapacitor microelectronic systems.

  8. How to Write a Journal Article for PSN.

    Science.gov (United States)

    Hotta, Tracey

    Are you considering writing a journal article for Plastic Surgical Nursing? This official journal of the American Society of Plastic Surgical Nurses presents the latest advances in plastic and reconstructive surgical nursing practice. The journal features clinical articles covering a wide variety of surgical and nonsurgical procedures. Patient education techniques and research findings are also included, as well as articles discussing the ethical issues and trends in this expanding clinical nursing specialty. This is a perfect forum to share your knowledge with others in the plastic surgery field, resulting in improved patient care. The editorial board is established and available to assist you in the writing process. It is important to know that you do not have to be an academic scholar to write an article; instead, you have information that you would like to share. This article is intended to provide key points to follow to make sure that writing your article is a positive experience.

  9. Influence of Writing Ability and Computation Skill on Mathematics Writing

    Science.gov (United States)

    Powell, Sarah R.; Hebert, Michael A.

    2016-01-01

    Mathematics standards expect students to communicate about mathematics using oral and written methods, and some high-stakes assessments ask students to answer mathematics questions by writing. Assumptions about mathematics communication via writing include (a) students possess writing skill, (b) students can transfer this writing skill to…

  10. Science + Writing = Super Learning. Writing Workshop.

    Science.gov (United States)

    Bower, Paula Rogovin

    1993-01-01

    Article presents suggestions for motivating elementary students to learn by combining science and writing. The strategies include planning the right environment; teaching the scientific method; establishing a link to literature; and making time for students to observe, experiment, and write. (SM)

  11. Re-learning how to write: student successes and challenges in a targeted geoscience communication course

    Science.gov (United States)

    Elwood Madden, M.; Miller-Deboer, C.; Eodice, M.; Miller, J.; Johnson, J.; Rifenburg, M.

    2013-12-01

    Colloquium group at the end of the semester. Geowriting students reported in self-assessments that they had 're-learned' how to write as geoscientists in the class and had developed new writing tactics that they could apply to writing projects in other science courses. Based on these reports, we assessed abstract samples to determine if students were employing commonly taught prose style techniques (catchy intro, final summary statement, etc.), which are not usually appropriate in abstracts. Results show that Geowriting students used fewer prose style techniques at the end of the semester, resulting in more professional abstracts, while Colloquium students used more prose style techniques compared to the initial sample, resulting in less appropriate writing for the genre. In other words, Colloquium students may have lacked an explicit introduction to the genre conventions of abstracts and thus relied on a familiar genre and its stylistic devices. These results highlight the effectiveness of science-specific writing instruction within the major to guide students into the norms of the geoscience discourse community and help students learn how to 'write like a geoscientist.' However, as an elective course, only students already interested and skilled in writing were affected.

  12. An isodose shift technique for obliquely incident electron beams

    International Nuclear Information System (INIS)

    Ulin, K.; Sternick, E.S.

    1989-01-01

    It is well known that when an electron beam is incident obliquely on the surface of a phantom, the depth dose curve measured normal to the surface is shifted toward the surface. Based on geometrical arguments alone, the depth of the nth isodose line for an electron beam incident at an angle θ should be equal to the product of cos θ and the depth of the nth isodose line at normal incidence. This method, however, ignores the effects of scatter and can lead to significant errors in isodose placement for beams at large angles of incidence. A semi-empirical functional relationship and a table of isodose shift factors have been developed with which one may easily calculate the depth of any isodose line for beams at incident angles of 0 degree to 60 degree. The isodose shift factors are tabulated in terms of beam energy (6--22 MeV) and isodose line (10%--90%) and are shown to be relatively independent of beam size and incident angle for angles <60 degree. Extensive measurements have been made on a Varian Clinac 2500 linear accelerator with a parallel-plate chamber and polystyrene phantom. The dependence of the chamber response on beam angulation has been checked, and the scaling factor of the polystyrene phantom has been determined to be equal to 1.00

  13. Writing anxiety: an affective filter for essay writing instruction among ...

    African Journals Online (AJOL)

    This study which adopted the descriptive research design investigated the relationship between writing anxiety and students' achievement in essay writing. SS2 Students from six schools in Ibadan Metropolis were used for the study. The instruments used were Essay Writing Achievement Test(r=0.81) and Writing Anxiety ...

  14. High school boys' and girls' writing conceptions and writing self-efficacy beliefs : what is their role in writing performance?

    OpenAIRE

    Villalón Molina, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    ABSTRACT: This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students’ gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences were found in writing self-efficacy beliefs. In addition, results reveal that writing self-efficacy beliefs and gender play an important role...

  15. Influence Cooperative Learning Method and Personality Type to Ability to Write The Scientific Article (Experiment Study on SMAN 2 Students Ciamis Learning Indonesian Subject

    Directory of Open Access Journals (Sweden)

    Supriatna Supriatna

    2017-10-01

    Full Text Available The purpose of this research was to know the influence of cooperative learning method (Jigsaw and TPS and personality type (extrovert and introvert toward students’ ability in scientific writing at the SMA Negeri 2 Ciamis class XII. The research used experimental method with 2 x 2 factorial design. The population was the students of class XII which consisted of 150. The sample was 57 students. The results showed that: (1 The ability to write scientific articles of students learning by cooperative learning method jigsaw model (= 65,88 is higher than students who learn by cooperative technique method of TPS (= 59,88, (2 Ability writing scientific articles of students whose extroverted personality (= 65.69 is higher than introverted students (= 60.06; (3 there is interaction between cooperative learning method and personality type to score of writing ability of scientific article (4 ability to write scientific article of extrovert student and studying with technique of Jigsaw (= 77,75 higher than extrovert student learning with cooperative learning method model of TPS (= 53,63 to score of writing ability of scientific article, (5 ability to write introverted student's scientific article and get treatment of cooperative learning method of jigsaw model (= 54,00 lower than introverted student learning TPS technique = 66,13, (6 the ability to write extroverted students' scientific articles studied with jigsaw techniques, and introverted students who studied Jigsaw techniques (= 77.75 were higher than those with introverted personality types studied by the Jigsaw technique (= 54.00 , (7 Ability to write scientific articles of students learning by cooperative techniques of TPS technique and have extrovert personality type ( = 53.63 lower than introverted students learning TPS techniques (= 66.13.

  16. Writing learning cases for an information literacy tutorial

    OpenAIRE

    Gunhild Austrheim

    2010-01-01

    The research and writing processes are often hidden mysteries to our students. A key point in the online tutorial Search and Write (Søk and Skriv) has been to supply our students with tools to handle these processes. Learning cases embedded in the tutorial allow us to demonstrate a variety of working techniques and to better cater for a diverse student population. The tutorial can be used as an independent resource for students and as a teaching aid for both library sessions on inform...

  17. Quality control of JEOL JBX-9500FSZ e-beam lithography system in a multi-user laboratory

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Johansen, Leif

    2016-01-01

    designed quality control procedure characterized using a scanning electron microscope. We inspect position accuracy, dynamic focus, and dynamic astigmatism, as well as single and multi-pixel lines in thin resist. Beam shape quality is inspected in the corners of the writing field at 6 different beam...... currents between 2 and 60 nA. We use positive-tone resist AR-P6200 (CSAR 62) from All Resist. With our quality control procedure, we routinely write 11 nm lines on a 40 nm pitch, and obtain a field stitching accuracy better than 3 nm and overlay accuracy less than 7 nm....

  18. Development of plant mutation techniques using ion beam

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Si Yong; Park, In Sook; Song, Hi Sup; Kim, Dong Sub; Kim, Soo Yeon

    2006-06-15

    It has been reported that ion beam with high liner energy transfer (LET) show relative high biological effectiveness (RBE) and more effective for induced plant mutation than low LET radiation i.e., X-rays, gamma rays and electrons. This study was conducted to induce mutation of in vitro cultured orchid and Chrysanthemum using proton beam of the MC-50 cyclotron (50 MeV) at the Korea Institute of Radiological and Medical Science. In vitro cultured stems of chrysanthemum(cv. Migok) and protocom-like bodies(PLBs) of Dendrobium orchid (cv. Kingianum) placed in the plastic petridish (5.5cm in diameter) with agar medium were irradiated by the proton beam with various dose ranges of 10, 25, 50, 100 Gy under the condition of 5nA beam current. Those irradiated plants were transferred to subculture media and then investigated growth characteristics. Shoot growth of chrysanthemum and orchid was decreased by increase of irradiation dose. In particular, new shoot formation was hardly founded over 50Gy in chrysanthemum and 100 Gy in orchid. Some leaf mutants were observed at the 25 Gy and 50 Gy irradiated PLBs of the orchid. The dry seeds of hot pepper, rapeseed, rice and perilla also were irradiated with proton beam of MC-50 cyclotron and then measured germination rate and early growth of M1 plants compared with gamma ray irradiation.

  19. Development of plant mutation techniques using ion beam

    International Nuclear Information System (INIS)

    Kang, Si Yong; Park, In Sook; Song, Hi Sup; Kim, Dong Sub; Kim, Soo Yeon

    2006-06-01

    It has been reported that ion beam with high liner energy transfer (LET) show relative high biological effectiveness (RBE) and more effective for induced plant mutation than low LET radiation i.e., X-rays, gamma rays and electrons. This study was conducted to induce mutation of in vitro cultured orchid and Chrysanthemum using proton beam of the MC-50 cyclotron (50 MeV) at the Korea Institute of Radiological and Medical Science. In vitro cultured stems of chrysanthemum(cv. Migok) and protocom-like bodies(PLBs) of Dendrobium orchid (cv. Kingianum) placed in the plastic petridish (5.5cm in diameter) with agar medium were irradiated by the proton beam with various dose ranges of 10, 25, 50, 100 Gy under the condition of 5nA beam current. Those irradiated plants were transferred to subculture media and then investigated growth characteristics. Shoot growth of chrysanthemum and orchid was decreased by increase of irradiation dose. In particular, new shoot formation was hardly founded over 50Gy in chrysanthemum and 100 Gy in orchid. Some leaf mutants were observed at the 25 Gy and 50 Gy irradiated PLBs of the orchid. The dry seeds of hot pepper, rapeseed, rice and perilla also were irradiated with proton beam of MC-50 cyclotron and then measured germination rate and early growth of M1 plants compared with gamma ray irradiation

  20. See, Say, Write: A Writing Routine for the Preschool Classroom

    Science.gov (United States)

    Copp, Stefanie B.; Cabell, Sonia Q.; Tortorelli, Laura S.

    2016-01-01

    See, Say, Write is an adaptable classroom writing routine that teachers can use across a range of activities in the preschool classroom. This preschool writing routine offers an opportunity for teachers to build on a shared experience through engagement in rich conversation and writing. After a shared experience, teachers will provide a visual…

  1. Writing for publication Part II--The writing process.

    Science.gov (United States)

    Clarke, L K

    1999-01-01

    You have selected a topic, gathered resources, and identified your target audience. The next step is to begin to write and organize your ideas. Initiating the actual writing process can be intimidating, especially for a novice author. This portion of the writing for publication series focuses on helping the writer to organize ideas and get started.

  2. Balancing Self-Directed Learning with Expert Mentoring: The Science Writing Heuristic Approach

    Science.gov (United States)

    Shelley, Mack; Fostvedt, Luke; Gonwa-Reeves, Christopher; Baenziger, Joan; McGill, Michael; Seefeld, Ashley; Hand, Brian; Therrien, William; Taylor, Jonte; Villanueva, Mary Grace

    2012-01-01

    This study focuses on the implementation of the Science Writing Heuristic (SWH) curriculum (Hand, 2007), which combines current understandings of learning as a cognitive and negotiated process with the techniques of argument-based inquiry, critical thinking skills, and writing to strengthen student outcomes. Success of SWH is dependent on the…

  3. Academic writing

    Science.gov (United States)

    Eremina, Svetlana V.

    2003-10-01

    The series of workshops on academic writing have been developed by academic writing instructors from Language Teaching Centre, Central European University and presented at the Samara Academic Writing Workshops in November 2001. This paper presents only the part dealing with strucutre of an argumentative essay.

  4. Ion beam analysis and spectrometry techniques for Cultural Heritage studies

    International Nuclear Information System (INIS)

    Beck, L.

    2013-01-01

    The implementation of experimental techniques for the characterisation of Cultural heritage materials has to take into account some requirements. The complexity of these past materials requires the development of new techniques of examination and analysis, or the transfer of technologies developed for the study of advanced materials. In addition, due to precious aspect of artwork it is also necessary to use the non-destructive methods, respecting the integrity of objects. It is for this reason that the methods using radiations and/or particles play a important role in the scientific study of art history and archaeology since their discovery. X-ray and γ-ray spectrometry as well as ion beam analysis (IBA) are analytical tools at the service of Cultural heritage. This report mainly presents experimental developments for IBA: PIXE, RBS/EBS and NRA. These developments were applied to the study of archaeological composite materials: layered materials or mixtures composed of organic and non-organic phases. Three examples are shown: evolution of silvering techniques for the production of counterfeit coinage during the Roman Empire and in the 16. century, the characterization of composites or mixed mineral/organic compounds such as bone and paint. In these last two cases, the combination of techniques gave original results on the proportion of both phases: apatite/collagen in bone, pigment/binder in paintings. Another part of this report is then dedicated to the non-invasive/non-destructive characterization of prehistoric pigments, in situ, for rock art studies in caves and in the laboratory. Finally, the perspectives of this work are presented. (author) [fr

  5. How to write a medical original article: Advice from an Editor.

    Science.gov (United States)

    Shokeir, Ahmed A

    2014-03-01

    To provide guidelines for potential authors on how to increase the chances of their manuscript being accepted, with a review focusing on writing an original medical article. This review reflects the personal experience of the present author, who has extensive experience as an author, reviewer and editor. To write an original article successfully, there are three essential requirements, the 'basic triad' of an original article. These are subjects worth reporting, knowledge of the basic structure of an article, and knowledge of the essential mechanics of good writing. This review details each of the three items. Writing, like every other art, cannot be learned wholly from books or lectures, but can be learned largely by experience. The best training is to start the task and persevere. The act of writing, like surgical techniques, must be learned the hard way, by practice and perseverance. Anyone can start writing but only a good writer can finish the task.

  6. Techniques to produce and accelerate radioactive ion beams

    CERN Document Server

    Penescu, Liviu Constantin; Lettry, Jacques; Cata-Danil, Gheorghe

    The production and acceleration of the Radioactive Ion Beams (RIB) continues the long line of nuclear investigations started in the XIXth century by Pierre and Marie Curie, Henri Becquerel and Ernest Rutherford. The contemporary applications of the RIBs span a wide range of physics fields: nuclear and atomic physics, solid-state physics, life sciences and material science. ISOLDE is a world-leading Isotope mass-Separation On-Line (ISOL) facility hosted at CERN in Geneva for more than 40 years, offering the largest variety of radioactive ion beams with, until now, more than 1000 isotopes of more than 72 elements (with Z ranging from 2 to 88), with half-lives down to milliseconds and intensities up to 1011 ions/s. The post acceleration of the full variety of beams allows reaching final energies between 0.8 and 3.0 MeV/u. This thesis describes the development of a new series of FEBIAD (“Forced Electron Beam Induced Arc Discharge”) ion sources at CERN-ISOLDE. The VADIS (“Versatile Arc Discharge Ion Source�...

  7. Reconstruction of FXR Beam Conditions

    International Nuclear Information System (INIS)

    Nexen, W E; Scarpetti, R D; Zentler, J

    2001-01-01

    Beam-envelope radius, envelope angle, and beam emittance can be derived from measurements of beam radius for at least three different transport conditions. We have used this technique to reconstruct exit parameters from the FXR injector and accelerator. We use a diamagnetic loop (DML) to measure the magnetic moment of the high current beam. With no assumptions about radial profile, we can derive the beam mean squire radius from the moment under certain easily met conditions. Since it is this parameter which is required for the reconstruction, it is evident that the DML is the ideal diagnostic for this technique. The simplest application of this technique requires at least three shots for a reconstruction but in reality requires averaging over many more shots because of shot to shot variation. Since DML measurements do not interfere with the beam, single shot time resolved measurements of the beam parameters appear feasible if one uses an array of at least three DMLs separated by known transport conditions

  8. Novel method for the production of spin-aligned RI beams in projectile fragmentation reaction with the dispersion matching technique

    Energy Technology Data Exchange (ETDEWEB)

    Ichikawa, Y., E-mail: yuichikawa@phys.titech.ac.jp [Tokyo Institute of Technology, Department of Physics (Japan); Ueno, H. [RIKEN Nishina Center (Japan); Ishii, Y. [Tokyo Institute of Technology, Department of Physics (Japan); Furukawa, T. [Tokyo Metropolitan University, Department of Physics (Japan); Yoshimi, A. [Okayama University, Research Core for Extreme Quantum World (Japan); Kameda, D.; Watanabe, H.; Aoi, N. [RIKEN Nishina Center (Japan); Asahi, K. [Tokyo Institute of Technology, Department of Physics (Japan); Balabanski, D. L. [Bulgarian Academy of Sciences, Institute for Nuclear Research and Nuclear Energy (Bulgaria); Chevrier, R.; Daugas, J. M. [CEA, DAM, DIF (France); Fukuda, N. [RIKEN Nishina Center (Japan); Georgiev, G. [CSNSM, IN2P3-CNRS, Universite Paris-sud (France); Hayashi, H.; Iijima, H. [Tokyo Institute of Technology, Department of Physics (Japan); Inabe, N. [RIKEN Nishina Center (Japan); Inoue, T. [Tokyo Institute of Technology, Department of Physics (Japan); Ishihara, M.; Kubo, T. [RIKEN Nishina Center (Japan); and others

    2013-05-15

    A novel method to produce spin-aligned rare-isotope (RI) beam has been developed, that is the two-step projectile fragmentation method with a technique of dispersion matching. The present method was verified in an experiment at the RIKEN RIBF, where an RI beam of {sup 32}Al with spin alignment of 8(1) % was successfully produced from a primary beam of {sup 48}Ca, with {sup 33}Al as an intermediate nucleus. Figure of merit of the present method was found to be improved by a factor larger than 50 compared with a conventional method employing single-step projectile fragmentation.

  9. Ultra-High Density Electron Beams for Beam Radiation and Beam Plasma Interaction

    CERN Document Server

    Anderson, Scott; Frigola, Pedro; Gibson, David J; Hartemann, Fred V; Jacob, Jeremy S; Lim, Jae; Musumeci, Pietro; Rosenzweig, James E; Travish, Gil; Tremaine, Aaron M

    2005-01-01

    Current and future applications of high brightness electron beams, which include advanced accelerators such as the plasma wake-field accelerator (PWFA) and beam-radiation interactions such as inverse-Compton scattering (ICS), require both transverse and longitudinal beam sizes on the order of tens of microns. Ultra-high density beams may be produced at moderate energy (50 MeV) by compression and subsequent strong focusing of low emittance, photoinjector sources. We describe the implementation of this method used at LLNL's PLEIADES ICS x-ray source in which the photoinjector-generated beam has been compressed to 300 fsec duration using the velocity bunching technique and focused to 20 μm rms size using an extremely high gradient, permanent magnet quadrupole (PMQ) focusing system.

  10. Online diagnoses of high current-density beams

    International Nuclear Information System (INIS)

    Gilpatrick, J.D.

    1994-01-01

    Los Alamos National Laboratory has proposed several CW-proton-beam facilities for production of tritium or transmutation of nuclear waste with beam-current densities greater than 5 mA/mm 2 . The primary beam-diagnostics-instrumentation requirement for these facilities is provision of sufficient beam information to understand and minimize beam-loss. To accomplish this task, the beam-diagnostics instrumentation must measure beam parameters such as the centroids and profiles, total integrated current, and particle loss. Noninterceptive techniques must be used for diagnosis of high-intensity CW beam at low energies due to the large quantity of power deposited in an interceptive diagnostic device by the beam. Transverse and longitudinal centroid measurements have been developed for bunched beams by measuring and processing image currents on the accelerator walls. Transverse beam-profile measurement-techniques have also been developed using the interaction of the particle beam with the background gases near the beam region. This paper will discuss these noninterceptive diagnostic Techniques

  11. On gender and writing On gender and writing

    Directory of Open Access Journals (Sweden)

    Arnold Gordenstein

    2008-04-01

    Full Text Available In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's. In the introduction to this collection of 22 essays on gender and writing the editor confesses: I was never interested in including articles which would attack the idea of whether gender and the writing process had anything in common. I wasn't interested in anyone who held an 'androgyny' view of the writing process or in anyone who had anti-feminist views. The people I asked were all people who had something positive to say about how they saw gender and the writing process coming together in their work. (p.9 Consequently one finishes this book with the impression that almost all these writers know one another and share views on politics, literature and sex. The largest group of essays is from single mothers or gay women who write fiction, theater or poetry. Of the 22 writers almost all are British, all but 3 1/2 are female (the half because he "shares" a doubled personality with his wife, all but a few speak of being formed by the turbulent 1960's.

  12. Gelatin-based laser direct-write technique for the precise spatial patterning of cells.

    Science.gov (United States)

    Schiele, Nathan R; Chrisey, Douglas B; Corr, David T

    2011-03-01

    Laser direct-writing provides a method to pattern living cells in vitro, to study various cell-cell interactions, and to build cellular constructs. However, the materials typically used may limit its long-term application. By utilizing gelatin coatings on the print ribbon and growth surface, we developed a new approach for laser cell printing that overcomes the limitations of Matrigel™. Gelatin is free of growth factors and extraneous matrix components that may interfere with cellular processes under investigation. Gelatin-based laser direct-write was able to successfully pattern human dermal fibroblasts with high post-transfer viability (91% ± 3%) and no observed double-strand DNA damage. As seen with atomic force microscopy, gelatin offers a unique benefit in that it is present temporarily to allow cell transfer, but melts and is removed with incubation to reveal the desired application-specific growth surface. This provides unobstructed cellular growth after printing. Monitoring cell location after transfer, we show that melting and removal of gelatin does not affect cellular placement; cells maintained registry within 5.6 ± 2.5 μm to the initial pattern. This study demonstrates the effectiveness of gelatin in laser direct-writing to create spatially precise cell patterns with the potential for applications in tissue engineering, stem cell, and cancer research.

  13. Modified electron beam induced current technique for in(Ga)As/InAsSb superlattice infrared detectors

    Science.gov (United States)

    Yoon, N.; Reyner, C. J.; Ariyawansa, G.; Duran, J. M.; Scheihing, J. E.; Mabon, J.; Wasserman, D.

    2017-08-01

    Electron beam induced current (EBIC) measurements provide a powerful tool for characterizing semiconductor based materials and devices. By measuring the current generated by the electron beam of a scanning electron microscope (SEM), EBIC allows us to extract the minority carrier diffusion length (L) and the surface recombination velocity to diffusivity ratio (S/D) of a material. When combined with information on minority carrier lifetime (τ), for instance from time-resolved photoluminescence measurements, the minority carrier mobility of the material can be extracted. However, the EBIC technique relies heavily on the accurate modeling of the carrier generation and collection process. Traditionally, this was achieved using a combination of empirical analytical expressions (and later Monte Carlo simulations) for carrier generation and analytical diffusion/recombination expressions for carrier collection. This approach introduces significant uncertainties into the extracted material parameters. Here, we present a numerical approach to EBIC modeling which improves the spatial resolution of our model, while also retaining information regarding the relative EBIC signal as a function of incident beam energies and currents. We apply this technique to investigate the temperature dependent minority carrier mobility of InAs/InAsSb and InGaAs/InAsSb strained layer superlattice infrared detectors and compare our results to the values obtained using external quantum efficiency measurements of the same samples. Our approach not only allows for an improvement in the uncertainty of the extracted material parameters, but also offers insight into the material and device behavior as a function of nonequilibrium carrier concentration. The technique presented here offers potentially improved characterization of not only infrared detectors, but a range of semiconductor-based devices.

  14. Simulation of the beam halo from the beam-beam interaction in LEP

    International Nuclear Information System (INIS)

    Chen, T.; Irwin, J.; Siemann, R.

    1994-02-01

    The luminosity lifetimes of e + e - colliders are often dominated by the halo produced by the beam-beam interaction. They have developed a simulation technique to model this halo using the flux across boundaries in amplitude space to decrease the CPU time by a factor of one-hundred or more over 'brute force' tracking. It allows simulation of density distributions and halos corresponding to realistic lifetimes. Reference 1 shows the agreement with brute force tracking in a number of cases and the importance of beam-beam resonances in determining the density distribution of large amplitudes. this research is now directed towards comparisons with operating colliders and studies of the combined effects of lattice and beam-beam nonlinearities. LEP offers an ideal opportunity for both, and in this paper they are presenting the first results of LEP simulations

  15. PROCESS WRITING: SUCCESSFUL AND UNSUCCESSFUL WRITERS; DISCOVERING WRITING BEHAVIOURS

    Directory of Open Access Journals (Sweden)

    Ismail Baroudy

    2008-12-01

    Full Text Available Successful and unsuccessful strategies practically complied with in the act of writing have been so far experimentally tapped and scholastically rehearsed by several authors. In this study, a complementary task using a questionnaire worked out to comprehensively specify and cover almost all types of writing behaviours has been inquisitively manipulated. By analysing and inspecting the findings elicited from student-writers’ response sheets, successful and unsuccessful writing strategies are then contrastively identified, categorised and demonstrated. Based on the awareness accomplished, writing teachers’ consciousness will be raised and boosted, thus, helping their poor student-writers justifiably quit their debilitative habits and adopt instead, facilitative ones, those competent writers implement while writing. In the questionnaire, the student-writers would reflect upon their creeping experience and pass informative judgements about their own strategies. Student-writers will respond to fact-finding statements regarding five writing components delineated as rehearsing, drafting, revising, student-writers’ role and the role of instructional materials

  16. Effects of Writing Instruction on Kindergarten Students' Writing Achievement: An Experimental Study

    Science.gov (United States)

    Jones, Cindy D'On

    2015-01-01

    This full-year experimental study examined how methods of writing instruction contribute to kindergarten students' acquisition of foundational and compositional early writing skills. Multiple regression with cluster analysis was used to compare 3 writing instructional groups: an interactive writing group, a writing workshop group, and a…

  17. Electronic outlining as a writing strategy: Effects on students' writing products, mental effort and writing process

    NARCIS (Netherlands)

    De Smet, Milou; Brand-Gruwel, Saskia; Leijten, Mariëlle; Kirschner, Paul A.

    2018-01-01

    This study addresses to what extent and how electronic outlining enhances students' writing performance. To this end, the focus of this study is not only on students' final writing products but also on the organisation of the writing process (i.e., planning, translating, and reviewing) and perceived

  18. SU-F-T-188: A Robust Treatment Planning Technique for Proton Pencil Beam Scanning Cranial Spinal Irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Zhu, M; Mehta, M; Badiyan, S; Young, K; Malyapa, R; Regine, W; Langen, K [University of Maryland School of Medicine, Baltimore, MD (United States); Yam, M [University of Florida Proton Therapy Institute, Jacksonville, FL (United States)

    2016-06-15

    Purpose: To propose a proton pencil beam scanning (PBS) cranial spinal irradiation (CSI) treatment planning technique robust against patient roll, isocenter offset and proton range uncertainty. Method: Proton PBS plans were created (Eclipse V11) for three previously treated CSI patients to 36 Gy (1.8 Gy/fractions). The target volume was separated into three regions: brain, upper spine and lower spine. One posterior-anterior (PA) beam was used for each spine region, and two posterior-oblique beams (15° apart from PA direction, denoted as 2PO-15) for the brain region. For comparison, another plan using one PA beam for the brain target (denoted as 1PA) was created. Using the same optimization objectives, 98% CTV was optimized to receive the prescription dose. To evaluate plan robustness against patient roll, the gantry angle was increased by 3° and dose was recalculated without changing the proton spot weights. On the re-calculated plan, doses were then calculated using 12 scenarios that are combinations of isocenter shift (±3mm in X, Y, and Z directions) and proton range variation (±3.5%). The worst-case-scenario (WCS) brain CTV dosimetric metrics were compared to the nominal plan. Results: For both beam arrangements, the brain field(s) and upper-spine field overlap in the T2–T5 region depending on patient anatomy. The maximum monitor unit per spot were 48.7%, 47.2%, and 40.0% higher for 1PA plans than 2PO-15 plans for the three patients. The 2PO-15 plans have better dose conformity. At the same level of CTV coverage, the 2PO-15 plans have lower maximum dose and higher minimum dose to the CTV. The 2PO-15 plans also showed lower WCS maximum dose to CTV, while the WCS minimum dose to CTV were comparable between the two techniques. Conclusion: Our method of using two posterior-oblique beams for brain target provides improved dose conformity and homogeneity, and plan robustness including patient roll.

  19. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    Science.gov (United States)

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  20. Enabling devices, empowering people: the design and evaluation of Trackball EdgeWrite.

    Science.gov (United States)

    Wobbrock, Jacob O; Myers, Brad A

    2008-01-01

    To describe the research and development that led to Trackball EdgeWrite, a gestural text entry method that improves desktop input for some people with motor impairments. To compare the character-level version of this technique with a new word-level version. Further, to compare the technique with competitor techniques that use on-screen keyboards. A rapid and iterative design-and-test approach was used to generate working prototypes and elicit quantitative and qualitative feedback from a veteran trackball user. In addition, theoretical modelling based on the Steering law was used to compare competing designs. One result is a refined software artifact, Trackball EdgeWrite, which represents the outcome of this investigation. A theoretical result shows the speed benefit of word-level stroking compared to character-level stroking, which resulted in a 45.0% improvement. Empirical results of a trackball user with a spinal cord injury indicate a peak performance of 8.25 wpm with the character-level version of Trackball EdgeWrite and 12.09 wpm with the word-level version, a 46.5% improvement. Log file analysis of extended real-world text entry shows stroke savings of 43.9% with the word-level version. Both versions of Trackball EdgeWrite were better than on-screen keyboards, particularly regarding user preferences. Follow-up correspondence shows that the veteran trackball user with a spinal cord injury still uses Trackball EdgeWrite on a daily basis 2 years after his initial exposure to the software. Trackball EdgeWrite is a successful new method for desktop text entry and may have further implications for able-bodied users of mobile technologies. Theoretical modelling is useful in combination with empirical testing to explore design alternatives. Single-user lab and field studies can be useful for driving a rapid iterative cycle of innovation and development.

  1. IMPROVING STUDENTS‟ ABILITY IN WRITING RECOUNT TEXTS BY USING AUTHENTIC TASK

    Directory of Open Access Journals (Sweden)

    Ahmad Yusri

    2017-04-01

    Full Text Available This study uncovers the improvement of the students‘ ability through authentic task in writing recount text. It is used to look for and write down what they have seen in real world. This study is Classroom Action Research. It is planned as well as possible. Moreover, the subject of the study was a class of the tenth grade students of Islamic Boarding High School ―Hikmatusysyarief” MA NW Salut in the School Year 2010/2011. It was conducted in two cycles. Then, the instruments utilized were observation checklist, questionnaire, and students‘ writing product. The result of this study indicates that authentic task as a technique has improved the students‘ ability in writing recount text as well as plan. In the first cycle, there is 57% students‘ who gained score higher than 60% and the students who gained score less than 60 are 52%, meanwhile the students score at the second cycle shown 81% of the students get higher than 60 (17 out of 21 students and 19% of the students gained score less than 60 (4 out of 21 students. It is also to indicate that the students‘ involvement in teaching and learning process was surely active, particularly when they worked in group. In short, this technique is believed to improve the students‘ ability in writing recount text and their involvement in teaching and learning processes.

  2. Expressive writing as a brief intervention for reducing drinking intentions.

    Science.gov (United States)

    Young, Chelsie M; Rodriguez, Lindsey M; Neighbors, Clayton

    2013-12-01

    The present study examined the effectiveness of expressive writing in reducing drinking behavior. We expected that students prompted to write about negative drinking experiences would show greater decreases in future drinking intentions compared to the neutral and the positive writing conditions. We also expected that decreases in drinking intentions following the writing prompts might differ based on current drinking and AUDIT scores. Participants included 200 (76% female) undergraduates who completed measures of their current drinking behavior. They were then randomly assigned to either write about: a time when they had a lot to drink that was a good time (Positive); a time when they had a lot to drink that was a bad time (Negative); or their first day of college (Neutral), followed by measures assessing intended drinking over the next three months. Results revealed that participants intended to drink significantly fewer drinks per week and engage in marginally fewer heavy drinking occasions after writing about a negative drinking occasion when compared to control. Interactions provided mixed findings suggesting that writing about a positive event was associated with higher drinking intentions for heavier drinkers. Writing about a negative event was associated with higher intentions among heavier drinkers, but lower intentions among those with higher AUDIT scores. This research builds on previous expressive writing interventions by applying this technique to undergraduate drinkers. Preliminary results provide some support for this innovative strategy but also suggest the need for further refinement, especially with heavier drinkers. © 2013.

  3. SU-F-T-429: Craniospinal Irradiation by VMAT Technique: Impact of FFF Beam and High Resolution MLC On Plan Quality

    Energy Technology Data Exchange (ETDEWEB)

    Ganesh, T; Sarkar, B; Munshi, A; Mohanti, B [Fortis Memorial Research Institute, Gurgaon, Haryana (India)

    2016-06-15

    Purpose: Objective of this study was to evaluate the impact of using flattening filter free (FFF) beam with 0.5 cm multileaf collimator (MLC) leaves over conventional flattened beam with 1 cm leaf width MLC on the treatment plan quality in cranio-spinal irradiation (CSI). Methods: For five medulloblastoma cases (3 males and 2 females), who were previously treated by volumetric modulated arc therapy (VMAT) technique using conventional flattened beam shaped by 1 cm width MLC leaves, four test plans were generated and compared against the delivered plan. These retrospective plans consisted of four different combinations of flattened and FFF beams from Elekta’s Agility treatment head with 0.5 cm width MLC leaves. Sparing of organs at risks (OAR) in terms of dose to 5%, 50%, 75% and 90% volumes, mean and maximum dose were evaluated. Results: All plans satisfied the planning objective of covering 95% of PTV by at least 95% of prescription dose. Marginal variation of dose spillage was observed between different VMAT plans at very low dose range (1–5 Gy). Variation in dose statistics for PTVs and OARs were within 1% or 1 Gy. Amongst the five plans, the plan with flattened beam with 1 cm MLC had the highest number of MUs, 2.13 times higher than the plan with Agility MLC with FFF beam that had the least number of MUs. No statistically significant difference (p≥0.05) was observed between the reference plan and the retrospectively generated plans in terms of PTV coverage, cold spot, hot spot and organ at risk doses. Conclusion: In the treatment of CSI cases by VMAT technique, FFF beams and/or finer width MLC did not exhibit advantage over the flattened beams or wider MLC in terms of plan quality except for reduction in MUs.

  4. Direct UV-Written Integrated Optical Beam Combiner for Stellar Interferometry

    DEFF Research Database (Denmark)

    Olivero, Massimo; Svalgaard, Mikael; Jocou, L.

    2007-01-01

    In this paper, we report the fabrication of an optical-beam combiner for stellar interferometry by means of direct ultraviolet (UV) writing. The component is shown to have good performance (fringe contrast > 95%, total loss similar to 0.7, -40-dB crosstalk, broadband operation covering at least...... the range 1.49-1.65 mu m, and low differential chromatic dispersion). The overall performance exceeds that of similar components currently used for astronomical research. This result, combined with the fast-prototyping ability of UV writing, opens up new possibilities for the realization of highly optimized...

  5. Structured mirror array for two-dimensional collimation of a chromium beam in atom lithography

    International Nuclear Information System (INIS)

    Zhang Wan-Jing; Ma Yan; Li Tong-Bao; Zhang Ping-Ping; Deng Xiao; Chen Sheng; Xiao Sheng-Wei

    2013-01-01

    Direct-write atom lithography, one of the potential nanofabrication techniques, is restricted by some difficulties in producing optical masks for the deposition of complex structures. In order to make further progress, a structured mirror array is developed to transversely collimate the chromium atomic beam in two dimensions. The best collimation is obtained when the laser red detunes by natural line-width of transition 7 S 3 → 7 P 0 4 of the chromium atom. The collimation ratio is 0.45 vertically (in x axis), and it is 0.55 horizontally (in y axis). The theoretical model is also simulated, and success of our structured mirror array is achieved. (atomic and molecular physics)

  6. Ion beam neutralization with ferroelectrically generated electron beams

    Energy Technology Data Exchange (ETDEWEB)

    Herleb, U; Riege, H [European Organization for Nuclear Research, Geneva (Switzerland). LHC Division

    1997-12-31

    A technique for ion beam space-charge neutralization with pulsed electron beams is described. The intensity of multiply-charged ions produced with a laser ion source can be enhanced or decreased separately with electron beam trains of MHz repetition rate. These are generated with ferroelectric cathodes, which are pulsed in synchronization with the laser ion source. The pulsed electron beams guide the ion beam in a similar way to the alternating gradient focusing of charged particle beams in circular accelerators such as synchrotrons. This new neutralization technology overcomes the Langmuir-Child space-charge limit and may in future allow ion beam currents to be transported with intensities by orders of magnitude higher than those which can be accelerated today in a single vacuum tube. (author). 6 figs., 10 refs.

  7. Glass Fiber Reinforced Polymer (GFRP Bars for Enhancing the Flexural Performance of RC Beams Using Side-NSM Technique

    Directory of Open Access Journals (Sweden)

    Md. Akter Hosen

    2017-05-01

    Full Text Available Reinforced concrete (RC structures require strengthening for numerous factors, such as increased load, modification of the structural systems, structural upgrade or errors in the design and construction stages. The side near-surface mounted (SNSM strengthening technique with glass fiber-reinforced polymer (GFRP bars is a relatively new emerging technique for enhancing the flexural capacities of existing RC elements. Nine RC rectangular beams were flexurally strengthened with this technique and tested under four-point bending loads until failure. The main goal of this study is to optimize the structural capacity of the RC beams by varying the amount of strengthening reinforcement and bond length. The experimental test results showed that strengthening with SNSM GFRP bars significantly enhanced the flexural responses of the specimens compared with the control specimen. The first cracking and ultimate loads, energy absorption capacities, ductility and stiffness were remarkably enhanced by the SNSM technique. It was also confirmed that the bond length of the strengthened reinforcement greatly influences the energy absorption capacities, ductility and stiffness. The effect of the bond length on these properties is more significant compared to the amount of strengthening reinforcement.

  8. Fabrication of 2 × 8 power splitters in silica-on-silicon by the direct UV writing technique

    DEFF Research Database (Denmark)

    Olivero, Massimo; Svalgaard, Mikael

    2006-01-01

    In this letter, we present the first demonstration of 2 × 8 power splitters made in silica-on-silicon by direct ultraviolet (UV) writing. The fabricated components are compact and exhibit good performance in terms of loss, uniformity, and bandwidth, showing that direct UV writing can become...

  9. Potential for GPC-based laser direct writing

    DEFF Research Database (Denmark)

    Bañas, Andrew; Glückstad, Jesper

    2016-01-01

    lasers for such applications by using phase modulation as opposed to amplitude truncating masks. Here, we explore GPC’s potential for increasing the yield of micropscopic 3D printing also known as direct laser writing. Many light based additive manufacturing techniques, adopt a point scanning approach...

  10. Unpacking the Value of Writing: Exploring College Students' Perceptions of Writing

    Science.gov (United States)

    Zumbrunn, Sharon; Carter, Yvette M.; Conklin, Sarah

    2014-01-01

    This study explored college students' beliefs about the value of writing, their past experiences with writing, and the relationship between students' prior experiences with writing and writing value beliefs. One hundred fourteen undergraduates from a public Southeastern university participated in the study. Using expectancy-value theory as a…

  11. An improved optical flow tracking technique for real-time MR-guided beam therapies in moving organs

    Science.gov (United States)

    Zachiu, C.; Papadakis, N.; Ries, M.; Moonen, C.; de Senneville, B. Denis

    2015-12-01

    Magnetic resonance (MR) guided high intensity focused ultrasound and external beam radiotherapy interventions, which we shall refer to as beam therapies/interventions, are promising techniques for the non-invasive ablation of tumours in abdominal organs. However, therapeutic energy delivery in these areas becomes challenging due to the continuous displacement of the organs with respiration. Previous studies have addressed this problem by coupling high-framerate MR-imaging with a tracking technique based on the algorithm proposed by Horn and Schunck (H and S), which was chosen due to its fast convergence rate and highly parallelisable numerical scheme. Such characteristics were shown to be indispensable for the real-time guidance of beam therapies. In its original form, however, the algorithm is sensitive to local grey-level intensity variations not attributed to motion such as those that occur, for example, in the proximity of pulsating arteries. In this study, an improved motion estimation strategy which reduces the impact of such effects is proposed. Displacements are estimated through the minimisation of a variation of the H and S functional for which the quadratic data fidelity term was replaced with a term based on the linear L1norm, resulting in what we have called an L2-L1 functional. The proposed method was tested in the livers and kidneys of two healthy volunteers under free-breathing conditions, on a data set comprising 3000 images equally divided between the volunteers. The results show that, compared to the existing approaches, our method demonstrates a greater robustness to local grey-level intensity variations introduced by arterial pulsations. Additionally, the computational time required by our implementation make it compatible with the work-flow of real-time MR-guided beam interventions. To the best of our knowledge this study was the first to analyse the behaviour of an L1-based optical flow functional in an applicative context: real-time MR

  12. Genome Writing: Current Progress and Related Applications

    Directory of Open Access Journals (Sweden)

    Yueqiang Wang

    2018-02-01

    Full Text Available The ultimate goal of synthetic biology is to build customized cells or organisms to meet specific industrial or medical needs. The most important part of the customized cell is a synthetic genome. Advanced genomic writing technologies are required to build such an artificial genome. Recently, the partially-completed synthetic yeast genome project represents a milestone in this field. In this mini review, we briefly introduce the techniques for de novo genome synthesis and genome editing. Furthermore, we summarize recent research progresses and highlight several applications in the synthetic genome field. Finally, we discuss current challenges and future prospects. Keywords: Synthetic biology, Genome writing, Genome editing, Bioethics, Biosafety

  13. Autobiographical Writing in the Technical Writing Course

    Science.gov (United States)

    Gellis, Mark

    2011-01-01

    Professionals in the workplace are rarely asked to write autobiographical essays. Such essays, however, are an excellent tool for helping students explore their growth as professionals. This article explores the use of such essays in a technical writing class.

  14. Development of an MeV ion beam lithography system in Jyvaeskylae

    Energy Technology Data Exchange (ETDEWEB)

    Gorelick, Sergey [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FIN-40014 (Finland)]. E-mail: Sergey.Gorelick@phys.jyu.fi; Ylimaeki, Tommi [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FIN-40014 (Finland); Sajavaara, Timo [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FIN-40014 (Finland); Laitinen, Mikko [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FIN-40014 (Finland); Sagari, A.R.A. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FIN-40014 (Finland); Whitlow, Harry J. [Department of Physics, University of Jyvaeskylae, P.O. Box 35, FIN-40014 (Finland)

    2007-07-15

    A lithographic facility for writing patterns with ion beams from cyclotron beams is under development for the Jyvaeskylae cyclotron. Instead of focusing and deflecting the beam with electrostatic and magnetic fields a different approach is used. Here a small rectangular beam spot is defined by the shadow of a computer-controlled variable aperture in close proximity to the sample. This allows parallel exposure of rectangular pattern elements of 5-500 {mu}m side with protons up to 6 MeV and heavy ions ({sup 20}Ne, {sup 85}Kr) up to few 100 MeV. Here we present a short overview of the system under construction and development of the aperture design, which is a critical aspect for all ion beam lithography systems.

  15. Measurements of low density, high velocity flow by electron beam fluorescence technique

    International Nuclear Information System (INIS)

    Soga, Takeo; Takanishi, Masaya; Yasuhara, Michiru

    1981-01-01

    A low density chamber with an electron gun system was made for the measurements of low density, high velocity (high Mach number) flow. This apparatus is a continuous running facility. The number density and the rotational temperature in the underexpanding free jet of nitrogen were measured along the axis of the jet by the electron beam fluorescence technique. The measurements were carried out from the vicinity of the exit of the jet to far downstream of the first Mach disk. Rotational nonequilibrium phenomena were observed in the hypersonic flow field as well as in the shock wave (Mach disk). (author)

  16. A dynamic plasmonic manipulation technique assisted by phase modulation of an incident optical vortex beam

    International Nuclear Information System (INIS)

    Yuan, G H; Wang, Q; Tan, P S; Lin, J; Yuan, X-C

    2012-01-01

    A novel phase modulation method for dynamic manipulation of surface plasmon polaritons (SPPs) with a phase engineered optical vortex (OV) beam illuminating on nanoslits is experimentally demonstrated. Because of the unique helical phase carried by an OV beam, dynamic control of SPP multiple focusing and standing wave generation is realized by changing the OV beam’s topological charge constituent with the help of a liquid-crystal spatial light modulator. Measurement of SPP distributions with near-field scanning optical microscopy showed an excellent agreement with numerical predictions. The proposed phase modulation technique for manipulating SPPs features has seemingly dynamic and reconfigurable advantages, with profound potential for development of SPP coupling, routing, multiplexing and high-resolution imaging devices on plasmonic chips. (paper)

  17. Writing to Read: A Meta-Analysis of the Impact of Writing and Writing Instruction on Reading

    Science.gov (United States)

    Graham, Steve; Hebert, Michael

    2011-01-01

    Reading is critical to students' success in and out of school. One potential means for improving students' reading is writing. In this meta-analysis of true and quasi-experiments, Graham and Herbert present evidence that writing about material read improves students' comprehension of it; that teaching students how to write improves their reading…

  18. Writing a Movie.

    Science.gov (United States)

    Hoffner, Helen

    2003-01-01

    Explains a reading and writing assignment called "Writing a Movie" in which students view a short film segment and write a script in which they describe the scene. Notes that this assignment uses films to develop fluency and helps students understand the reading and writing connections. Concludes that students learn to summarize a scene from film,…

  19. Teaching Science Writing in an Introductory Lab Course

    Science.gov (United States)

    Holstein, Sarah E.; Mickley Steinmetz, Katherine R.; Miles, John D.

    2015-01-01

    One challenge that many neuroscience instructors face is how to teach students to communicate within the field. The goal of this project was to improve students’ scientific writing in an introductory psychology laboratory course that serves as a feeder course into the neuroscience curriculum. This course included a scaffolded approach - breaking assignments into different sections that build upon each other to allow for more direction and feedback on each section. Students were also provided with examples of scientific writing, given direction on finding and reading journal articles, and were taught how to effectively peer review a paper. Research papers were assessed before (Year 1) and after (Year 2) this scaffolded approach was instituted. The assessment included measures of “Genre Knowledge” for each section of a research paper (abstract, introduction, method, results, discussion) as well as measures of “Writing Elements” (grammar, formatting, clarity, transitions, building to the hypothesis, using evidence). The results indicated that there was an improvement for Genre Knowledge scores when comparing Year 1 to Year 2. However, there was no systematic improvement in Writing Elements. This suggests that this teaching technique was most effective in improving students’ ability to write within the scientific genre. The logistics of implementing such an approach are discussed. PMID:25838801

  20. High School Boys' and Girls' Writing Conceptions and Writing Self-Efficacy Beliefs: What Is Their Role in Writing Performance?

    Science.gov (United States)

    Villalón, Ruth; Mateos, Mar; Cuevas, Isabel

    2015-01-01

    This study investigated the conceptions about writing and writing self-efficacy beliefs held by high school students in relation to the students' gender as well as their associations with writing achievement. The results show that female students have more sophisticated writing conceptions than their male counterparts but no gender differences…

  1. Employing Picture Description to Assess the Students' Descriptive Paragraph Writing

    Directory of Open Access Journals (Sweden)

    Ida Ayu Mega Cahyani

    2018-03-01

    Full Text Available Writing is considered as an important skill in learning process which is needed to be mastered by the students. However, in teaching learning process at schools or universities, the assessment of writing skill is not becoming the focus of learning process and the assessment is administered inappropriately. In this present study, the researcher undertook the study which dealt with assessing descriptive paragraph writing ability of the students through picture description by employing an ex post facto as the research design. The present study was intended to answer the research problem dealing with the extent of the students’ achievement of descriptive paragraph writing ability which is assessed through picture description. The samples under the study were 40 students determined by means of random sampling technique with lottery system. The data were collected through administering picture description as the research instrument. The obtained data were analyzed by using norm-reference measure of five standard values. The results of the data analysis showed that there were 67.50% samples of the study were successful in writing descriptive paragraph, while there were 32.50% samples were unsuccessful in writing descriptive paragraph which was assessed by administering picture description test

  2. BEAM EMITTANCE MEASUREMENT TOOL FOR CEBAF OPERATIONS

    International Nuclear Information System (INIS)

    Chevtsov, Pavel; Tiefenback, Michael

    2008-01-01

    A new software tool was created at Jefferson Lab to measure the emittance of the CEBAF electron beams. The tool consists of device control and data analysis applications. The device control application handles the work of wire scanners and writes their measurement results as well as the information about accelerator settings during these measurements into wire scanner data files. The data analysis application reads these files and calculates the beam emittance on the basis of a wire scanner data processing model. Both applications are computer platform independent but are mostly used on LINUX PCs recently installed in the accelerator control room. The new tool significantly simplifies beam emittance measurement procedures for accelerator operations and contributes to a very high availability of the CEBAF machine for the nuclear physics program at Jefferson Lab.

  3. THE STRATEGY OF USING PERSUASIVE ESSAY IN ENGLISH FOR MEDICAL ACADEMIC WRITING

    Directory of Open Access Journals (Sweden)

    Ellie Setyo Wahyuni

    2014-06-01

    Full Text Available Writing persuasive essay has the purpose of providing some techniques in organizing the idea and constructing the sentences in coherence. The content of this essay contains the health issue and medical terms. The students of medical faculty are expected to make a good persuasive essay in order to find out the recommendation solution of a health problem through the strategies (1 brainstorming (2 mapping (3 the 5 W’s (4 setting thesis statement (5 providing fact, statistic, and example (5 conclusion. The techniques have improved the student writing as the essays have been evaluated and given positive input on the content and development of paragraphs. This academic writing aims to give some practices for the Medical Faculty students of Hang Tuah University in order to produce a good persuasive essay in term of coherence, sentence structure, and organization.

  4. Automated double-cone-beam CT fusion technique. Enhanced evaluation of glue distribution in cases of spinal dural arteriovenous fistula (SDAVF) embolisation

    International Nuclear Information System (INIS)

    Farago, Giuseppe; Caldiera, V.; Antozzi, C.; Bellino, A.; Innocenti, A.; Ciceri, E.

    2017-01-01

    Spinal dural arteriovenous fistulas (SDAVFs) are acquired diseases that represent the majority of all arteriovenous spinal shunts, leading to progressive and disabling myelopathy. Treatment is focused on accurately disconnecting the fistula point. We present our experience with the double-cone-beam CT fusion technique successfully applied to evaluate treatment results in a series of SDAVFs. Between November 2011 and December 2015 we performed double-DynaCT acquisition (pre- and post-embolisation) in 12 cases of SDAVF. A successful DynaCT fusion technique was only achieved in the group of patients with pre- and post-treatment images acquired at the same time as the treatment session, under general anaesthesia (4/12). DynaCT performed on different days proved to be inadequate for the automated fusion technique because of changes in the body position (8/12). A pre-treatment flat-panel cone-beam CT with contrast, at the time of diagnostic angiography, can be very helpful to detect the correct level of the fistula and the relationship between the fistula and the surrounding structures. In case of the endovascular approach, additional post-treatment native acquisition merged with the pre-treatment acquisition (double-cone-beam CT fusion technique) permits to immediately evaluate the distribution of the glue cast and to confirm the success of the procedure. (orig.)

  5. Automated double-cone-beam CT fusion technique. Enhanced evaluation of glue distribution in cases of spinal dural arteriovenous fistula (SDAVF) embolisation

    Energy Technology Data Exchange (ETDEWEB)

    Farago, Giuseppe [Foundation Neurological Institute ' ' C. Besta' ' , Department of Interventional Neuroradiology, Milan (Italy); Fondazione IRCCS Istituto Neurologico Carlo Besta, Department of Interventional Neuroradiology, Milan (Italy); Caldiera, V. [Foundation Neurological Institute ' ' C. Besta' ' , Department of Interventional Neuroradiology, Milan (Italy); Antozzi, C.; Bellino, A. [Foundation Neurological Institute ' ' C. Besta' ' , Department of Neuroimmunology and Neuromuscular Diseases, Milan (Italy); Innocenti, A. [Foundation Neurological Institute ' ' C. Besta' ' , Department of Neuro-Oncology, Milan (Italy); Ciceri, E. [Foundation Neurological Institute ' ' C. Besta' ' , Department of Interventional Neuroradiology, Milan (Italy); Azienda Ospedaliera Universitaria Integrata Borgo Trento, Department of Neuroradiology, Verona (Italy)

    2017-05-15

    Spinal dural arteriovenous fistulas (SDAVFs) are acquired diseases that represent the majority of all arteriovenous spinal shunts, leading to progressive and disabling myelopathy. Treatment is focused on accurately disconnecting the fistula point. We present our experience with the double-cone-beam CT fusion technique successfully applied to evaluate treatment results in a series of SDAVFs. Between November 2011 and December 2015 we performed double-DynaCT acquisition (pre- and post-embolisation) in 12 cases of SDAVF. A successful DynaCT fusion technique was only achieved in the group of patients with pre- and post-treatment images acquired at the same time as the treatment session, under general anaesthesia (4/12). DynaCT performed on different days proved to be inadequate for the automated fusion technique because of changes in the body position (8/12). A pre-treatment flat-panel cone-beam CT with contrast, at the time of diagnostic angiography, can be very helpful to detect the correct level of the fistula and the relationship between the fistula and the surrounding structures. In case of the endovascular approach, additional post-treatment native acquisition merged with the pre-treatment acquisition (double-cone-beam CT fusion technique) permits to immediately evaluate the distribution of the glue cast and to confirm the success of the procedure. (orig.)

  6. Writing for Change — An Interactive Guide to Effective Writing ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    In Writing for Change, you will learn the core skills of effective writing, how to write ... It is full of practical exercises and examples from the field of international development. ... Climate Change, Vulnerability, and Health in Colombia and Bolivia.

  7. Learning Science through Writing: Associations with Prior Conceptions of Writing and Perceptions of a Writing Program

    Science.gov (United States)

    Ellis, Robert A.; Taylor, Charlotte E.; Drury, Helen

    2007-01-01

    Students in a large undergraduate biology course were expected to write a scientific report as a key part of their course design. This study investigates the quality of learning arising from the writing experience and how it relates to the quality of students' preconceptions of learning through writing and their perceptions of their writing…

  8. Scaffolding EFL Students' Writing through the Writing Process Approach

    Science.gov (United States)

    Faraj, Avan Kamal Aziz

    2015-01-01

    This research reports a study conducted at Koya University/English Language Department, and it aims at presenting the effect of scaffolding on EFL students' writing ability through the writing process. In this study, the students have taken the role of writers, so they need to follow the same steps that writers apply during their writing process.…

  9. Engineering fluidic delays in paper-based devices using laser direct-writing.

    Science.gov (United States)

    He, P J W; Katis, I N; Eason, R W; Sones, C L

    2015-10-21

    We report the use of a new laser-based direct-write technique that allows programmable and timed fluid delivery in channels within a paper substrate which enables implementation of multi-step analytical assays. The technique is based on laser-induced photo-polymerisation, and through adjustment of the laser writing parameters such as the laser power and scan speed we can control the depth and/or the porosity of hydrophobic barriers which, when fabricated in the fluid path, produce controllable fluid delay. We have patterned these flow delaying barriers at pre-defined locations in the fluidic channels using either a continuous wave laser at 405 nm, or a pulsed laser operating at 266 nm. Using this delay patterning protocol we generated flow delays spanning from a few minutes to over half an hour. Since the channels and flow delay barriers can be written via a common laser-writing process, this is a distinct improvement over other methods that require specialist operating environments, or custom-designed equipment. This technique can therefore be used for rapid fabrication of paper-based microfluidic devices that can perform single or multistep analytical assays.

  10. Technique charts for Kodak EC-L film screen system for portal localization in a 6MV X-ray beam.

    Science.gov (United States)

    Sandilos, P; Antypas, C; Paraskevopoulou, C; Kouvaris, J; Vlachos, L

    2006-01-01

    Port films are used in radiotherapy for visual evaluation of the radiation fields and subsequent quantitative analysis. Common port films suffer from poor image quality compared to the simulator-diagnostic films and is desirable to determine the appropriate exposure required for the best image contrast. The aim of this work is to generate technique charts for the Kodak EC-L film screen system for use in a 6MV x-ray beam. Three homogeneous water phantoms were used to simulate head-neck, thorax and abdomen dimensions of adult human, correspondingly. The film screen system was calibrated in a 6MV x-ray beam and under various irradiation conditions. The film screen system behavior was studied as a function of phantom thickness, field size and air gap between the phantom and the film screen system. In each case the optimum film exposure which produces the maximum image contrast was determined. The generated technique charts for the EC-L film screen system and for a 6 MV x-ray beam are used in our radiotherapy department for daily quality assurance of the radiotherapy procedure.

  11. The Effect of Dialogue Journal Writing on EFL Students' Writing Skill

    Directory of Open Access Journals (Sweden)

    Ali Gholami Mehrdad

    2008-02-01

    Full Text Available Despite the role writing plays in learning a foreign language, many students do not show much interest in taking an active part in writing classes (Myint, 1997. Thus different activities have been proposed to motivate students to write one of which is dialogue journal writing, and the present work tries to investigate the possible effect(s of such activity on writing ability of a group of English students at Islamic Azad University- Hamedan branch. To do this, 50 students obtaining 1 and 2 on the TWE scale on the structure section of a TOEFL test were selected and randomly assigned to experimental and control groups. After some introductory sessions, the students were asked to write paragraphs on a weekly schedule and hand them in to be corrected. In the experimental group the students were, furthermore, asked to keep journals and hand them in. After 4 months, the students in both groups took part in a writing exam in which they had to write two paragraphs on the topics given. The comparison of the means at p

  12. Depth profile analysis of thin TiOxNy films using standard ion beam analysis techniques and HERDA

    International Nuclear Information System (INIS)

    Markwitz, A.; Dytlewski, N.; Cohen, D.

    1999-01-01

    Ion beam assisted deposition is used to fabricate thin titanium oxynitride films (TiO x N y ) at Industrial Research (typical film thickness 100nm). At the Institute of Geological and Nuclear Sciences, the thin films are analysed using non-destructive standard ion beam analysis (IBA) techniques. High-resolution titanium depth profiles are measured with RBS using 1.5MeV 4 He + ions. Non-resonant nuclear reaction analysis (NRA) is performed for investigating the amounts of O and N in the deposited films using the reactions 16 O(d,p) 17 O at 920 keV and 14 N(d,α) 12 C at 1.4 MeV. Using a combination of these nuclear techniques, the stoichiometry as well as the thickness of the layers is revealed. However, when oxygen and nitrogen depth profiles are required for investigating stoichiometric changes in the films, additional nuclear analysis techniques such as heavy ion elastic recoil detection (HERDA) have to be applied. With HERDA, depth profiles of N, O, and Ti are measured simultaneously. In this paper comparative IBA measurement s of TiO x N y films with different compositions are presented and discussed

  13. High-Energy Beam Transport system

    International Nuclear Information System (INIS)

    Melson, K.E.; Farrell, J.A.; Liska, D.J.

    1979-01-01

    The High-Energy Beam Transport (HEBT) system for the Fusion Materials Irradiation Test (FMIT) Facility is to be installed at the Hanford Engineering Development Laboratory (HEDL) at Richland, Washington. The linear accelerator must transport a large emittance, high-current, high-power, continuous-duty deuteron beam with a large energy spread either to a lithium target or a beam stop. A periodic quadrupole and bending-magnet system provides the beam transport and focusing on target with small beam aberrations. A special rf cavity distributes the energy in the beam so that the Bragg Peak is distributed within the lithium target. Operation of the rf control system, the Energy Dispersion Cavity (EDC), and the beam transport magnets is tested on the beam stop during accelerator turn-on. Characterizing the beam will require extensions of beam diagnostic techniques and noninterceptive sensors. Provisions are being made in the facility for suspending the transport system from overhead supports using a cluster system to simplify maintenance and alignment techniques

  14. Techniques and multi-disciplinary applications of ion microbeam

    International Nuclear Information System (INIS)

    Du Guanghua

    2012-01-01

    Beam of MeV ions form particle accelerators can be confined by collimators or focused by electrical/magnetic quadruples into micrometer size, and this microbeam can be used to obtain spatial information or radiation effect in solids and biological samples. This paper reviews the technical developments and the multi-disciplinary applications of microbeam, including ion beam analysis, single event effect in semiconductor devices, proton beam writing and cellular response to targeted particle irradiations. Finally, the high-energy heavy-ion microbeam facility at the Institute of Modern Physics of Chinese Academy of Sciences is introduced, which has successfully focused 1 GeV Carbon ions into a beam spot of 1 μm × 2 μm in air. (authors)

  15. Teaching Writing Strategies

    Directory of Open Access Journals (Sweden)

    Zaououi,Merbouh

    2010-03-01

    Full Text Available Developing learners’ writing skills has been of concern for a long time in education. Students studying English in our educational institutions have been found to face problems mainly in writing, making them unable to cope with the institution’s literacy expectations. However, these students may be able to develop writing skills significantly with positive instructional attitudes towards the errors they make and awareness on the teachers’ part of learner problems. That is why they should improve classroom writing instruction to address the serious problem of students writing difficult. Teaching strategies has shown a dramatic effect on the quality of students’ writing. Strategy instruction involves explicitly and systematically teaching steps necessary to use strategies independently. The following table will explain the above ideas.

  16. A low cost high resolution pattern generator for electron-beam lithography

    International Nuclear Information System (INIS)

    Pennelli, G.; D'Angelo, F.; Piotto, M.; Barillaro, G.; Pellegrini, B.

    2003-01-01

    A simple, very low cost pattern generator for electron-beam lithography is presented. When it is applied to a scanning electron microscope, the system allows a high precision positioning of the beam for lithography of very small structures. Patterns are generated by a suitable software implemented on a personal computer, by using very simple functions, allowing an easy development of new writing strategies for a great adaptability to different user necessities. Hardware solutions, as optocouplers and battery supply, have been implemented for reduction of noise and disturbs on the voltages controlling the positioning of the beam

  17. "Your Writing, Not My Writing": Discourse Analysis of Student Talk about Writing

    Science.gov (United States)

    Hales, Patrick D.

    2017-01-01

    Student voice is a difficult concept to capture in research. This study attempts to provide a vehicle for understanding student perceptions about writing and writing instruction through a case study supported by discourse analysis of student talk. The high school students in this study participated in interviews and focus groups about their…

  18. Zone-boundary optimization for direct laser writing of continuous-relief diffractive optical elements.

    Science.gov (United States)

    Korolkov, Victor P; Nasyrov, Ruslan K; Shimansky, Ruslan V

    2006-01-01

    Enhancing the diffraction efficiency of continuous-relief diffractive optical elements fabricated by direct laser writing is discussed. A new method of zone-boundary optimization is proposed to correct exposure data only in narrow areas along the boundaries of diffractive zones. The optimization decreases the loss of diffraction efficiency related to convolution of a desired phase profile with a writing-beam intensity distribution. A simplified stepped transition function that describes optimized exposure data near zone boundaries can be made universal for a wide range of zone periods. The approach permits a similar increase in the diffraction efficiency as an individual-pixel optimization but with fewer computation efforts. Computer simulations demonstrated that the zone-boundary optimization for a 6 microm period grating increases the efficiency by 7% and 14.5% for 0.6 microm and 1.65 microm writing-spot diameters, respectively. The diffraction efficiency of as much as 65%-90% for 4-10 microm zone periods was obtained experimentally with this method.

  19. Novel technique for injecting and extracting beams in a circular hadron accelerator without using septum magnets

    Directory of Open Access Journals (Sweden)

    Andrea Franchi

    2015-07-01

    Full Text Available With a few exceptions, all on-axis injection and extraction schemes implemented in circular particle accelerators, synchrotrons, and storage rings, make use of magnetic and electrostatic septa with systems of slow-pulsing dipoles acting on tens of thousands of turns and fast-pulsing dipoles on just a few. The dipoles create a closed orbit deformation around the septa, usually referred to as an orbit bump. A new approach is presented which obviates the need for the septum deflectors. Fast-pulsing elements are still required, but their strength can be minimized by choosing appropriate local accelerator optics. This technique should increase the beam clearance and reduce the usually high radiation levels found around the septa and also reduce the machine impedance introduced by the fast-pulsing dipoles. The basis of the technique is the creation of stable islands around stable fixed points in horizontal phase space. The trajectories of these islands may then be adjusted to match the position and angle of the incoming or outgoing beam.

  20. Co-Story-ing: Collaborative Story Writing with Children Who Fear

    Science.gov (United States)

    Pehrsson, Dale-Elizabeth

    2007-01-01

    This article offers a guide for using collaborative story writing (co-story-ing), an assessment technique as well as a therapeutic intervention for children who demonstrate fears, extreme shyness and difficulty in establishing relationships. Co-story-ing draws from Gardner's Mutual Story Telling Technique. Co-story-ing guides clients as they…

  1. Ion-beam nanopatterning: experimental results with chemically-assisted beam

    Science.gov (United States)

    Pochon, Sebastien C. R.

    2018-03-01

    The need for forming gratings (for example used in VR headsets) in materials such as SiO2 has seen a recent surge in the use of Ion beam etching techniques. However, when using an argon-only beam, the selectivity is limited as it is a physical process. Typically, gases such as CHF3, SF6, O2 and Cl2 can be added to argon in order to increase selectivity; depending on where the gas is injected, the process is known as Reactive Ion Beam Etching (RIBE) or Chemically Assisted Ion Beam Etching (CAIBE). The substrate holder can rotate in order to provide an axisymmetric etch rate profile. It can also be tilted over a range of angles to the beam direction. This enables control over the sidewall profile as well as radial uniformity optimisation. Ion beam directionality in conjunction with variable incident beam angle via platen angle setting enables profile control and feature shaping during nanopatterning. These hardware features unique to the Ion Beam etching methods can be used to create angled etch features. The CAIBE technique is also well suited to laser diode facet etch (for optoelectronic devices); these typically use III-V materials like InP. Here, we report on materials such as SiO2 etched without rotation and at a fixed platen angle allowing the formation of gratings and InP etched at a fixed angle with rotation allowing the formation of nanopillars and laser facets.

  2. PROJECT BASED TASK TO IMPROVE THE ENGLISH DEPARTMENT STUDENTS‘ MASTERY IN CRITICAL WRITING

    Directory of Open Access Journals (Sweden)

    Ribut Surjowati

    2017-12-01

    Full Text Available The paper is aimed at describing the students‘ writing improvement in the EFL classroom after the implementation of Project Based Task (PBT was done in writing class of the fourth semester students in FBS-UWKS. For them, writing is difficult and complicated subject, they almost had no idea of what and how to write, which were caused by their lack of motivation and information of how and what they are writing. This research is classroom action research (CAR and the fourth semester students of UWKS were the subjects. Before PBT was implemented, 25% students got 70. It was due to their lack of motivation and anthusiam so that they had no idea of how to write the essay correctly. However, after PBT was implemented, the students‘ anthusiatic was increasing in writing. It is because they were involved in the learning process and designing their own challenging task. There were two cycles implemented and the students‘ writing score was improving significantly in the first cycle and in the second cycle, 81% students‘s passed success indicator. In conclusion, this PBT is a teaching technique which can improve the students‘ writing mastery

  3. Drawing on Technical Writing Scholarship for the Teaching of Writing to Advanced ESL Students--A Writing Tutorial.

    Science.gov (United States)

    Zielinska, Dorota

    2003-01-01

    Outlines the technical writing tutorial (TWT) that precedes an advanced English as a second language (ESL) writing course for students of English Philology at the Jagiellonian University, Poland. Finds a statistically significant increase in the performance of the students who had taken the TWT. Indicates that technical writing books and journals…

  4. Ideation in mathematical writing

    DEFF Research Database (Denmark)

    Misfeldt, Morten

    2007-01-01

    This paper considers idea generation during the mathematical writing process. Two contrasting explanations of the creative potential in connection to writing is presented; writing as a process of setting and obtaining rhetorical goals and writing as a process of discovery. These views...... are then related to two empirically found categories of functions that writing serves researchers in the field of mathematics, concluding that both views contributes to understanding the creative potential in relation to mathematical writing....

  5. Finding Basic Writing's Place.

    Science.gov (United States)

    Sheridan-Rabideau, Mary P.; Brossell, Gordon

    1995-01-01

    Posits that basic writing serves a vital function by providing writing support for at-risk students and serves the needs of a growing student population that universities accept yet feel needs additional writing instruction. Concludes that the basic writing classroom is the most effective educational support for at-risk students and their writing.…

  6. Characterization of the Teotihuacan mural painting: application of the external particle beam as non destructive technique

    International Nuclear Information System (INIS)

    Martinez, C.; Manzanilla, L.; Ruvalcaba, J.L.; Ontalba, M.A.

    2005-01-01

    The characterization of technical indicators contained in the painting mural should follow a minim methodology from their discovery in the archaeological excavations until their analysis in the laboratory, with the purpose of rescuing diagnostic elements that mark the stages of socio cultural development in the towns. With this spirit it was carried out the present study analyzing some fragments of the Teotihuacan mural painting. The analysis consisted on applying some of the analytical techniques with particle beams used for archaeometry like the Proton induced X-ray emission (PIXE) and the particle elastic backscattering (RBS), due to it is treated of complementary techniques, very sensitive, of multielemental character, but mainly because its are non destructive analytical techniques. (Author)

  7. Teaching Children to Write: A Meta-analysis of Writing Intervention Research

    Directory of Open Access Journals (Sweden)

    Monica Koster

    2015-10-01

    Full Text Available It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement in the way writing is taught in elementary school is clearly required. In order to identify effective instructional practices we conducted a meta-analysis of writing intervention studies aimed at grade 4 to 6 in a regular school setting. Average effect sizes were calculated for ten intervention categories: strategy instruction, text structure instruction, pre-writing activities, peer assistance, grammar instruction, feedback, evaluation, process approach, goal setting, and revision. Five of these categories yielded statistically significant results. Pairwise comparison of these categories revealed that goal setting (ES = 2.03 is the most effective intervention to improve students’ writing performance, followed by strategy instruction (ES = .96, text structure instruction (ES = .76, peer assistance (ES = .59, and feedback (ES = .88 respectively. Further research is needed to examine how these interventions can be implemented effectively in classrooms to improve elementary students’ writing performance.

  8. Writing Nature

    Directory of Open Access Journals (Sweden)

    Kristin Asdal

    2014-06-01

    Full Text Available This special issue of the Nordic Journal of Science and Technology Studies is interested in how nature, in different versions and forms, is invited into our studies, analyses, and stories. How is it that we “write nature”? How is it that we provide space for, and actually describe the actors, agents, or surroundings, in our stories and analyses? The articles in the issue each deal with different understandings of both the practices of writing and the introduction of various natures into these. In this introduction to the issue the editors engage with actor-network theory as a material semiotic resource for writing nature. We propose to foreground actor-network theory as a writing tool, at the expense of actor-network theory as a distinct vocabulary. In doing this and pointing out the semiotic origins to material-semiotics we also want to problematize a clear-cut material approach to writing nature.

  9. Nanodevices produced with focussed ion beams

    International Nuclear Information System (INIS)

    Doetsch, U.; Wieck, A.D.

    1998-01-01

    In directly writing the 30 nm focus of a focussed Ga-ion beam (FIB) with an energy of 100 keV we define insulating lines in two-dimensional electronic layers in semiconductors. Ga ions act in GaAs and silicon as deep impurities or p-type doping, respectively. In this way the insulation by such written lines is due to lateral depletion within npn-like interfaces. In writing two FIB lines with a close spacing we define conducting channels between them. In applying a voltage of several Volts to the adjacent areas of the channel relative to it we can tune the effective width of the channel in the range of a few 100 nm to zero and obtain thus a one-dimensional field-effect-transistor-type structure. This transistor exhibits a pure lateral field effect and is thus topologically very different to current transistor concepts. Due to its particular geometry it is called in-plane-gate (IPG) transistor, since the gate and the channel are in the same plane. The fabrication of this type of transistor is thus completely maskless and does not require any alignment procedures since gate, source and drain are all written in the same writing process. Due to the computer-control of the beam deflection even more complex structures are just a question of software and do not need a set of specific masks or photoresist like in the classical lithography. The required line ion dose is of the order of 10 6 cm -1 which means that there are about 100 ions per μm implanted. For devices with maximum micron dimensions only a few hundred ions need thus to be implanted. (orig.)

  10. Studies on post weld heat treatment of dissimilar aluminum alloys by laser beam welding technique

    Science.gov (United States)

    Srinivas, B.; Krishna, N. Murali; Cheepu, Muralimohan; Sivaprasad, K.; Muthupandi, V.

    2018-03-01

    The present study mainly focuses on post weld heat treatment (PWHT) of AA5083 and AA6061 alloys by joining these using laser beam welding at three different laser power and two different beam spot sizes and three different welding speeds. Effects of these parameters on microstructural and mechanical properties like hardness, tensile strength were studied at PWHT condition and significant changes had been observed. The PWHT used was artificial aging technique. The microstructural observations revealed that there was a appreciable changes were taken place in the grain size. The microhardness observations proven that the change in the hardness profile in AA6061 was appreciable than in the AA5083. The tensile strength of 246 MPa was recorded as highest. The fractured surfaces observed are predominantly ductile in nature.

  11. Foreign Language Writing Fellows Programs: A Model for Improving Advanced Writing Skills

    Science.gov (United States)

    Snyder, Delys Waite; Nielson, Rex P.; Kurzer, Kendon

    2016-01-01

    Within the growing field of scholarly literature on foreign language (FL) writing pedagogy, few studies have addressed pedagogical questions regarding the teaching of writing to advanced language learners. Writing fellows peer tutoring programs, although typically associated with first language writing instruction, likely can benefit and support…

  12. Writing Activities of Public Relations Practitioners: The Relationship between Experience and Writing Tasks.

    Science.gov (United States)

    Napoli, Philip M.; Taylor, Maureen; Powers, Gerald

    1999-01-01

    Surveys 200 public relations practitioners and investigates whether the type of writing and over-all time spent writing vary with years of experience. Finds that higher levels of writing efficiency come with writing experience, and shows that female practitioners spend a higher percentage of their workday on writing tasks than do their male…

  13. THE ANALYSIS OF INTERFERENCE ON WRITING ASSIGNMENTS OF THE MIDWIFERY STUDENTS

    Directory of Open Access Journals (Sweden)

    I G. A. Agung Sintha Satwika

    2013-11-01

    Full Text Available This research aims at investigating and analyzing the interference which occurred in English text made by the students of Akademi Kebidanan Bali Wisnu Dharma. The data of this writing were collected from the writing assignment of the students at Akademi Kebidanan Bali Wisnu Dharma, which was divided into six groups. The data were obtained by reading intensively those texts and followed by applying the note taking technique. The result of this study indicates that the interference occurred on those writing assignments in terms of semantics level, spelling, copula, syntax, literal translation, redundancy, over generalization, and interference in terms of English pronoun.

  14. Exploring the Relationship between Writing Apprehension and Writing Performance: A Qualitative Study

    Science.gov (United States)

    Badrasawi, Kamal J. I.; Zubairi, Ainol; Idrus, Faizah

    2016-01-01

    Writing skill is seen as a cornerstone of university students' success in both academic and career life. This qualitative study was conducted to further explore the teachers' and students' perceptions on the relationship between writing apprehension and writing performance, contributing factors of writing apprehension, and strategies to reduce…

  15. Applications of factor analysis to electron and ion beam surface techniques

    International Nuclear Information System (INIS)

    Solomon, J.S.

    1987-01-01

    Factor analysis, a mathematical technique for extracting chemical information from matrices of data, is used to enhance Auger electron spectroscopy (AES), core level electron energy loss spectroscopy (EELS), ion scattering spectroscopy (ISS), and secondary ion mass spectroscopy (SIMS) in studies of interfaces, thin films, and surfaces. Several examples of factor analysis enhancement of chemical bonding variations in thin films and at interfaces studied with AES and SIMS are presented. Factor analysis is also shown to be of great benefit in quantifying electron and ion beam doses required to induce surface damage. Finally, examples are presented of the use of factor analysis to reconstruct elemental profiles when peaks of interest overlap each other during the course of depth profile analysis. (author)

  16. 3D direct writing fabrication of electrodes for electrochemical storage devices

    Science.gov (United States)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  17. Contributions of Emergent Literacy Skills to Name Writing, Letter Writing, and Spelling in Preschool Children

    Science.gov (United States)

    Puranik, Cynthia S.; Lonigan, Christopher J.; Kim, Young-Suk

    2011-01-01

    The purpose of this study was to examine which emergent literacy skills contribute to preschool children’s emergent writing (name-writing, letter-writing, and spelling) skills. Emergent reading and writing tasks were administered to 296 preschool children aged 4–5 years. Print knowledge and letter-writing skills made positive contributions to name writing; whereas alphabet knowledge, print knowledge, and name writing made positive contributions to letter writing. Both name-writing and letter-writing skills made significant contributions to the prediction of spelling after controlling for age, parental education, print knowledge, phonological awareness, and letter-name and letter-sound knowledge; however, only letter-writing abilities made a significant unique contribution to the prediction of spelling when both letter-writing and name-writing skills were considered together. Name writing reflects knowledge of some letters rather than a broader knowledge of letters that may be needed to support early spelling. Children’s letter-writing skills may be a better indicator of children’s emergent literacy and developing spelling skills than are their name-writing skills at the end of the preschool year. Spelling is a developmentally complex skill beginning in preschool and includes letter writing and blending skills, print knowledge, and letter-name and letter-sound knowledge. PMID:21927537

  18. Direct-write fabrication of a nanoscale digital logic element on a single nanowire

    International Nuclear Information System (INIS)

    Roy, Somenath; Gao Zhiqiang

    2010-01-01

    In this paper we report on the 'direct-write' fabrication and electrical characteristics of a nanoscale logic inverter, integrating enhancement-mode (E-mode) and depletion-mode (D-mode) field-effect transistors (FETs) on a single zinc oxide (ZnO) nanowire. 'Direct-writing' of platinum metal electrodes and a dielectric layer is executed on individual single-crystalline ZnO nanowires using either a focused electron beam (FEB) or a focused ion beam (FIB). We fabricate a top-gate FET structure, in which the gate electrode wraps around the ZnO nanowire, resulting in a more efficient gate response than the conventional back-gate nanowire transistors. For E-mode device operation, the gate electrode (platinum) is deposited directly onto the ZnO nanowire by a FEB, which creates a Schottky barrier and in turn a fully depleted channel. Conversely, sandwiching an insulating layer between the FIB-deposited gate electrode and the nanowire channel makes D-mode operation possible. Integrated E- and D-mode FETs on a single nanowire exhibit the characteristics of a direct-coupled FET logic (DCFL) inverter with a high gain and noise margin.

  19. Writing by Academics: A Transactional and Systems Approach to Academic Writing Behaviours

    Science.gov (United States)

    Kempenaar, Larissa Elisabeth; Murray, Rowena

    2016-01-01

    The literature on academic writing in higher education contains a wealth of research and theory on students' writing, but much less on academics' writing. In performative higher education cultures, discussions of academics' writing mainly concern outputs, rather than the process of producing them. This key component of academic work remains…

  20. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    Science.gov (United States)

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  1. Professional Writing in the English Classroom: Professional Writing--What You Already Know

    Science.gov (United States)

    Bush, Jonathan; Zuidema, Leah

    2010-01-01

    This article presents the first installment of "Professional Writing in the English Classroom." The authors begin by answering the obvious question: What is professional writing? It isn't remedial writing, and it involves much more than writing memos, business letters, and resumes (although it certainly includes those genres). Professional writing…

  2. The Los Altos Writing Project.

    Science.gov (United States)

    Kraft, Richard F.

    The intent of this guide is to encourage teachers to have students write, both formally and informally, on a systematic basis. Three types of writing are emphasized: (1) journal writing; (2) research paper writing; and (3) essay writing. The section on journal writing includes a handout for the class explaining the purpose for journal writing and…

  3. UV writing of advanced Bragg gratings in optical waveguides

    DEFF Research Database (Denmark)

    Jensen, Jesper Bo Damm

    2002-01-01

    of the novel polarization control method for UV writing of Bragg gratings with advanced apodization profiles including phase shifts. The principle of the polarization control method relies on a spatial separation of the s- and p-polarized components of a linearly polarized UV beam corresponding to half......, Technical University of Denmark. During fabrication the planar waveguides were annealed in an oxygen rich atmosphere. This reduces the photosensitivity to a negligible level and Bragg gratings cannot be written within reasonable time unless the waveguides are sensitized by deuterium loading. Samples were...

  4. Generation of arbitrary vector beams

    Science.gov (United States)

    Perez-Garcia, Benjamin; López-Mariscal, Carlos; Hernandez-Aranda, Raul I.; Gutiérrez-Vega, Julio C.

    2017-08-01

    Optical vector beams arise from point to point spatial variations of the electric component of an electromagnetic field over the transverse plane. In this work, we present a novel experimental technique to generate arbitrary vec- tor beams, and provide sufficient evidence to validate their state of polarization. This technique takes advantage of the capability of a Spatial Light Modulator to simultaneously generate two components of an electromagnetic field by halving the screen of the device and subsequently recombining them in a Sagnac interferometer. Our experimental results show the versatility and robustness of this technique for the generation of vector beams.

  5. Collaborative Writing to Enhance Academic Writing Development through Project Work

    Science.gov (United States)

    Robayo Lun, Alma Milena; Hernandez Ortiz, Luz Stella

    2013-01-01

    Advanced students at university level struggle with many aspects of academic writing in English as a foreign language. The purpose of this article is to report on an investigation aimed at analyzing what collaborative writing through project work tells us about students' academic writing development at the tertiary level. The compositions written…

  6. Polymer microlens replication by Nanoimprint Lithography using proton beam fabricated Ni stamp

    International Nuclear Information System (INIS)

    Dutta, R.K.; Kan, J.A. van; Bettiol, A.A.; Watt, F.

    2007-01-01

    It is essential to have a simplified and a rapid method for fabricating micro/nano structures in different kinds of polymeric materials. Though it is possible to fabricate arrays of microlens directly by P beam writing (PBW), it is restricted to a few types of resist materials. Therefore we have fabricated a Ni electroplated metallic stamp comprising of arrays of inverse/negative features of microlenses. The metallic stamp of about 500 μm thick is made on a silicon wafer coated with 10 μm thick polymethylglutarimide (PMGI) resist and the desired structures are written by PBW followed by thermal reflow and Ni electroplating. An array of microlenses is imprinted on a polycarbonate (PC) substrate by the Nanoimprint Lithography (NIL) technique and the replicated microlenses featuring various numerical apertures, diameters and pitches are characterized

  7. Crossed beam experiments

    International Nuclear Information System (INIS)

    Dolder, K.T.

    1976-01-01

    Many natural phenomena can only be properly understood if one has a detailed knowledge of interactions involving atoms, molecules, ions, electrons or photons. In the laboratory these processes are often studied by preparing beams of two types of particle and observing the reactions which occur when the beams intersect. Some of the more interesting of these crossed beam experiments and their results are discussed. Proposals to extend colliding beam techniques to high energy particle physics are also outlined. (author)

  8. Beam manipulation techniques, nonlinear beam dynamics, and space charge effect in high energy high power accelerators

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S. Y. [Indiana Univ., Bloomington, IN (United States)

    2014-04-07

    We had carried out a design of an ultimate storage ring with beam emittance less than 10 picometer for the feasibility of coherent light source at X-ray wavelength. The accelerator has an inherent small dynamic aperture. We study method to improve the dynamic aperture and collective instability for an ultimate storage ring. Beam measurement and accelerator modeling are an integral part of accelerator physics. We develop the independent component analysis (ICA) and the orbit response matrix method for improving accelerator reliability and performance. In collaboration with scientists in National Laboratories, we also carry out experimental and theoretical studies on beam dynamics. Our proposed research topics are relevant to nuclear and particle physics using high brightness particle and photon beams.

  9. Improving depth resolutions in positron beam spectroscopy by concurrent ion-beam sputtering

    Science.gov (United States)

    John, Marco; Dalla, Ayham; Ibrahim, Alaa M.; Anwand, Wolfgang; Wagner, Andreas; Böttger, Roman; Krause-Rehberg, Reinhard

    2018-05-01

    The depth resolution of mono-energetic positron annihilation spectroscopy using a positron beam is shown to improve by concurrently removing the sample surface layer during positron beam spectroscopy. During ion-beam sputtering with argon ions, Doppler-broadening spectroscopy is performed with energies ranging from 3 keV to 5 keV allowing for high-resolution defect studies just below the sputtered surface. With this technique, significantly improved depth resolutions could be obtained even at larger depths when compared to standard positron beam experiments which suffer from extended positron implantation profiles at higher positron energies. Our results show that it is possible to investigate layered structures with a thickness of about 4 microns with significantly improved depth resolution. We demonstrated that a purposely generated ion-beam induced defect profile in a silicon sample could be resolved employing the new technique. A depth resolution of less than 100 nm could be reached.

  10. Dynamic neutral beam current and voltage control to improve beam efficacy in tokamaks

    Science.gov (United States)

    Pace, D. C.; Austin, M. E.; Bardoczi, L.; Collins, C. S.; Crowley, B.; Davis, E.; Du, X.; Ferron, J.; Grierson, B. A.; Heidbrink, W. W.; Holcomb, C. T.; McKee, G. R.; Pawley, C.; Petty, C. C.; Podestà, M.; Rauch, J.; Scoville, J. T.; Spong, D. A.; Thome, K. E.; Van Zeeland, M. A.; Varela, J.; Victor, B.

    2018-05-01

    An engineering upgrade to the neutral beam system at the DIII-D tokamak [J. L. Luxon, Nucl. Fusion 42, 614 (2002)] enables time-dependent programming of the beam voltage and current. Initial application of this capability involves pre-programmed beam voltage and current injected into plasmas that are known to be susceptible to instabilities that are driven by energetic ( E ≥ 40 keV) beam ions. These instabilities, here all Alfvén eigenmodes (AEs), increase the transport of the beam ions beyond a classical expectation based on particle drifts and collisions. Injecting neutral beam power, P beam ≥ 2 MW, at reduced voltage with increased current reduces the drive for Alfvénic instabilities and results in improved ion confinement. In lower-confinement plasmas, this technique is applied to eliminate the presence of AEs across the mid-radius of the plasmas. Simulations of those plasmas indicate that the mode drive is decreased and the radial extent of the remaining modes is reduced compared to a higher beam voltage case. In higher-confinement plasmas, this technique reduces AE activity in the far edge and results in an interesting scenario of beam current drive improving as the beam voltage reduces from 80 kV to 65 kV.

  11. Write to read: the brain's universal reading and writing network.

    Science.gov (United States)

    Perfetti, Charles A; Tan, Li-Hai

    2013-02-01

    Do differences in writing systems translate into differences in the brain's reading network? Or is this network universal, relatively impervious to variation in writing systems? A new study adds intriguing evidence to these questions by showing that reading handwritten words activates a pre-motor area across writing systems. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. Effect of a Resume-Writing Workshop on Resume-Writing Skills

    Science.gov (United States)

    Tillotson, Kenyon; Osborn, Debra

    2012-01-01

    What is the best way to teach someone how to write an effective resume? A workshop format was used to teach college students the skills needed to write a successful resume. Archival data consisting of student resumes and rubric score sheets were used to determine the effectiveness of a resume-writing workshop by using a pre-post design evaluating…

  13. Writing-to-Learn

    Science.gov (United States)

    Balachandran, Shreedevi; Venkatesaperumal, Ramesh; Clara, Jothi; Shukri, Raghda K.

    2014-01-01

    Objectives: The objectives of this study were to assess the attitude of Omani nursing students towards writing-to-learn (WTL) and its relationship to demographic variables, self-efficacy and the writing process Methods: A cross-sectional design was used to evaluate attitudes towards WTL by Sultan Qaboos University nursing students. A convenience sample of 106 students was used and data collected between October 2009 and March 2010. A modified version of the WTL attitude scale developed by Dobie and Poirrier was used to collect the data. Descriptive and inferential statistics were used for analysis. Results: Senior and junior students had more positive attitudes to WTL than mid-level students who tended to have negative attitudes towards writing. Although 52.8% students had negative attitudes towards the writing process, the median was higher for attitudes to the writing process compared to the median for self-efficacy. There was a positive correlation between self-efficacy and writing process scores. Conclusion: Overall, students had negative attitudes towards WTL. Attitudes are learnt or formed through previous experiences. The incorporation of WTL strategies into teaching can transform students’ negative attitudes towards writing into positive ones. PMID:24516740

  14. Development of small scale mechanical testing techniques on ion beam irradiated 304 SS

    International Nuclear Information System (INIS)

    Reichardt, A.; Abad, M.D.; Hosemann, P.; Lupinacci, A.; Kacher, J.; Minor, A.; Jiao, Z; Chou, P.

    2015-01-01

    Austenitic stainless steels are widely used for structural components in light water reactors, however uncertainty in their susceptibility to irradiation assisted stress corrosion cracking (IASCC) has made long term performance predictions difficult. In addition, the testing of reactor irradiated materials has proven challenging due to the long irradiation times required, limited sample availability, and unwanted activation. To address these problems, we apply recently developed techniques in nano-indentation and micro-compression testing to small volume samples of 10 dpa proton-beam irradiated 304 stainless steel. Cross sectional nano-indentation was performed on both proton beam irradiated and non-irradiated samples at temperatures ranging from 22 to 300 C. degrees to determine the effects of irradiation and operating temperature on hardening. Micro-compression tests using 2 μm x 2 μm x 5 μm focused-ion beam milled pillars were then performed in situ in an electron microscope to allow for a more accurate look at stress-strain behavior along with real-time observations of localized mechanical deformation. Large sudden slip events and significant increase in yield strength are observed in irradiated micro-compression samples at room temperature. Elevated temperature nano-indentation results reveal the possibility of thermally-activated changes in deformation mechanism for irradiated specimens. Since the deformation mechanism information provided by micro-compression testing can provide valuable information about IASCC susceptibility, future work will involve ex situ micro-compression tests at reactor operating temperature

  15. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Science.gov (United States)

    Yilmaz Soylu, Meryem; Zeleny, Mary G.; Zhao, Ruomeng; Bruning, Roger H.; Dempsey, Michael S.; Kauffman, Douglas F.

    2017-01-01

    The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS), and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing. PMID:28878707

  16. Application of object oriented techniques in the TRIUMF beam line 2C control system

    International Nuclear Information System (INIS)

    Wilkinson, N.A.; Ludgate, G.A.

    1992-07-01

    The KAON Factory central control system study employed a uniform approach to requirements analysis, architectural design and programming based on well established object oriented principles. These principles were applied to the successful analysis, design and implementation of the control system for the TRIUMF Beam Line 2C Solid Target Facility. The specification for this control system was created in collaboration with Beam Line 2C equipment management experts and, once the analysis models were validated, an approach was developed for the direct translation of these models into C code. A commercial real time database was central to this translation, as inter-object data and control flows are implemented by channels in the database. This paper focuses on the experience gained in the use of object oriented techniques during the complete analysis-design-implementation cycle of a working control system and on the utility of implementing such a system using a commercial real time database and graphical interface. (author)

  17. Characterization techniques for the high-brightness particle beams of the Advanced Photon Source (APS)

    International Nuclear Information System (INIS)

    Lumpkin, A.H.

    1993-01-01

    The Advanced Photon Source (APS) will be a third-generation synchrotron radiation (SR) user facility in the hard x-ray regime (10--100 keV). The design objectives for the 7-GeV storage ring include a positron beam natural emittance of 8 x 10 -9 m-rad at an average current of 100 mA. Proposed methods for measuring the transverse and longitudinal profiles will be described. Additionally, a research and development effort using an rf gun as a low-emittance source of electrons for injection into the 200- to 650-MeV linac subsystem is underway. This latter system is projected to produce electron beams with a normalized, rms emittance of ∼2 π mm-mrad at peak currents of near one hundred amps. This interesting characterization problem will also be briefly discussed. The combination of both source types within one laboratory facility will stimulate the development of diagnostic techniques in these parameter spaces

  18. A summary of some beam-beam models

    International Nuclear Information System (INIS)

    Chao, A.W.

    1989-01-01

    Two categories of theoretical models for the beam-beam interaction are reviewed: the linear-lens models and the single-resonance models. In a linear-lens model, the beam-beam force is linearized and represented by a localized linear lens. Analyses of incoherent single particle effects can be performed exactly in these models by using matrix techniques. Although the results do not agree with the experimental observations in many respects, the linear-lens models constitute a starting point of our understanding of the beam-beam interaction. In the single-resonance models, one is concerned with the possible incoherent instabilities as the betatron tune of some of the particles is close to a certain rational number. It is assumed in these models that one and only one such rational number dominates the single-particle beam-beam effects. It is found that static single resonances cannot explain many of the experimental results. Some attempts have been made to modify the static single-resonance theory by including some mechanisms for diffusive tune fluctuations or periodic tune modulations. These modified single-resonance models have met only with some limited qualitative success. 21 refs., 13 figs

  19. A Mathematician Learns the Basics of Writing Instruction: An Immersion Experience with Long-Term Benefits

    Science.gov (United States)

    Doty, Lynne L.

    2012-01-01

    Initially designed to be an interdisciplinary experiment that would change attitudes about mathematics, the semester-long collaboration between a writing instructor and a mathematics instructor yielded unexpected long-term results. The collaboration served as an immersion in methods and techniques used by writing instructors. Description of…

  20. A new strategy for wear and corrosion measurements using ion beam based techniques

    International Nuclear Information System (INIS)

    Dudu, D.; Popa, V.; Racolta, P.M.; Voiculescu, Dana

    2001-01-01

    An efficient and precise method for wear testing is Thin Layer Activation (TLA), which is based on the production of a thin layer of radioisotopes in the component surface by bombardment with a charged particle beam. These radioisotopes decay by emitting a characteristic gamma radiation that can be detected with thallium activated sodium iodide NaI(Tl) detectors. Since the material loss due to wear or corrosion is directly proportional to the loss in radioactivity of the activated surface, the wear/corrosion can be monitored in real time. Alternatively, the increase of the activity of the removed material debris collected in an oil bath of engine or in a filter also gives a measure of wear. The application of TLA and UTLA (ultra TLA - by recoil implantation) techniques presupposes establishing the optimum working and measuring conditions for the following steps: irradiation, post irradiation, and 'in situ' measurements. Having in view the diversity of components subjected to wear or corrosion to TLA-based investigations, dedicated beam lines for in-air or vacuum irradiation and translating/rotating target holders were developed by the U-120 Cyclotron of IFIN-HH. The modified IFIN-HH U-120 cyclotron from Bucharest is a classical variable energy machine that can accelerate protons up to 14 MeV, deuterons up to 13.5 MeV, and alpha particles up to 27 MeV. At this machine, TLA has mainly been used for studies of various parts of running machines on test benches such as piston - rings and linear cylinders. Also lubricant characterization studies were conducted here. The main advantage of TLA compared to conventional techniques for wear measurements is its ability to perform continuous in situ wear measurements of engine components, such as cylinder liners and piston rings, without the need to dismantle the components investigated. In addition high wear sensitivity and resolution of wear down to nano meters dimensions is guaranteed. Although the level of activity used in

  1. Metallographic techniques for evaluation of Thermal Barrier Coatings produced by Electron Beam Physical Vapor Deposition

    International Nuclear Information System (INIS)

    Kelly, Matthew; Singh, Jogender; Todd, Judith; Copley, Steven; Wolfe, Douglas

    2008-01-01

    Thermal Barrier Coatings (TBC) produced by Electron Beam Physical Vapor Deposition (EB-PVD) are primarily applied to critical hot section turbine components. EB-PVD TBC for turbine applications exhibit a complicated structure of porous ceramic columns separated by voids that offers mechanical compliance. Currently there are no standard evaluation methods for evaluating EB-PVD TBC structure quantitatively. This paper proposes a metallographic method for preparing samples and evaluating techniques to quantitatively measure structure. TBC samples were produced and evaluated with the proposed metallographic technique and digital image analysis for columnar grain size and relative intercolumnar porosity. Incorporation of the proposed evaluation technique will increase knowledge of the relation between processing parameters and material properties by incorporating a structural link. Application of this evaluation method will directly benefit areas of quality control, microstructural model development, and reduced development time for process scaling

  2. The comparison of Co-60 and 4MV photons matching dosimetry during half-beam technique

    International Nuclear Information System (INIS)

    Cakir, Aydin; Bilge, Hatice; Dadasbilge, Alpar; Kuecuecuek, Halil; Okutan, Murat; Merdan Fayda, Emre

    2005-01-01

    In this phantom study, we tried to compare matching dosimetry differences between half-blocking of Co-60 and asymmetric collimation of the 4MV photons during craniospinal irradiation. The dose distributions are compared and discussed. Firstly, some gaps with different sizes are left between cranial and spinal field borders. Secondly, the fields are overlapped in the same sizes. We irradiate the films located in water-equivalent solid phantoms with Co-60 and 4MV photon beams. This study indicates that the field placement errors in +/- 1mm are acceptable for both Co-60 and 4MV photon energies during craniospinal irradiation with half-beam block technique. Within these limits the dose variations are specified in +/- 5%. However, the setup errors that are more than 1mm are unacceptable for both asymmetric collimation of 4MV photon and half-blocking of Co-60

  3. Using Writing as a Constructivist Instructional Tool

    Science.gov (United States)

    Narayanan, M.

    2006-12-01

    Researchers in the area of cognitive science and educational psychology have shown that instructors who encourage student writing are actually helping in motivating a reluctant pupil. It has also been reported that writing indirectly rewards an individual with dynamic interest. Furthermore, it is believed that writing strengthens the self-confidence of a lethargic learner. (Kosakowski, 1998). All in all, promoting writing helps and supports learners cultivate a positive attitude toward the subject matter in question. The constructivist approach promotes a learning paradigm and helps individuals learn and understand by "constructing" knowledge. Learners are effectively encouraged to generate and build their own knowledge base. Learners document progress by constructing new concepts based on previously gained knowledge. The role of the teacher is actually to facilitate the creation of a learning environment. The constructivist approach when used in the classroom enables the students to become more active, independent thinkers of knowledge. Education World writer Gloria Chaika (Chaika, 2000) states that "Talent is important, but practice creates the solid base that allows that unique talent to soar. Like athletes, writers learn by doing. Good writing requires the same kind of dedicated practice that athletes put in. Young writers often lack the support they need to practice writing and develop their talent to the fullest, though." The author has successfully utilized some of these principles and techniques in a senior level course he teaches. He has encouraged students to try to solve problems their own way and has asked them to observe, document, assess and evaluate the results. In the classroom, the author takes the role of a coach and helps the students approach the problem with a different viewpoint. Eventually the students document their conclusions in a page-long essay. This type of writing assignment not only builds critical thinking abilities but also

  4. Finite Element Formulation for Stability and Free Vibration Analysis of Timoshenko Beam

    Directory of Open Access Journals (Sweden)

    Abbas Moallemi-Oreh

    2013-01-01

    Full Text Available A two-node element is suggested for analyzing the stability and free vibration of Timoshenko beam. Cubic displacement polynomial and quadratic rotational fields are selected for this element. Moreover, it is assumed that shear strain of the element has the constant value. Interpolation functions for displacement field and beam rotation are exactly calculated by employing total beam energy and its stationing to shear strain. By exploiting these interpolation functions, beam elements' stiffness matrix is also examined. Furthermore, geometric stiffness matrix and mass matrix of the proposed element are calculated by writing governing equation on stability and beam free vibration. At last, accuracy and efficiency of proposed element are evaluated through numerical tests. These tests show high accuracy of the element in analyzing beam stability and finding its critical load and free vibration analysis.

  5. Measuring the Effectiveness of Writing Center Consultations on L2 Writers’ Essay Writing Skills

    Directory of Open Access Journals (Sweden)

    Shanthi Tiruchittampalam

    2018-02-01

    Full Text Available With the international growth of English-medium education, tertiary institutions are increasingly providing academic support services to L2 students, and thus, the number of writing centers working with L2 student writers has also increased. Writing center practices originated in L1 English educational contexts and their appropriateness for L2 English writers requires examination. This study investigated the effect of writing center consultations on the essay writing skills of L1 Arabic foundation level students at an English-medium university in the Gulf region. Analysis was based on quantitative measures of writing ability of two distinct groups of students: an experimental group who participated in tutoring sessions at the university’s writing center and a control group who did not. Findings indicated that students who participated in writing center consultations scored significantly higher in overall essay writing scores, as well as in two aspects of writing: task fulfilment (that is ideas and text organization/coherence. These findings contribute to a limited bank of similar empirical studies on effectiveness of writing center sessions on students’ essay writing ability. They also support the case for the expansion of writing center work beyond the domains of predominantly L1 English academic communities.

  6. Five second helium neutral beam injection using argon-frost cryopumping techniques

    International Nuclear Information System (INIS)

    Phillips, J.C.; Kellman, D.H.; Hong, R.; Kim, J.; Laughon, G.M.

    1995-01-01

    High power helium neutral beams for the heating of tokamak discharges can now be provided for 5 s by using argon cryopumping (of the helium gas) in the beamlines. The DIII-D neutral beam system has routinely provided up to 20 MW of deuterium neutral beam heating in support of experiments on the DIII-D tokamak. Operation of neutral beams with helium has historically presented a problem in that pulse lengths have been limited to 500 ms due to reliance solely on volume pumping of the helium gas. Helium is not condensed on the cryopanels. A system has now been installed to deposit a layer of argon frost on the DIII-D neutral beam cryopanels, between tokamak injection pulses. The layer serves to trap helium on the cryopanels providing sufficient pumping speed for 5 s helium beam extraction. The argon frosting hardware is now present on two of four DIII-D neutral beamlines, allowing injection of up to 6 MW of helium neutral beams per discharge, with pulse lengths of up to 5 s. The argon frosting system is described, along with experimental results demonstrating its effectiveness as a method of economically extending the capabilities of cryogenic pumping panels to allow multi-second helium neutral beam injection

  7. Improving the 5th Formers’ Continuous Writing Skills through the Creative Writing Module

    Directory of Open Access Journals (Sweden)

    Mohana Ram Murugiah

    2013-07-01

    Full Text Available Writing is a complex task. The development of students’ writing skill depends on the teacher’s teaching strategy and also the materials used in the writing lesson. In the present study, the effectiveness of a creative writing module was examined that was designed to improve the writing skill of a group of excellent students. It was added with explicit teaching strategies. The selected group of students were students who were in the excellent group but lacked creativity and vocabulary in their writing. The creative writing module was designed to help these students. Students’ improvement was observed through observation in the classrooms during the lessons and through writing task as well as interviews. Two observations were made. One was before the creative writing project was started and another after the completion of the entire task of the module. The interview was carried out to learn about the students’ perception of the module and how do they find the module has helped them.  The result of the research showed that students have shown a great level of improvement in their writing skills. The outcome of this present study could be useful to assist language instructors in helping proficient learners to undergo a more effective second language learning experience.

  8. Writing the Ties that Bind: Service-Learning in the Writing Classroom.

    Science.gov (United States)

    Cooper, David D.; Julier, Laura

    1995-01-01

    The Service Learning Writing Project at Michigan State University links service-learning and writing instruction. Students read and discuss American literary and historical texts, write academic analyses of ideas, and practice peer editing and revision in small workshops, while working in service placements in community and nonprofit…

  9. Electron beam welding

    International Nuclear Information System (INIS)

    Gabbay, M.

    1972-01-01

    The bead characteristics and the possible mechanisms of the electron beam penetration are presented. The different welding techniques are exposed and the main parts of an electron beam welding equipment are described. Some applications to nuclear, spatial and other industries are cited [fr

  10. The Writing Mathematician

    Science.gov (United States)

    Yoon, Caroline

    2017-01-01

    Popular culture casts mathematics and writing as opposites--a false dichotomy, which can be harmful for our discipline of mathematics education. Positioning writing outside the domain of the mathematician's abilities and cultivated skill set can create doubt in the mathematician wishing to write--not that one cannot be both writer and…

  11. Writing as Praxis

    Science.gov (United States)

    Yagelski, Robert P.

    2012-01-01

    In 2003, the National Commission on Writing released "The Neglected "R,"" its report on the state of writing instruction in the nation's schools. The report identified an apparent paradox: writing, which the Commission defines as an essential skill for the many that has helped transform the world, is nevertheless increasingly…

  12. GUIDED USE OF WRITING PROMPTS TO IMPROVE ACADEMIC WRITING IN COLLEGE STUDENTS

    Directory of Open Access Journals (Sweden)

    Lina Marcela Trigos Carrillo

    2011-12-01

    Full Text Available The paper presents empirical data supporting the hypothesis that the systematic and guided use of academic writing prompts is a successful instructional strategy to improve the academic writing in Spanish of college students, mainly during their first semesters. A combined methodology, with pre- and post-tests, was used in this research project conducted from July 2009 to June 2010. The participants were freshmen students of different disciplines of the Human Sciences in a private university in Bogota, Colombia. The aim of this research project was twofold. First, it sought to identify the difficulties students faced in the writing process of academic texts when they are related to real communicative contexts. Second, it involved the design and application of the guided and systematic use of writing prompts for academic writing in a sequence called "The Cognitive Pedagogical Model of Writing for Higher Education". The results show empirical evidence supporting the use of writing prompts designed with specific academic purposes to improve the academic writing level of college students in their first stages of study. However, further research is needed to consolidate the results presented here.

  13. Secondary Students' Writing Achievement Goals: Assessing the Mediating Effects of Mastery and Performance Goals on Writing Self-Efficacy, Affect, and Writing Achievement

    Directory of Open Access Journals (Sweden)

    Meryem Yilmaz Soylu

    2017-08-01

    Full Text Available The two studies reported here explored the factor structure of the newly constructed Writing Achievement Goal Scale (WAGS, and examined relationships among secondary students' writing achievement goals, writing self-efficacy, affect for writing, and writing achievement. In the first study, 697 middle school students completed the WAGS. A confirmatory factor analysis revealed a good fit for this data with a three-factor model that corresponds with mastery, performance approach, and performance avoidance goals. The results of Study 1 were an indication for the researchers to move forward with Study 2, which included 563 high school students. The secondary students completed the WAGS, as well as the Self-efficacy for Writing Scale, and the Liking Writing Scale. Students also self-reported grades for writing and for language arts courses. Approximately 6 weeks later, students completed a statewide writing assessment. We tested a theoretical model representing relationships among Study 2 variables using structural equation modeling including students' responses to the study scales and students' scores on the statewide assessment. Results from Study 2 revealed a good fit between a model depicting proposed relationships among the constructs and the data. Findings are discussed relative to achievement goal theory and writing.

  14. In-beam PET at clinical proton beams with pile-up rejection

    Energy Technology Data Exchange (ETDEWEB)

    Helmbrecht, Stephan; Fiedler, Fine; Iltzsche, Marc [Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany). Inst. of Radiation Physics; Enghardt, Wolfgang [Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany). Inst. of Radiation Physics; OncoRay - National Center for Radiation Research in Oncology, Dresden (Germany); Helmholtz-Zentrum Dresden-Rossendorf, Dresden (Germany). Inst. of Radiooncology; German Cancer Research Center (DKFZ), Heidelberg (Germany); German Cancer Consortium (DKTK), Dresden (Germany); Pausch, Guntram [OncoRay - National Center for Radiation Research in Oncology, Dresden (Germany); Tintori, Carlo [CAEN S.p.A., Viareggio (Italy); Kormoll, Thomas [OncoRay - National Center for Radiation Research in Oncology, Dresden (Germany); Technische Univ. Dresden (Germany). AG Radiation Physics

    2017-10-01

    Positron emission tomography (PET) is a means of imaging the β{sup +}-activity produced by the radiation field in ion beam therapy and therefore for treatment verification. Prompt γ-rays that are emitted during beam application challenge the detectors and electronics of PET systems, since those are designed for low and medium count rates. Typical PET detectors operated according to a modified Anger principle suffer from multiple events at high rates. Therefore, in-beam PET systems using such detectors rely on a synchronization of beam status and measurement to reject deteriorated data. In this work, a method for pile-up rejection is applied to conventional Anger logic block detectors. It allows for an in-beam data acquisition without further synchronization. Though cyclotrons produce a continuous wave beam, the radiation field shaping technique introduces breaks in the application. Time regimes mimicking synchrotrons as well as cyclotron based ones using double-scattering or pencil beam scanning field shaping at dose rates of 0.5, 1.0 and 2.0 Gy/min were investigated. Two types of inhomogeneous phantoms were imaged. The first one simulates cavity structures, the other one mimics a static lung irradiation. It could be shown that, depending on the dose rate and the beam time structure, in-beam measurement including a few seconds decay time only, yield images which revealed all inhomogeneities in the phantoms. This technique can be the basis for the development of an in-beam PET system with traditional detectors and off-the-shelf electronics.

  15. Determining the stereochemical structures of molecular ions by ''Coulomb-explosion'' techniques with fast (MeV) molecular ion beams

    International Nuclear Information System (INIS)

    Gemmell, D.S.

    1980-01-01

    Recent studies on the dissociation of fast (MeV) molecular ion beams in thin foils suggest a novel alternative approach to the determination of molecular ion structures. In this article we review some recent high-resolution studies on the interactions of fast molecular ion beams with solid and gaseous targets and indicate how such studies may be applied to the problem of determining molecular ion structures. The main features of the Coulomb explosion of fast-moving molecular ion projectiles and the manner in which Coulomb-explosion techniques may be applied to the problem (difficult to attack by more conventional means) of determining the stereochemical structures of molecular ions has been described in this paper. Examples have been given of early experiments designed to elicit structure information. The techniques are still in their infancy, and it is to be expected that as both the technology and the analysis are refined, the method will make valuable contributions to the determination of molecular ion structures

  16. An Analysis on Langston Hughes’ Writing Technique——plotlessness in Early Autumn

    Institute of Scientific and Technical Information of China (English)

    娄佳丽

    2014-01-01

    Langston Hughes shows a different writing technique in his short story Early Autumn.He uses plotlessness, an irregular narrative technique, to reflect the character’s emotional undercurrents beneath the calm surface and also forms a sharp contrast with the character’s intense emotional change.

  17. Exploring Writing Circles as Innovative, Collaborative Writing Structures with Teacher Candidates

    Science.gov (United States)

    Roberts, Sherron Killingsworth; Blanch, Norine; Gurjar, Nandita

    2017-01-01

    Writing circles are "small groups... meeting regularly to share drafts, choose common writing topics, practice positive response, and in general, help each other become better writers" (Vopat, 2009, p. 6). In this exploratory study, writing circles were employed with elementary teacher candidates in hopes of enhancing their perceptions…

  18. Observations of the beam-beam interaction

    International Nuclear Information System (INIS)

    Seeman, J.T.

    1985-11-01

    The observed complexity of the beam-beam interaction is the subject of this paper. The varied observations obtained from many storage rings happen to be sufficiently similar that a prescription can be formulated to describe the behavior of the luminosity as a function of beam current including the peak value. This prescription can be used to interpret various methods for improving the luminosity. Discussion of these improvement methods is accompanied with examples from actual practice. The consequences of reducing the vertical betatron function (one of the most used techniques) to near the value of the bunch length are reviewed. Finally, areas needing further experimental and calculational studies are pointed out as they are uncovered

  19. Optimisation of 12 MeV electron beam simulation using variance reduction technique

    International Nuclear Information System (INIS)

    Jayamani, J; Aziz, M Z Abdul; Termizi, N A S Mohd; Kamarulzaman, F N Mohd

    2017-01-01

    Monte Carlo (MC) simulation for electron beam radiotherapy consumes a long computation time. An algorithm called variance reduction technique (VRT) in MC was implemented to speed up this duration. This work focused on optimisation of VRT parameter which refers to electron range rejection and particle history. EGSnrc MC source code was used to simulate (BEAMnrc code) and validate (DOSXYZnrc code) the Siemens Primus linear accelerator model with the non-VRT parameter. The validated MC model simulation was repeated by applying VRT parameter (electron range rejection) that controlled by global electron cut-off energy 1,2 and 5 MeV using 20 × 10 7 particle history. 5 MeV range rejection generated the fastest MC simulation with 50% reduction in computation time compared to non-VRT simulation. Thus, 5 MeV electron range rejection utilized in particle history analysis ranged from 7.5 × 10 7 to 20 × 10 7 . In this study, 5 MeV electron cut-off with 10 × 10 7 particle history, the simulation was four times faster than non-VRT calculation with 1% deviation. Proper understanding and use of VRT can significantly reduce MC electron beam calculation duration at the same time preserving its accuracy. (paper)

  20. One-step fabrication of submicrostructures by low one-photon absorption direct laser writing technique with local thermal effect

    Science.gov (United States)

    Nguyen, Dam Thuy Trang; Tong, Quang Cong; Ledoux-Rak, Isabelle; Lai, Ngoc Diep

    2016-01-01

    In this work, local thermal effect induced by a continuous-wave laser has been investigated and exploited to optimize the low one-photon absorption (LOPA) direct laser writing (DLW) technique for fabrication of polymer-based microstructures. It was demonstrated that the temperature of excited SU8 photoresist at the focusing area increases to above 100 °C due to high excitation intensity and becomes stable at that temperature thanks to the use of a continuous-wave laser at 532 nm-wavelength. This optically induced thermal effect immediately completes the crosslinking process at the photopolymerized region, allowing obtain desired structures without using the conventional post-exposure bake (PEB) step, which is usually realized after the exposure. Theoretical calculation of the temperature distribution induced by local optical excitation using finite element method confirmed the experimental results. LOPA-based DLW technique combined with optically induced thermal effect (local PEB) shows great advantages over the traditional PEB, such as simple, short fabrication time, high resolution. In particular, it allowed the overcoming of the accumulation effect inherently existed in optical lithography by one-photon absorption process, resulting in small and uniform structures with very short lattice constant.

  1. A Technique for Temperature and Ultimate Load Calculations of Thin Targets in a Pulsed Electron Beam

    DEFF Research Database (Denmark)

    Hansen, Jørgen-Walther; Lundsager, Per

    1979-01-01

    A technique is presented for the calculation of transient temperature distributions and ultimate load of rotationally symmetric thin membranes with uniform lateral load and exposed to a pulsed electron beam from a linear accelerator. Heat transfer by conduction is considered the only transfer...... mechanism. The ultimate load is calculated on the basis of large plastic strain analysis. Analysis of one aluminum and one titanium membrane is shown....

  2. Comparison of Writing Anxiety and Writing Dispositions of Sixth, Seventh and Eighth Grade Students

    Directory of Open Access Journals (Sweden)

    Rifat Ramazan Berk

    2017-01-01

    Full Text Available The purpose of this study is to determine sixth, seventh and eighth grade students’ writing anxiety and dispositions and to examine to what extent they predict each other. The basis of this study is to determine whether writing disposition is the significant predictor of writing anxiety or not and whether students’ grade levels and genders are significant predictor of writing anxiety and dispositions or not. The research was designed according to survey model. The study group, selected through simple sampling method, is made up of 860 students studying at 6th, 7th and 8th grades in elementary schools of Şarkışla District, Sivas. While “Writing Anxiety Scale”, adapted into Turkish by Özbay and Zorbay (2011, was administered to determine the study group’s writing anxiety level, “Writing Disposition Scale”, adapted into Turkish by İşeri and Ünal (2010, was used to determine the writing disposition level. At the end of the study, it was found that writing disposition is a significant predictor of writing anxiety and students’ grade levels and genders are significant predictors of writing anxiety and dispositions. An education environment to create a strong writing disposition for students is recommended. Also, similar studies on different dimensions of the issue can be conducted.

  3. Numerical Calculation of the Phase Space Density for the Strong-Strong Beam-Beam Interaction

    International Nuclear Information System (INIS)

    Sobol, A.; Ellison, J.A.

    2003-01-01

    We developed a parallel code to calculate the evolution of the 4D phase space density of two colliding beams, which are coupled via the collective strong-strong beam-beam interaction, in the absence of diffusion and damping, using the Perron-Frobenius (PF) operator technique

  4. Model-based MPC enables curvilinear ILT using either VSB or multi-beam mask writers

    Science.gov (United States)

    Pang, Linyong; Takatsukasa, Yutetsu; Hara, Daisuke; Pomerantsev, Michael; Su, Bo; Fujimura, Aki

    2017-07-01

    Inverse Lithography Technology (ILT) is becoming the choice for Optical Proximity Correction (OPC) of advanced technology nodes in IC design and production. Multi-beam mask writers promise significant mask writing time reduction for complex ILT style masks. Before multi-beam mask writers become the main stream working tools in mask production, VSB writers will continue to be the tool of choice to write both curvilinear ILT and Manhattanized ILT masks. To enable VSB mask writers for complex ILT style masks, model-based mask process correction (MB-MPC) is required to do the following: 1). Make reasonable corrections for complex edges for those features that exhibit relatively large deviations from both curvilinear ILT and Manhattanized ILT designs. 2). Control and manage both Edge Placement Errors (EPE) and shot count. 3. Assist in easing the migration to future multi-beam mask writer and serve as an effective backup solution during the transition. In this paper, a solution meeting all those requirements, MB-MPC with GPU acceleration, will be presented. One model calibration per process allows accurate correction regardless of the target mask writer.

  5. Application of the Ta liner technique to produce Ca beams at INFN-Legnaro National Laboratories (INFN-LNL)

    Energy Technology Data Exchange (ETDEWEB)

    Galatà, A., E-mail: alessio.galata@lnl.infn.it; Sattin, M.; Manzolaro, M.; Martini, D.; Facco, A. [INFN-Legnaro National Laboratories, Legnaro (Pd) (Italy); Tinschert, K.; Spaedtke, P.; Lang, R. [GSI Helmholtzzentrum für Schwerionenforschung GmbH, Darmstadt (Germany); Kulevoy, T. [Institute for Theoretical and Experimental Physics, Moscow (Russian Federation)

    2014-02-15

    The ECR ion sources are able to produce a wide variety of highly charged metallic ion beams thanks to the development of different techniques (ovens, sputtering, direct insertion, metal ions from volatile compounds (MIVOC)). In the case of the ovens, the sticking of the hot vapors on the surface of the plasma chamber leads to high material consumption rates. For elements like Ca, a tantalum liner inserted inside the chamber can be used to limit this phenomenon. The modeling of temperature distribution inside the chamber with and without the liner was carried out with COMSOL-multiphysics code. Results of simulation and the comparison with experiments performed at INFN-Legnaro National Laboratories with Ca beams are discussed.

  6. Impact of Writing Proficiency and Writing Center Participation on Academic Performance

    Science.gov (United States)

    Bielinska-Kwapisz, Agnieszka

    2015-01-01

    Purpose: Given that there exists in the literature relatively little research into the effectiveness of writing centers at universities, the purpose of this paper is to show the impact of university writing centers on first-year business seminar student writing. Design/methodology/approach: This quantitative study involved 315 first-year…

  7. Teaching children to write: A meta-analysis of writing intervention research

    NARCIS (Netherlands)

    Koster, M.; Tribushinina, E.; de Jong, P.F.; van den Bergh, H.

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  8. Teaching Children to Write : A Meta-Analysis of Writing Intervention Research

    NARCIS (Netherlands)

    Koster, M.P.; Tribushinina, E.|info:eu-repo/dai/nl/31511780X; De Jong, Peter; van den Bergh, H.H.|info:eu-repo/dai/nl/074044400

    2015-01-01

    It has been established that in the Netherlands, as in other countries, a majority of students do not attain the desired level of writing skills at the end of elementary school. Time devoted to writing is limited, and only a minority of schools succeed in effectively teaching writing. An improvement

  9. Student Writing Accepted as High-Quality Responses to Analytic Text-Based Writing Tasks

    Science.gov (United States)

    Wang, Elaine; Matsumura, Lindsay Clare; Correnti, Richard

    2018-01-01

    Literacy standards increasingly emphasize the importance of analytic text-based writing. Little consensus exists, however, around what high-quality student responses should look like in this genre. In this study, we investigated fifth-grade students' writing in response to analytic text-based writing tasks (15 teachers, 44 writing tasks, 88 pieces…

  10. Early Writing Development: Kindergarten Teachers' Beliefs about Emergent Writing in Qatari Preschool Settings

    Science.gov (United States)

    Al-Maadadi, Fatima; Ihmeideh, Fathi

    2016-01-01

    Writing often begins during the very early years of childhood; however, some children first learn writing when they begin attending school. Teachers' beliefs about early writing development can influence when and how children learn to write. The purpose of this study was to determine kindergarten teachers' beliefs about the development of…

  11. Improving Young Children's Writing: The Influence of Story Structure on Kindergartners' Writing Complexity

    Science.gov (United States)

    Watanabe, Lynne M.; Hall-Kenyon, Kendra M.

    2011-01-01

    This study examined the change in complexity of kindergarteners' writing after implementing writing instruction based on story elements. Writing samples from six students of three ability levels were collected over a 6-week period. Writing samples included students' oral language, pictures, and written text and were analyzed using two rubrics…

  12. Writing Anxiety: A Case Study on Students’ Reasons for Anxiety in Writing

    OpenAIRE

    Kara, Selma

    2013-01-01

    The purpose of the present study was twofold. First, the present study set out to investigate the learners‟ attitudes towards academic writing courses that they have to take as part of their curriculum, whether they experience second language writing anxiety and what reasons they report for their anxiety and failure in academic writing courses. Second, the study aimed to develop a selfreport measure of second language writing anxiety reasons

  13. Geometric and Dosimetric Approach to Determine Probability of Late Cardiac Mortality in Left Tangential Breast Irradiation: Comparison Between Wedged Beams and Field-in-Field Technique

    International Nuclear Information System (INIS)

    Pili, Giorgio; Grimaldi, Luca; Fidanza, Christian; Florio, Elena T.; Petruzzelli, Maria F.; D'Errico, Maria P.; De Tommaso, Cristina; Tramacere, Francesco; Musaio, Francesca; Castagna, Roberta; Francavilla, Maria C.; Gianicolo, Emilio A.L.; Portaluri, Maurizio

    2011-01-01

    Purpose: To evaluate the probability of late cardiac mortality resulting from left breast irradiation planned with tangential fields and to compare this probability between the wedged beam and field-in-field (FIF) techniques and to investigate whether some geometric/dosimetric indicators can be determined to estimate the cardiac mortality probability before treatment begins. Methods and Materials: For 30 patients, differential dose-volume histograms were calculated for the wedged beam and FIF plans, and the corresponding cardiac mortality probabilities were determined using the relative seriality model. As a comparative index of the dose distribution uniformity, the planning target volume (PTV) percentages involved in 97-103% of prescribed dose were determined for the two techniques. Three geometric parameters were measured for each patient: the maximal length, indicates how much the heart contours were displaced toward the PTV, the angle subtended at the center of the computed tomography slice by the PTV contour, and the thorax width/thickness ratio. Results: Evaluating the differential dose-volume histograms showed that the gain in uniformity between the two techniques was about 1.5. With the FIF technique, the mean dose sparing for the heart, the left anterior descending coronary artery, and the lung was 15% (2.5 Gy vs. 2.2 Gy), 21% (11.3 Gy vs. 9.0 Gy), and 42% (8.0 Gy vs. 4.6 Gy) respectively, compared with the wedged beam technique. Also, the cardiac mortality probability decreased by 40% (from 0.9% to 0.5%). Three geometric parameters, the maximal length, angle subtended at the center of the computed tomography slice by the PTV contour, and thorax width/thickness ratio, were the determining factors (p = .06 for FIF, and p = .10 for wedged beam) for evaluating the cardiac mortality probability. Conclusion: The FIF technique seemed to yield a lower cardiac mortality probability than the conventional wedged beam technique. However, although our study

  14. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    Science.gov (United States)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  15. Radioactive nuclear beam facilities based on projectile fragmentation

    International Nuclear Information System (INIS)

    Sherrill, B.M.

    1992-01-01

    The production of radioactive beams using direct separation techniques is discussed. The reaction mechanisms which can be used to produce radioactive beams with these techniques can be broadly divided into three groups, projectile fragmentation, nucleon transfer, and Coulomb disassociation. Radioactive nuclei produced in these ways have large forward momenta with relatively sharp angular distributions peaked near zero degrees which are suitable for collection with magnetic devices. Secondary beam intensities of up to a few percent of the primary beam intensity are possible, although depending on the production mechanism the beam emittance may be poor. Further beam purification can be achieved using atomic processes with profiled energy degraders. The features of the production reaction mechanism, separation techniques, and a review of world wide efforts are presented. The advantages and disadvantages of the method are presented, with discussion of techniques to overcome some of the disadvantages. (Author)

  16. Application of electron beam, ion beam and positron beam to polymer sciences

    International Nuclear Information System (INIS)

    Tagawa, Seiichi

    1999-01-01

    Full text: Particle beams are finding increasing application in material sciences and the interest covers both applied as well as fundamental investigations. In the present talk application of electron and ion beams in several polymers such as polysilanes, polystyrene, polyolefins, polymethylmethacrylates and related polymers will be presented. It includes among other investigations (such as product analysis) pulse radiolysis studies and effect of LET on polymers. Importance of positron studies in material sciences especially bulk polymers is well documented. A relatively new technique, namely, positron beam application especially in thin film polymers is a new and emerging areas. The interest ranges from applied aspects as well as fundamental understanding of surfaces and interfaces. The present talk will detail the development of a pulsed positron beam using LINAC at Institute of Scientific and Industrial Research (ISIR) as well as its applications to polymer thin films

  17. A comparative study of the electrical properties of Pd/ZnO Schottky contacts fabricated using electron beam deposition and resistive/thermal evaporation techniques

    International Nuclear Information System (INIS)

    Mtangi, W.; Auret, F. D.; Janse van Rensburg, P. J.; Coelho, S. M. M.; Legodi, M. J.; Nel, J. M.; Meyer, W. E.; Chawanda, A.

    2011-01-01

    A systematic investigation to check the quality of Pd Schottky contacts deposited on ZnO has been performed on electron beam (e-beam) deposited and resistively/thermally evaporated samples using current-voltage, IV, and conventional deep level transient spectroscopy (DLTS) measurements. Room temperature IV measurements reveal the dominance of pure thermionic emission on the resistively evaporated contacts, while the e-beam deposited contacts show the dominance of generation recombination at low voltages, -10 A at a reverse voltage of 1.0 V whereas the e-beam deposited contacts have reverse currents of the order of 10 -6 A at 1.0 V. Average ideality factors have been determined as (1.43 ± 0.01) and (1.66 ± 0.02) for the resistively evaporated contacts and e-beam deposited contacts, respectively. The IV barrier heights have been calculated as (0.721 ± 0.002) eV and (0.624 ± 0.005) eV for the resistively evaporated and e-beam deposited contacts, respectively. Conventional DLTS measurements reveal the presence of three prominent defects in both the resistive and e-beam contacts. Two extra peaks with energy levels of 0.60 and 0.81 eV below the conduction band minimum have been observed in the e-beam deposited contacts. These have been explained as contributing to the generation recombination current that dominates at low voltages and high leakage currents. Based on the reverse current at 1.0 V, the degree of rectification, the dominant current transport mechanism and the observed defects, we conclude that the resistive evaporation technique yields better quality Schottky contacts for use in solar cells and ultraviolet detectors compared to the e-beam deposition technique. The 0.60 eV has been identified as possibly related to the unoccupied level for the doubly charged oxygen vacancy, V o 2+ .

  18. The high-energy dual-beam facility

    International Nuclear Information System (INIS)

    Kaletta, D.

    1984-07-01

    This proposal presents a new experimental facility at the Kernforschungszentrum Karlsruhe (KfK) to study the effects of irradiation on the first wall and blanket materials of a fusion reactor. A special effort is made to demonstrate the advantages of the Dual Beam Technique (DBT) as a future research tool for materials development within the European Fusion Technology Programme. The Dual-Beam-Technique allows the production both of helium and of damage in thick metal and ceramic specimens by simultaneous irradiation with high energy alpha particles and protons produced by the two KfK cyclotrons. The proposal describes the Dual Beam Technique the planned experimental activities and the design features of the Dual Beam Facility presently under construction. (orig.) [de

  19. Hemingway's Language Style and Writing Techniques in "The Old Man and the Sea"

    Science.gov (United States)

    Xie, Yaochen

    2008-01-01

    Among many great American writers, Hemingway is famous for his objective and terse prose style. As all the novels Hemingway published in his life, "The Old Man and the Sea" typically reflects his unique writing style. The language is simple and natural on the surface, but actually deliberate and artificial. Hemingway's style is related…

  20. Poly(methyl methacrylate) Composites with Size-selected Silver Nanoparticles Fabricated Using Cluster Beam Technique

    DEFF Research Database (Denmark)

    Muhammad, Hanif; Juluri, Raghavendra R.; Chirumamilla, Manohar

    2016-01-01

    based on cluster beam technique allowing the formation of monocrystalline size-selected silver nanoparticles with a ±5–7% precision of diameter and controllable embedment into poly (methyl methacrylate). It is shown that the soft-landed silver clusters preserve almost spherical shape with a slight...... tendency to flattening upon impact. By controlling the polymer hardness (from viscous to soft state) prior the cluster deposition and annealing conditions after the deposition the degree of immersion of the nanoparticles into polymer can be tuned, thus, making it possible to create composites with either...